滄海 - 半導體製造技術

926
半導體製造技術 1 半導體工業簡介 半導體製造技術 半導體製造技術 1 1 半導體工業簡介 半導體工業簡介

Upload: wei-ta-hsiao

Post on 18-Jun-2015

2.764 views

Category:

Documents


34 download

TRANSCRIPT

1

1. 2. 3. 4. 5. IC5 5 3 (CD)Moores 6. 7. 2

(Photo courtesy of Advanced Micro Devices)

(Photo courtesy of Intel Corporation) 3

4

5

(SIA, SEMI, NIST, etc.) & &

1.1

6

(Bell Labs)

1.3

7

1.2

8

(IC) ,

SSI ULSI 1960 - 2000

9

(TIJack)

10

1.3

11

() (SSI) (MSI) (LSI) (VLSI) (ULSI)

1960 1960 19601970 19701970 19701980 1990

1 25 50 5,000 5,000 100,000 100,000 1,000,000 1,000,000

1.1

12

ULSI

Photo courtesy of Intel Corporation, Pentium III 13

IC

IC /

14

2000

1992 198719811975

1965

50 mm

100 mm

125 mm

150 mm

200 mm

300 mm

1.4

15

drain

1.5

16

IC 1.

4.

2.

3.

5. IC 17

6.

1.

7. 2. 8. 3. 9. 4.

5. 1.7

10. (1.74) 18

Photo courtesy of Advanced Micro Devices-Dresden, S. Doering 19

1.8

20

(CD) Moores

21

1.9

22

1988 CD ( m) 1.0

1992 0.5

1995 0.35

1997 0.25

1999 0.18

2001 0.15

2002 0.13

2005 0.10

1.2

23

1600

1400 1200 1000 800 600 400 200

( )1997 1999 2001 2003 2006 2009 2012

Redrawn from Semiconductor Industry Association, The National Technology Roadmap for Semiconductors, 1997. 1.10

24

Moores 100M 10M 500Pentium Pentium Pro

1M 80386 80486

25

100K8086

80286

1.0

10K8080 4004

.1

1975

1980

1985

1990

1995

.01 2000

Used with permission from Proceedings of the IEEE, January, 1998, 1998 IEEE 1.11

25

1990 (5 25) 1960

US (10)

1.12

26

10

IC

8

4 6

w (106W)

2

0 1997 1999 2001 2003 2006 2009 2012

Redrawn from Semiconductor Industry Association, National Technology Roadmap, 1997 1.13

27

700

(PPM)200 100 0 1972 300 400 500 600

1976

1980

1984

1988

1992

1996

2000

1.14

28

104 102

BIT

= =

1

MSI LSI VLSI

10-4 10-6 10-2

ULSI

10-8

10-10 1930

1940

1950

1960

1970

1980

1990

2000

Redrawn from C. Chang & S. Sze, McGraw-Hill, ULSI Technology, (New York: McGraw-Hill, 1996), xxiii. 29 1.15

1950: 1960: 1970: 1980: 1990:

30

$100,000,000,000 $10,000,000,000

$1,000,000,000

$100,000,000

$10,000,000 1970 1980 1990 2000 2010 2020

Used with permission from Proceedings of IEEE, January, 1998 1998 IEEE 1.16

31

& MS BS BEST* AS+ AS HS+ *Bachelor of Science HS 1.17

32

12 9 6 3

1 2 3 4 1 2 3 4 5 6 7 8 9 10 11 5 6 7 8 9 10 11 12 13 14 15 16 17 18 12 13 14 15 16 17 18 19 20 21 22 23 24 25 19 20 21 22 23 24 25 26 27 28 29 30 31 26 27 28 29 30 31

2 3 4 5 6 7 2 3 4 5 6 7 9 10 11 12 13 14 15 9 10 11 12 13 14 15 16 17 18 19 20 21 22 16 17 18 19 20 21 22 1 1 8 8

23 24 25 26 27 28 29 23 24 25 26 27 28 29 30 31 30 31

1.18

33

Photograph courtesy of Advanced Micro Devices 34

Photo courtesy of Advanced Micro Devices 35

2

1. 2. 3. 3 4. 5. 4 6. 35 7. p () n () pn 8. 2

3

() () () () ( )

C 6 + + N N +N + N N + + N

() (+) 6 () -

2.1

4

Q=2 P = 10 O = 32 N = 32 M = 18 L=8 K=2

2.2

5

NaClNa 11 Cl 17 2.3

-

-

-

-

-

-

6

2.4

7

NaCl Na 11 Na+ Cl Cl 17 2.5

-

-

-

8

9

IA11.008

VIIIA

HHydrogen

IIA49.012

Transition Metals IIIB Sc IVB Ti VB V VIB Cr VIIB Mn FeIron

2 4.0026

He IIIA BBoron

IVA CCarbon

VA NNitrogen

VIA OOxygen

VIIA FFlorine

Helium

3

6.939

5 10.811 6 12.011 7 14.007 8 15.999 9 18.998 10 20.183

LiLithium

BeBeryllium

NeNeon

11 22.989 12 24.312

13 26.981 14 28.086 15 30.974 16 32.064 17 35.453 18 39.948

NaSodium

MgMagnesium

Al VIIIB CoCobalt

SiSilicon

PPhosphorus

SSulfur

ClChlorine

ArArgon

IB NiNickel

IIB ZnZinc

Aluminum

19 39.102 20 40.08 21 44.956 22 47.90 23 50.942 24 51.996 25 54.938 26 55.847 27 58.933 28 58.71 29 63.5430 65.37 31 69.72 32 72.59 33 74.922 34 78.96 35 79.909 36 83.80

K

Ca

CuCopper

Ga

Ge

As

SeSelenium

BrBromine

KrKrypton

Potassium Calcium Scandium Titanium Vanadium Chromium Manganese

Gallium Germanium Arsenic

37 85.47 38 87.62 39 88.905 40 91.22 41 92.906 42 95.94 43

99 44 101.07 45 102.91 46 106.4 47 107.8748 112.40 49 114.82 50 118.69 51 121.75 52 127.60 53126.904 54 131.30

Rb

Sr

YYttrium

ZrZirconium

NbNiobium

Mo

Tc

Ru

Rh

Pd

AgSilver

CdCadmium

InIndium

SnTin

Sb

Te

IIodine

XeXenon 222 210 86

Rubidium Strontium

Molybde- TechnitiumRuthenium Rhodium Palladium num

Antimony Tellurium

1137.34 57 138.91 72 178.49 73 180.95 74 183.85 75 186.2 76 190.2 77 192.2 78 195.09 79196.96780 200.59 81 204.37 82 207.19 83 208.98 84 210 85 55 132.90 56

CsCesium

BaBarium 226 89

La

Hf

Ta105

W106

Re107

Os108 109

IrIridium

PtPlatinum

AuGold

HgMercury

TlThallium

PbLead

Bi

Po

AtAstatine

RnRadon

Lanthanum Hafnium

Tantalum Tungsten Rhenium Osmium

Bismuth Polonium

87

223 88

227 104

110

FrFrancium

RaRadium

AcActinium

Rf

Ha

Sg

Uns

Uno

Une

Uun

Nonmetals Metalloids (semimetals)

58 140.12 59 140.91 60 144.24 61

147 62 150.35 63 151.96 64 157.25 65 158.92 66 162.50 67 164.93 68 167.26 69 168.93 70 173.04 71 174.97

Lanthanides

CeCerium

Pr

Nd

Pm237 94

Sm242 95

Eu

Gd247 97

TbTerbium 247 98

Dy249 99

Ho

ErErbium

TmThulium

Yb

Lu

Praseodym- Neodymium ium

Prome- Samarium Europium Gadolinium thium 243 96

Dyspro- Holmium sium

Ytterbium Lutetium 253 103 257

90 232.04 91

231 92 238.03 93

254 100 253 101 256 102

Actinides

ThThorium

PaProcatinium

UUranium

Np

Pu

Am

CmCurium

BkBerkelium

CfCalifornium

EsEinsteinium

FmFermium

Md

No

LrLawrencium

Neptunium Plutonium Americium

MendelevNobelium ium

2.6

10

()* () ()

6

12.01115 2.0

3570 C 3470 s. 0.77

* 12. () s.

2.7

11

1 2

IA

IIA

IIIA

3 ( B) ( Al) 4 2.1

IVA

Continued on next slide

12

()VA VIA

5 ( P As) 6 7 8

VIIA

VIIIA

IB

Cu Al

( Ti, W, Mo, Ta Cr) IVB VIB 13 2.1

NaCl

Cl-

Na+

2.8

14

HClCl 17 2 - 15

H + Cl HCl H 1 2.9

-

16

e-

e-

e-

6 Volt

e-

2.10

17

(Cu) K L M N 2 8 18 32 60 2 8 18 1 29

Cu 29

-

- - -

- K

-

2.11

L

- - -

-

- M N

18

R=

L A

2.12

19

eee+ 6 Volt -

e-

Na+ Cl

Na+ Cl-

H2O

2.13

20

() () ()

C = KA S

K = (F/cm) A = (cm2) S = (cm)

2.14

21

1.5 V

eeeee1.5 V

2.15

22

1.5 V

2.16

23

k

2 * 1

*k

2.17

24

n p

pn25

4AIVA C Si Ge Sn Pb 6 14 32 50 82

2.18

26

Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si

Si 2.19

27

SiO2

(SiO2)

2.20

28

&

Si P P Si Si Si Si

P Si P29

2.21

Group III (p) 5 13 31 49 Group IV 6 14 32 50 Group V (n-) 7 15 33 51

* IC

2.22

30

n

Si Si Si Si Si

Si Si P Si Si

Si Si Si Si Si

Si P Si P Si

Si Si Si Si Si () n

n

2.23

31

n

2.24

32

pSi Si Si Si Si Si Si B Si Si Si Si Si Si Si Si B Si B Si Si Si Si Si Si p

p 2.25

33

p

2.26

34

1021 1020 (atoms/cm3) 1019 1018 1017 1016 1015 1014 1013 10-3 10-2 10-1 100 101 (-cm) 2.27

n-

p-

102

10335

Redrawn from VLSI Fabrication Principles, Silicon and Gallium Arsenide, John Wiley & Sons, Inc.

pn

p-

n-

2.28

36

() (atom/cm3) (eV) Si 1412 28.09 4.99 x1022 1.11 Ge 937 72.60 4.42x1022 0.67 GaAs 1238 144.63 2.21x1022 1.40 SiO2 1700 (approx.) 60.08 2.3x1022 8 (approx.)

2.3

37

3

1. 2. pn 3. 4. CMOS CMOS 5. MOSFETs 6. CMOS 7. IC2

3

PC

4

IC

IC

5

IC

n-

SiO2 SiO2

n-

p-

3.1

6

RBC RBB

REC REB

RCC

n+ pn+

RCB

p-

3.2

7

IC

()

p-

()8

3.3

E n p n p BJT

B

C

S n

G n n

D

p FET

3.4

9

pn Schottky IC CMOS IC MOSFET

10

pnpn p n

p

3.5

11

pnp Si } n Si

0

3.6

12

pnp () n

3V

3.7

13

pnp n

3V

3.8

14

I-V+I

+V .4 .8 1.2 1.6

-V

120 100 80 60 40 20

-I 3.9

15

BJTnpn pnp

n p n

p n p

B

C

B

C

E 3.10

E16

npnh+ C n S1 B p n E 3 V 1.5 V eE S1 B C n

p n e3 V

1.5 V

3.11

17

pnpeC p S1 B n p E 3V 1.5 V h+ E S1 B C p n p h+ 3V

1.5 V

3.12

18

npn BJT

C

E

B

n+ p n+ p

3.13

19

Schottky

Schottky

nn+

3.14

20

3.1 * Schottky TTL * * DCTL** RTL** RCTL** DTL** TTL** STTL ECL

* Some forms of TTL, STTL and ECL still in use through were 2000. ** From G. Deboo and C. Burrous, Integrated Circuits and Semiconductor Devices: Theory and Application, 2nd ed. (New York: McGraw-Hill, 1997), p. 192. From A. Sedra and K. Smith, Microelectric Ciruits (Oxford: Oxford University Press, 21 1998), p. 1187, 1196. 3.1

CMOS IC MOSFET nMOSFET pMOSFET

Biasing the nMOSFET Biasing the pMOSFET

CMOS BiCMOS MOSFET22

MOSFETspMOSFET (p) p+ n p+ n n+

nMOSFET (n) n+ P n

3.15

23

nMOSS1 VGG = + 0.7 V n+ p n+ () ()

VDD = + 3.0 V

3.16

24

nMOSS1 VGG = + 0.7 V e n+ p ++++++ ++++++ ++++++

n+

IDS

eVDD = + 3.0 V

e-

3.17

25

nMOSFET600

VGS = +5V VGS = +4V

500

IDS (A)

400

300

VGS = +3V

200

VGS = +2V100

VGS = +1V

0 0 1 2 3 4 5 6

VDS (V) 3.18

26

pMOSFETS1 () VGG = - 0.7 V p+ n p+ ()

VDD = -3.0 V

3.19

27

pMOSS1 VGG = - 0.7 V e p+ n ---------------------

p+

IDS

eVDD = - 3.0 V

e-

3.20

28

CMOS+ VDD S G D D G S - VSS 3.21

pMOSFET

nMOSFET

29

CMOSn

n G -VSS S p+ D p+

p D G S n+ +VDD

n+

pMOSFET

nMOSFET

3.22

30

CMOS pMOSFET -VSS n+ G S p+ D p+ n D n+ nMOSFET G S n+ +VDD p+

p

3.23

31

BiCMOS + 48 VDC CPU t BiCMOS DAC 0-5 V AMP

BiCMOS 0-5 V ADC

AMP

mV

3.24

32

BiCOMOSCMOS

Q1

Q3

Q2

Q4

Redrawn from H. Lin, J. Ho, R. Iyer, and K. Kwong, Complementary MOS-Bipolar Transistor Structure, IEEE Transactions Electron Devices, ED-16, 11 Nov. 1969, p. 945 - 951.

3.25

33

MOSFETs MOSFE T nMOS VGS () + n+ n+ p

nMOS

-

n+ n+ n p+ p+ n

pMOS

-

pMOS

3.26

+

p+ p+ n 34

CMOS

pMOSFET G VSS S D D

nMOSFET G S VDD

n+

p+T1 RS

p+

n+

RW

n+T2

p+

P n

3.27

35

IC IC

IC () ROM PROM EPROM EEPROM ASIC PLD PAL PLA MPGA FPGA36

IC RAM DRAM SRAM MPU or CPU

4

1. (SGS) 2. 3. 4. 5. 7 6. 2

(SGS) (MGS) MG SiHCl3 Siemens SiHCl3 H2 (SGS)

1

SiC (s) + SiO2 (s)

Si (l) + SiO(g) + CO (g)

2

Si (s) + 3HCl (g)

SiHCl3 (g) + H2 (g) +

3

2SiHCl3 (g) + 2H2 (g)

2Si (s) + 6HCl (g)

4.1

3

4

SGSiemens

SiHCl3

4.1

5

4.2

6

4.3

7

4.4

8

4.5

9

FCC

4.6

10

4.7

11

Z1

0

Y1

X

1 4.8

12

MillerZ Z Z

Y X (100) X (110)

Y X (111)

Y

4.9

13

CZ CZ

14

CZ

4.10

15

CZ

Photograph courtesy of Kayex Corp., 300 mm Si ingot

16

CZ

Photograph courtesy of Kayex Corp., 300 mm Si crystal puller

17

5 3

(Atoms/cm3) < 1014 1014 to 1016 1016 to 1019 ( () () ) nn n npp p p-

>1019 () n+ p+

4.2

18

() () RF

RF

4.11

19

300 mm

200 mm 150 mm 125 mm 100 mm 75 mm

3

4

5

6

8 4.12

1220

(mm) 150 200 300 400

(m) 675 20 725 20 775 20 825 20

(cm2) 176.71 314.16 706.86 1256.64

(grams/lbs) 28 / 0.06 53.08 / 0.12 127.64 / 0.28 241.56 / 0.53

25 (lbs) 1.5 3 7 13

4.3

21

88 8" 232 12" 4.13

22

300mm () 9 FQA ( ) mm m m m mm 300.00 775 100 10 1.00 90 mm 147 + 0.25, -0.00 +5, -1 0.20 25

From H. Huff, R. Foodall, R. Nilson, and S. Griffiths, Thermal Processing Issues for 300-mm Silicon Wafers: Challenges and Opportunities, ULSI Science and Technology (New Jersey: The Electrochemical Society, 1997), p. 139.

4.4

23

() 3 1. 2. 3.

24

=

66 () = 75% 88 ()

4.14

25

(a)

(b)

(c) Frenkel

Redrawn from Sorab K. Ghandi, VLSI Fabrication Principles: Silicon and Gallium Arsenide, 2nd edition, New York, Wiley, 1994, page 23 4.15

26

4.16

27

(a)

(b)

(c)

Redrawn from Sorab K. Ghandi, VLSI Fabrication Principles: Silicon and Gallium Arsenide, 2nd edition, New York, Wiley, 1994, page 49

4.17

28

X

X

Redrawn from Sorab K. Ghandi, VLSI Fabrication Principles: Silicon and Gallium Arsenide, 2nd edition, New York, Wiley, 1994, page 55

4.18

29

4.19

30

4.20

31

P (111)

P (100)

N (111) 4.21

N (100)32

1234567890

4.22

33

4.23

34

4.24

35

4.25

36

4.26

37

38

1995 (0.35 m) (mm) A (m) (mm x mm) B (RMS)C (nm) (ppm)D E (defects/cm2) (#/cm2) F ( % uniformity) (m) 200 0.23 (22 x 22) 0.2 24 2 5000 0.17 3.0 ( 5%) () 1998 2000 (0.25 m) (0.18 m) 200 0.17 (26 x 32) 0.15 23 2 1000 0.13 2.0 ( 3%) 300 0.12 26 x 32 0.1 23 1.5 500 0.075 1.4 ( 2%) 2004 (0.13 m) 300 0.08 26 x 36 0.1 22 1.5 100 0.055 1.0 ( 2%)

Adapted from K. M. Kim, Bigger and Better CZ Silicon Crystals, Solid State Technology (November 1996), p. 71. A B CRMS Dppm E1cm2 39 F

4.27

40

4.28

41

4.29

42

5

1. 4 2. 3. 4. 5.

2

+V

-V

5.1

3

4

5

oF oC K 212 100 373

77 32

25 0

298 273

-459 5.2

-273

06

7

30 30 20 10 0 0 80 40 50 70 20 10 0 80 40 50 70

5.3

8

(psig) (psia)1 0 -5 -10 -15 psig 15 5 psi 5 0 psia 30 10 1 15 20 psi

10

25

5.4

9

23 5.1

23 0 psi 14.7 psi 14.7 psi 29.92 inches 760 mm 760 torr 760,000 mtorr 1.013 bar 1013 mbar 101,325 pascal10

11

29.92 in. (760 mm)

(14.7 psi, 760 torr)

5.5

12

13

14

5.6

15

16

(CO2)

5.7

17

5.8

18

19

5.9

20

5.2

(g/cm3) 0.000089 0.0014 1.0 2.16 2.33 2.70 19.321

22

5.10

23

24

5.11

25

26

CTE (a)

CTE CTE CTE CTE CTE

(b)

(c)

5.12

27

pn MOS

28

pH

29

HF HCl * SiO2 Piranha 7330% SiO2

(BOE)

H2SO4

HFNH4F

H3PO4

HFHNO3 HNO3 (PSG) 5.3

30

NaOH NH4OH KOH TMAH

5.4

31

pHpH 1 2 3 4 5 6 7 8 9 10 11 12 13 14 (NaOH ) 5.13

()

32

DI DI WATER IPA TCE Acetone Xylene (IPA)

5.5

33

(Photo courtesy of Advanced Micro Devices) 5.1

34

r

5.14

35

36

N2 O2

Ar He H2

O2

5.6

37

(Photo courtesy of Air Products and Chemicals, Inc.) 5.2

38

Venturi CV VSV VP PS CV CV PSHPV

CV LPV

EFV

PG1

PS F ESO HPI REG LPI EFS

CV EFS EFV ESO F HPI HPV

5.15

LPI LPV PGI PS REG VP

Venturi

(Schematic used with permission from International SEMATECH) 39

(Used with permission from International SEMATECH)

5.16

40

CGA

(Used with permission from International SEMATECH)

5.17

41

CV

CV

CV DIV DSV F MFC PGI PS UIV

(a)

PGI

DSV

CV

F

PS

DIV

MFC

UIV

F

CV

DSV

(b)

CV

PGI

CV F PS DIV MFC UIV F

(a) Schematic used with permission from International SEMATECH, (b) Component diagram based on Swagelok components, Swagelok Co. Catalog provided by Arthur Valve & Fitting Co., Austin, TX.

5.18

42

(Photo courtesy of Praxair Technology, Inc.) 43

5.3

() SiH4 AsH3 PH3 B2H6 Si(OC2H5) SiCl4 SiH2Cl2 NF3 WF6 C2F4 CF4 SiF4 ClF3 BF3 Cl2 BCl3 HCl NH3 N2O CO (11) n (17) n (17) p (17) SiO2 (11) (11) (11) (16) (12) (16) (16)(111617)

p (17) (16)

p (1617)

SiN3SiH2Cl2(11) SiO2 (11) (16) 5.7

44

6

1. 5 2. 7 3. 4. 7 5. DI 6. 7. 8. 2

6.1

3

(Photograph courtesy of Advanced Micro Devices, main fab corridor) 6.1

4

(ESD)

5

10-7

10-6

10-5

10-4

10-3

10-2

10-1

1

10

(mm)

6.2

6

(Micrograph courtesy of AMD, particle underneath photoresist pattern 6.2

7

0.18m IC500 IC = 0.18m~90 m

90 m = 500 0.18 m

IC

6.3

8

6.4

9

(Fe) (Cu) (Al) (Cr) (W) (Ti) 6.1

(Na) (K) (Li)

10

+ + + + + + + + Polysilicon + + + + + + Gate oxide

+

+

-Vs

+Vg

++++++ ++++++ ++++++

+Vd

N+

N+

P +

6.5

11

6.6

12

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 6.7

13

7: 6.1

14

209E

/ft3 1 10 100 1,000 10,000 100,000 0.1 m3.50 x 10 3.50 x 102

0.2 m7.70 7.50 x 10 7.50 x 102

0.3 m3.00 3.00 x 10 3.00 x 102

0.5 m1.00 1.00 x 101 1.00 x 102 1.00 x 103 1.00 x 104 1.00 x 105

5 m

7.00 7.00 x 10 7.00 x 102

6.2

15

() 2 3.5 ()

> 0.3m100,000 500,000 5,000,000 7,500,000 10,000,000

6.3

16

(Photo courtesy of International SEMATECH) 6.3

17

18

3 1. 2. 3.

19

20

Class 10,000

Class 10,000

Class 10,000

Class 10,000

Class 10,000 6.8

21

Class 10,000

Class 1

Class 1,000 Class 1,000

Class 1,000

Class 1,000

Class 1

Class 1,000

Class 1

Class 1

Class 1

Class 1,000

Class 1,000

Class 1

Class 1,000

Class 1

Class 10,000 6.9

22

HEPA SMT

6.10

23

Class 1,000

Class 1

Class 1,000

Class 1

Class 1,000

6.11

24

6.12

25

+ + + + + + + + +

+

+ +

6.13

26

DI DI

27

28

0.0001 0.001 0.01 0.1 1 10 100

6.14

29

HCl

pH RO

()

DI DI 6.15

30

6.16

31

+

6.17

32

6.18

33

34

1.6.19 1.5m 2. 0.11.5m 3. 0.0050.1m 4. 0.005m35

(Used with permission of International SEMATECH)

6.19

36

(Used with permission of International SEMATECH)

6.20

37

38

6.21

39

Class 1,000

/

Class 1

6.22

40

6.23

41

()+ + + + + +

+ + + + + + ()

----------------- - DC ()

(Used with permission from Applied Materials, Inc.) 6.24

42

HEPA

Class 1

/ 6.25

Class 1,000

SMIF(Class 1 )43

SMIF

(Photograph courtesy of Applied Materials) 6.4

44

45

RCA 1 (SC-1) 2 (SC-2)

RCA Piranha

46

Piranha (SPM) SC-1 (APM) SC-1 (APM) SC-2 (HPM) Piranha (SPM) DHF DHF BHF ( DI ) DI DI DI DI DI () () 6.5

H2SO4/H2O2/H2O NH4OH/H2O2/H2 O NH4OH/H2O2/H2 O HCl/H2O2/H2O H2SO4/H2O2 HF/H2O HF/H2O NH4F/HF/H2O47

()

SC-1H2O2 SC-1

(1) (2)H2O2

(3) SC-1

6.26

48

OH-

(1)

(2)NH4OH (3)HO HO

6.27

49

H2SO4/H2O2 (Piranha) UPW () HF/H2O (HF ) UPW NH4OH/H2O2/H2O (SC-1) UPW HF/H2O UPW HCl/H2O2 (SC-2) UPW HF/H2O UPW 6.6

() 50

DI

IPA51

6.28

52

6.29

53

DI

6.30

54

DI

DI

6.31

55

6.32

56

57

7

1. IC 2. 12 3. 4. 7IC2

IC

3

(Photo courtesy of KLA-Tencor Corporation) 7.1

4

vs.

7.1

5

7.1

6

1 2 3 4 5 6 7 8 9 10 11 12

7.2

7

()

() X 8

(l) ( ) R= a w t

l = (w) (l) 7.2

9

I

V

R

V s = I

x 2s ( -cm)

7.3

10

I

(b) V (a)

(c) 7.4

(d) 11

7.5

12

7.6

13

t

7.7

14

XRFX X X X X X

X

X

7.8

15

(a)

(b)

Echo 1 (c)

Echo 1 (d) Echo 2

Redrawn from Solid State Technology, (June 1997), p. 86. 7.9

16

7.10

17

sin i n = sin r

= 1.00 SiO2 = 1.46 = 2.12

(n = 1.5)

(n 1.0)

(n 1.0)

SiO2 (n = 1.46)

7.11

18

pn

p

n+ n+ p

n+ n+

p

--- pn

7.12

19

(Ar) X-Y 7.13

(HeNe)

20

(SRP)Rsp = rES

4r Rsp R sp

( )

t

7.14

21

22

7.15

23

(Photo courtesy of Inspex) 7.2

24

7.16

25

Z +Z 0 -Z

7.17

26

7.18

27

7.19

28

(CD) (SEM) CD SEM

29

CD-SEM () Y X 7.20

Y

X Z 30

(Photo courtesy of KLA-Tencor) 7.3

31

()

7.21

32

+5V

I

X-Y Stage

-5V

+24 V

7.22

33

Y1 X1 X2 Y1

X1

X2

Y2

Y2

X1 = X2, Y1 = Y2

X 1 > X2 , Y 1 > Y2

7.23

34

MOS P+

P+

() SiO2 Si()P+

p

7.24

35

C-V

(11V) 5V5V

n

7.25

36

nvs.Cmax

nC-V

Cmax 0 -5 -4 -3 -2 -1 0 +1 +2 +3 +4 +5 37

7.26

C-V

Al + + + + + + + + + + + + + + + + + + + + +

N-type silicon Metal

200 300

C -V 7.27

38

nCmax

nC-V

V

Cmin0 -5 -4 -3 -2 -1 0 +1 +2 +3 +4 +539

7.28

7.29

40

(SIMS) (TOF-SIMS) (AFM) Auger (AES) X (XPS) (TEM) (EDXWDX) (FIB)41

1950s AES AFM FIB SEM SIMS TEM TOF SIMS XPS 1960s 1970s 1980s 1990s 2000s 2010s

7.30

42

7.31

43

(Ar) + + + + + + + + + ++

+ + ++ + + + + + + ++ + + +++ + + + + + + ++ + + + + ++

(Ar+) 7.32

44

TOF-SIMS

L q

m

v

7.33

45

Y Z X

7.34

46

Auger Auger (Auger electron) 1050 Auger

47

XPS

X

7.35

48

TEM X }

CCD

7.36

49

TEMTEM

7.3

50

Si H.V. P

Si 7.37

X

51

FIB FIB

TEM

7.38

52

8

1. 2. 3. 4.(RGA) 5. 6.2

3

1960

8.1

4

(Used with permission from Applied Materials, Inc.) 8.2

5

6

1. 2. 3. () 4. 5.

8.1

7

(torr) 759 - 100 () () 8.212

100 - 10-3

10 -3 - 10-6

10-6 - 10-9

10

13 - 15

18

16

11

17

7

8

760 torr () 1 103 torr 3 109 (30 ) 2 106 4 1013 (40 ) 2

1 109 torr 4 107 (40 ) 30

8.3

9

- -

- -

10

8.3

11

(a) (b)

(c)Used with permission from International SEMATECH 8.4

12

Roots

8.5

13

(Used with permission from Varian Vacuum Systems) 8.6

14

(Used with permission from Varian Vacuum systems) 8.7

15

N2, O2, Ar H2, He, Ne

(Used with permission from Varian Vacuum systems) 8.8

16

8.9

17

( )

18

(Used with permission from International SEMATECH) 8.10

19

(Photo courtesy of MKS Instruments, Inc.) 8.1

20

RGA -V - + + + + + -V +V +

+V

-V

+ + -V+

+

-V

+ +

+

8.11

DCRF4

21

8.12

22

RGARelative Ion Signal Intensity7 8 5 2 9 6 3 0 C B

RGA

100

4 1

H2O

N 50 N2 Ne 0 14 16 18 22 28 32 44 58 O2 CO2

Mass Number

8.13

23

RF

24

F +9

8

F +9

7

8.14

25

RF

8.15

26

F +9

F +9

8.16

27

CHF2

CHF3

()

8.17

28

+ 0V _ 0V 29

(Used with permission from International SEMATECH) 8.18

1. 2. 3. 4. 5.

8.4

30

9IC IC

1.CMOS IC 2.6 3.CMOS14 4.CMOS

2

MOSUV

() RF R

RF

e o w Po RFP F RF RF r r

Oxide Etch

()

re sis t

G ox S D

S

G

D

S

G D

G S G D

G G drain D S

9.1

Used with permission from Advanced Micro Devices

CF4

CCl4

3

CMOS

CMOS 4

CMOS IC ()

Used with permission from Advanced Micro Devices

9.2

5

1 2 3

9.3

6

(Photo courtesy of Advanced Micro Devices) 9.1

7

()

9.4

8

e+ R ee RF ()

9.5

9

9.6

10

(Photo courtesy of Advanced Micro Devices) 9.2

11

CVD RF

CVD 9.7

12

Photo courtesy of Advanced Micro Devices 9.3

13

CMOS14

1. 2. 3. 4. (LDD) 5. 6. 7. 8. 9. 11 10. 1 11. 22 12. 2 13. 3 14. 8n+ LI metal Via

Passivation layer ILD-6

Bonding pad metal

ILD-5 M-4

13ILD-4

M-3

12M-2

ILD-3

11M-1

ILD-2

10ILD-1

9Poly gate

3p+

5p+

LI oxide STI n+ n+ p+

2 7

4n-well

6p-well

1pEpitaxial layer

p+ Silicon substrate

14

n

n

P + ( = 200mm, ~2mm)

P +

9.8

15

p

n p P + p

9.9

16

STI n STI P P +

p

9.10

17

STI

CVD n p P + p

9.11

18

STI

STI n p p+ p

9.12

19

n p p+

p

9.13

20

n LDD

n LDD

n p p+

p

9.14

21

p LDD

BF2 p LDD

n p p+

p

9.15

22

n p p+

p

9.16

23

n+ /

n+

n p p+

P

9.17

24

P+ S/D

p+ S/D

n p p+

p

9.18

25

()

n p p+

p

9.19

26

LILI

LI metal LI

LI LI oxide

9.20

27

LICVD 2 Doped oxide CVD3 2 1Thin Films Polish

SiN3 CVD 1 Nitride CVD

3 Oxide polish LI oxide LI

LI 4 LI oxide etch

4

Diffusion

PhotoImplant

Etch

n p-wellp-p Epitaxial layer p+ p+ Silicon substrate

p p-well

9.21

28

LI Metal Formation

Ti/TiN

LI

LI

Ti

n p p+

p

9.22

29

-1ILD-1

ILD-1 (-1)

LI

n p p+

p

9.23

30

-1Ti/TiN Ti/TiN deposition

2 1 2 3Thin Films

3 Tungsten deposition

4 Tungsten polish (Plug-1) (-1)

4Polish

1 Ti dep. Ti

ILD-1

LI LI oxide

Diffusion

Photo

Etch

n-well n p- Epitaxial layer p p+ Silicon substrate p+

p-well p

Implant

9.24

31

LI LI

Micrograph courtesy of Integrated Circuit Engineering 9.4

32

-1Ti Al + Cu (1%) TiN -1

n

LI

p p p+

9.25

33

SEM

Micrograph courtesy of Integrated Circuit Engineering 9.5

34

-2ILD-2 (-2 ILD-2 oxide etch (Via-2 formation) )

2

ILD-2 ILD-2 oxide deposition

3

Oxide polish

4

1 ILD-2 gap fill

ILD-2ILD-1

DiffusionPhoto

1 2 Thin Films 4

3Polish

LI LI oxiden-well n p- Epitaxial layer p p+ Silicon substrate p+

Etch

p p-well

Implant

9.26

35

-2Ti/TiN Ti/TiN 2 deposition Ti deposition 1 Ti1 2Thin Films

Tungsten 4 polish ILD-2

Tungsten deposition (-2) (Plug-2)

3

3

4Polish

Diffusion Photo

ILD-1

LI LI oxide

Etch

n n-well p p- Epitaxial layerp+ Silicon substrate p+

p p-well

Implant

9.27

36

-21 Metal-2 deposition -2 to etch 2 Gap fill 3 ILD-3 oxide ILD-3 polish ILD-3 4 -3 Via-3/Plug-3 formation

-3

ILD-2

ILD-1 LI oxide LI

n-well n

p p-well p p- Epitaxial layer

p+ p+ Silicon substrate 9.28

37

0.18mILD-6

ILD-5 M-4 ILD-4 M-3 ILD-3 M-2 ILD-2 M-1 Via

LIn+ p+

p+

ILD-1

LISTI n+ n+ p+

n p p+ 9.29

p

38

SEM

Micrograph courtesy of Integrated Circuit Engineering 9.6

39

Photo courtesy of Advanced Micro Devices 9.7

40

10

1. 2. 3. 4.35 5. 6. 2

() /

(Used with permission from Advanced Micro Devices)

10.1

3

4

SiO2

(Used with permission from International SEMATECH) 10.2

5

Field oxide isolates active regions from each other.

n n-well p p- Epitaxial layer p+ p+ Silicon substrate

p p-well

10.3

6

gate Polysilicon Gate Oxide

n-well n p- Epitaxial layer p p+ Silicon p+ substrate

p p-well

10.4

7

Phosphorus implant Barrier oxide

n-well np p- Epitaxial layer

p+ Silicon p+ substrate

10.5

8

10.1 : :

SiO2 () p+

: 1540 10.1A

9

Table 10.1 : :MOS

p+

:20 10.1B

10

Table 10.1 : :

p+

:2,50015,000 10.1C

11

Table 10.1 : :

p+

: 10.1D

12

Table 10.1 ::

: 10.1E

13

Table 10.1 ::

ILD-5 M-4 ILD-4 M-3

: 10.1F

14

Table 10.1 ::

p+ : 10.1G

15

Table 10.1 ::

ILD-5 M-4 ILD-4 M-3

: 10.1H

16

17

(0.18m)

20 60 5 100 400 1,200 ( ) 150 200 500 10.2

STI LOCOS

18

()10.0

(100)

(m)

1.00 1 ,2 0 C

00 1 ,1

C C900

0 100

0.1

C800

C700

C

0.01 10

102

103

104

() 10.6

19

HCl

N2

O2

H2

10.7

20

t

0.55t 0.45t

10.8

21

10.9

22

Si/SiO2

SiO2

(Used with permission from International SEMATECH) 10.10

23

O2

SiO2SiO2

Si

(Used with permission from International SEMATECH)

10.11

24

11004,000

3,000

2,000

1,000 }

100

200

300

400

500

() 10.12

25

1. 2. 3. SiO2

()

SiO2

SiO2

4.

LOCOS () 10.13

26

SiO2

(Used with permission from International SEMATECH)

10.14

27

STI1. 2. 3.

()

4.

5.

10.15

28

(RTP)

29

(GFD)

200

100 ( ) GFD

10.3

30

(Photo courtesy of International SEMATECH ) 10.1

31

(Photo courtesy of International SEMATECH ) 10.2

32

1 2 3

10.16

33

10.17

34

204 - 480 VAC 3

SCRs SCRs Zone 1

SCRs

Zone 2

Zone 3

(Used with permission from International SEMATECH) 10.18

35

TC TC TC

1 2 3TC

10.19

36

(Ar)(N2) (H2) (O2) (SiH4)(DCS)(H2SiCl2) (AsH3)(PH3) (B2H6) (NH3)(HCl) (N2)(He) (WF6)

10.4

37

() O2 O2

(Used with permission from International SEMATECH) 10.20

38

1200 1200

(800 1000

(800 1000

)

)600 400 0 20 40 60 80 100 120 140 160 180

600

400

0

20

40

60

80 100 120 140 160 180

()

()

Printed from the June 1996 edition of Solid State Technology, copyright 1996 by PennWell Publishing Company. 10.21

39

(RTP) ()

40

RTP

RTP 10.5

41

(RTP)

10.22

42

(Photo courtesy of Advanced Micro Devices) 10.3

43

RTP (BPSG) (TiN) (TiSi2)

44

45

() ()

46

%

10.23

47

0 1 2 3 4 5 6 7 8 () () 850 850 20/ 1000 1000 1000 5/ 850 850 8.0 N2 (slm) 8.0 N2 (slm) 0 8.0 8.0 8.0 0 8.0 8.0 8.0 0 10.6

5 7.5 5 30 30 30 5

O2 (slm) 0 0 0 0 2.5 0 0 0 0

HCl (sccm) 0 0 0 0 67 0 0 0 0

48

slm (L/min) sccm (cm3/min)

161 0.14" 8" 9.29cm/min 20

161

4() 1 75 1 75 1 4()

1 (Used with permission from International SEMATECH) 10.24

49

11

1. 3 2. 3. 8 4. CVDCVD 5. CVD 6. 7. 3 2 8.

MSIMOS ILD n+ n+

p+

p+

n p p+

11.1

3

()

/

(Used with permission of Advanced Micro Devices)

11.2

4

5

ULSIILD-6

ILD-5 M-4 ILD-4 M-3 ILD-3 M-2 ILD-2 M-1 Via ILD-1

LIn+ p+

p+

LISTI n+ n+ p+

n p p+ 11.3

p

6

(Micrograph courtesy of Integrated Circuit Engineering) 11.1

7

8

11.4

9

11.5

10

= = 500 250 2 1

=

D

500

W

250

11.6

11

(Micrograph Courtesy of Intergrated Circuit Engineering) 11.2

12

11.7

13

(CVD) CVD (APCVD) CVD(SACVD) CVD (LPCVD) CVD CVD(PECVD) CVD (HDPVCD) (VPE) CVD(MOCVD) 11.1

(PVD ) (SOG) (RF) (MBE) (SOD)

(ECD)

(IMP)

14

CVD 1. ( (pyrolysis)) 2. 3. CVD ()15

(Photo courtesy of Novellus Systems, Inc.) 11.3

16

CVDCVD5 () 34

17

CVD CVD CVD CVD CVD

18

CVD1) CVD 7) 2) 3) 4) 5) 6) 8)

11.8

19

CVD

11.9

20

11.10

21

CVDCVD CVD CVD CVD

CVD (APCVD) CVD (LPCVD) CVD CVD (PECVD) CVD (HDPCVD)22

CVDCVD

11.11

23

CVD APCVD ( CVD) LPCVD ( CVD) ( ) ( ) WSi2 ILD-1ILD () RF ( H2)

CVD CVD (PECVD) CVD (HDPCVD)

11.2

24

APCVD1 2 (a) N2 N2 N2 N2 N2 N2

(b) 11.12

25

APCVD TEOS-O3 Trench fill by chemical vapor deposition TEOS-O3

CVD Trench CVD oxide Nitride

n n-wellLiner oxide

p p-well p p- Epitaxial layer

p+ p+ Silicon substrate

11.3

26

PSG

PSG

PSG

11.14

27

11.15

28

LPCVD

() ()

11.16

29

TEOS LPCVD

LPCVD N2 O2

TEOS

11.17

30

MOS 1. 2.SiO2 3. 4. () 5. 6. (12)

31

Polysilicon gatep+ p+

n+

p+

n+

n+

n-well n p- Epitaxial layer p+ p+ Silicon substrate p+

p-well p

11.18

32

CVD1.(250450) 2.( ) 3. 4. 5. 6.33

CVDRF 1.

2. 3. 4.

RF

PEVCD 7. 8.

5.

6.

11.19

34

PECVD

RF

11.20

35

LPCVDPECVD

() 23 Si (/cm2)

LPCVD700 800 Si3N4 1.2 1.8 1010 ()

PECVD300 400 SixNyHz 1 8 109 ()

11.3

36

1900 (Photo courtesy of Applied Materials, Inc.) 11.4

37

SiO2

PECVD

1.

2.

3. 11.21

38

HDPCVD 5 1. 2. 3. 4. CVD 5.

39

HDPCVD 2.45 GHz

11.22

40

K K

41

SiO2

1)HDPCVD

2)PECVD

3)

11.23

42

ULSIILDk k FSG ( S ixO F y) H SQ ( ) 1 .3 2 .5 0 .2 5 400

(k)3 .4 4 .1

( m ) 0 .3 5

( )

F S G k S iO 2 (F O X ) CM P CVD (H D P C V D ) CVD 200 43

2 .9

0 .1 0

350 450

(P A E ) a C F ( FLA C )*

2 .6 2 .8 2 .8

0 .1 5 0 .1 8

375 425 250 350

2 .5

0 .1 8

420 450

11.4

(RC) (m)2.5

(RC)2.0

(109 )

1.5

1.0

0.5

0 0 .5 1.0 1.5 2.0

(m) 11.24

44

7

(1012 farads/cm)

6 5 4 K=4 3 K=3 2 1 0 0 0.5 1.0 1.5 2.0 2.5 3.0 K=2 K= 1

(m)Redrawn with permission from Semiconductor International, September 1998 11.25

45

k

()

()

(Ta, TaN, TiN )

46

11.5

DRAMSiO2 SiO2

11.26

47

(Micrograph courtesy of Intergrated Circuit Engineering) 11.5

48

(SOG) (SOD) (VPE) CVD(MOCVD) (MBE)

CVD CVD49

(SOG)

1)SOG

2)SOG 11.27

3)CVD50

HSQk 475, 60 sec, N2 50 rpm 8001500 rpm 800 rpm, 5 sec 1000 rpm, 10 sec 1000 rpm, 5 sec 200, 60sec, N2

11.6

51

(VPE) CVD(MOCVD) (MBE)

52

Si Cl ClH H H

Cl

H

Cl

Si

Si Si Si Si Si

Si Si

Si

Si Si

Si

11.28

53

RF

H2 SiH2 Cl2 (AsH3B2 H3)

11.29

54

RF

RF

11.30

55

ILD SiO2

(a)PECVDSiO2

(b)SiO2 SiO2

(c) 11.31

56

12

1. 2. 6 3. 4. 5. 6. CVD 7. 8. 2

() 0.25CMOS

12.1

3

ILDCMP ILDCMP ()

-2 CMP

ILD

-2 CMP 12.2

4

(Micrograph courtesy of Integrated Circuit Engineering) 12.1

5

1. 2. 3. 4. 5. 6. 7. 6

(20) (Si) (Doped Poly) (Al) (Cu) (W) (Ti) (Ta) (Mo) (Pt) 12.1

(C) 1412 1412 660 1083 3417 1670 2996 2620 1772

(-cm) 109 500 525 2.65 1.678 8 60 13 16 5 107

8

Top Nitride ILD-6

Bonding pad () -5Metal-5 (Aluminum)

Metal-4 -4 Via-4 -4

ILD-5 ILD-4

-3 Metal-3

Metal-4 is preceded by other vias, interlayer dielectric, and metal layers. -4

12.3

9

12.4

10

12.5

11

12.6

12

1. 2.65-cm 1.678-cm

2. 3. 4. 5. 2030%

13

0.25m Al/Cu TiN

0.25 m 0 -10%

0.18 m

0.13 m

+21% +93%

k (3.0)

-27% -16%

12.2

14

AlCuAl 2.65 (-cm) (3.2 for Al-0.5%Cu) () CMP 12.3

Cu 1.678 15

3

1. 2. 3. (200)

16

12.7

17

1. ( ) () 2. 3. 4. 5. 6.18

1. 2. 3. 4.CMP 5. 6.

19

Ta

12.8

20

Ti( ) Ti/TiN

Ti 12.9

21

() * () (-cm)

900 1410 830 1385 1330 1440

550700 9001100 700800 9001100 600800 9001100

1319 4070 2835 3555 1317 31

12.4

22

Ti Ti

12.10

23

TiSi2TiSi2 C49 625 675C

60 65 -cm

TiSi2 C54 800C

10 15 -cm

12.11

24

TiSi2

S/D

TiSi2 TiSi2 STI S G TiSi2 D STI

12.12

25

(Salicide)

1. 2. TiSi2

3. 12.13

4.26

() () ()

1. 2. 3.

12.14

1. 2. 3. 4. 5. 6.

27

SiO2

(Micrograph courtesy of Integrated Circuit Engineering) 12.2

28

CVD

29

()

12.15

30

1. 2. 3. (200nm) 4. ( (in situ sputter etch)31

DC 1)Ar + 5) ee+ + + + +

2)Ar e-

+

3)

6) DC

4)

12.16

32

()

+Ar 0 12.17

+

33

1. 2. 3. 4.

34

()

X e

(+)

12.18

35

3

RF() IMP()

36

RF

RF

12.19

37

DC

12.20

38

Ar

12.21

39

DC DC Ar + eeRF + Ti

Ti

DC 12.22

RF40

CVD

CVD

CVD

41

Ti/TiNCVDTi PECVD SiO2 1. TiN 2.Ti

3. CVD TiN

4.CVD 12.23

5.42

PVD

(Photo courtesy of Applied Materials, Inc.) 12.3

43

(-) +

+

+ Inlet 12.24

44

(Photo courtesy of Novellus) 12.4

45

(Micrograph courtesy of Integrated Circuit Engineering) 12.4

46

: SiO2

SiO2

: PECVDILD PECVD .

12.5.1

47

: SiN

SiN

: ILD (250) SiN SiNHDPCVD 12.5.2

48

:

SiN

: SiN

12.5.3

49

: SiO2

SiO2

: ILDPECVD

12.5.4

50

:

: SiO2

12.5.5

51

:

: ILDSiN SiN

12.5.6

52

:

: PVDTa TaN

12.5.7

53

: Cu

Cu

: Cu

12.5.8

54

: Cu

: (ECD) Cu

12.5.9

55

: CMPCu

: (CMP)Cu

12.5.10

56

13 : :

1.CD 2. 3.8 4. 5. 6.i-line 7. (DUV) 8. 9.2

()

/

(Used with permission from Advanced Micro Devices) 13.1

3

4

1:1 4:1

Photograph provided courtesy of Advanced Micro Devices 13.1

5

13.2

6

f (Hz) (m) 10 1022

X20

UV10 10-8 16

10 10-6 14

12

10

10 10

10 10

18

10 10-4

10 10-2

10 10 0

8

10 10 2

6

10 10 4

4

-14

-12

-10

(nm)

157

193

248

365 i

405 436 h g

VUV DUV DUV

UV 13.3

7

UVUV (nm)436 405 365 248 193 157

g-line h-line i-line UV (DUV) UV (DUV) UV (VUV)

UV (KrF) (ArF) (F2) 8

13.1

CMOS

PMOSFET NMOSFET

CMOS 13.4

9

10

13.5

11

photoresist oxide silicon substrate photoresist

oxide silicon substrate

13.6

12

()

()

13.7

13

() 13.8

()14

81. 2. 3. 4. 5. 6. 7. 8. 13.2

13 13 13 14 15 15 15 1515

8UV HMDS 1) 2) 3) 4)

5)

6)

7)

8)

13.9

16

(Photo courtesy of Advanced Micro Devices) 13.2

17

: hexamethyldisilazane (HMDS)

18

5ml 500rpm 3,0005,000rpm

13.10

19

90100 30 20

UV

13.11

21

(PEB) 100110

22

- - - - -

13.12

23

120140

24

25

26

13.13

27

HMDS

13.14

28

HMDS HMDS HMDS ( ) 200250 60

13.15

29

30

1. 2. ()

3. 4.()

31

I-Line I-Line I-Line

UV(DUV) 32

CD UV

33

34

35

13.16

36

13.17

37

13.18

38

UV

13.19

39

i-linePACPAC UV

PAC 13.20

40

i-line

13.21

41

DUVKrF100 120

(%)100 80 60 40 20 0 200 300 400 500 600DUV* 248 nm i-line 365 nm h-line 405 nm g-line 436 nm

(%)

80 60 40 20 0 248 nm

(nm)

*248nmDUVDUV(Used with permission from USHIO Specialty Lighting products) 13.22

42

(CA) DUV PAGs PAG PAG PAG PAG H+ H+ H+ PAG PAG

UV

PAG

PAG

PAG

(PEB)

CA43

CA

CA 13.23

DUV1. 2. 3. 4.

13.5

44

41) 2)

3)

4)

13.24

45

()

13.25

46

Z Y X

EBR

13.26

47

80000 70000

IX300

(%)

60000 50000 40000 30000 20000 10000 0 100021 cP 110 cP 70 cP

2000

3000

4000

5000

6000

7000

(RPM)(Used with permission from JSR Microelectronics, inc.) 13.27

48

13.28

49

(%w/w)

DNQ/Novolak

()

13.29

50

14 : :

1. 2. 3. 4. 5. 5 6. 7. 8. 2

8 1. 2. 3. 4. 5. 6. 7. 8. 14.1

13 13 13 14 15 15 15 153

3

1. 2. 3.

4

UV

( ) ( )

XYZ 5

14.1

1) STI

2) p

3) n

4)

5) n+ S/D

6) p+ S/D 7) 8)5 4 6 3 2 1 7 8

14.2

6

7

= v fv =3 108 m/sec f =Hertz () =

14.3

8

A

B

A+B

14.4

9

1 () 2 3

()

14.5

10

(nm) EUV VUV DUV -UV

400 450

500 550

600

650 700

4

50

100

150

200

250

300

350

13

126 157 193

248

365 i

405 436 g h

14.6

11

12

120 100

i-line 365 nm g-line h-line 436 nm 405 nm

(%)

80 60 40 20 0DUV 248 nm

200

300

400

500

600

(nm)(Used with permission from USHIO Specialty Lighting Products) 14.7

13

UV (nm) 436 405 365 248

g-line h-line i-line UV (DUV)

CD (m) 0.5 0.4 0.35 0.25

14.2

14

248nm100 80

KrF

(%)

60 40 20 0

()

210

220

240

260

280

(nm) 14.8

15

()

14.9

16

CD (m) 0.25 0.18 0.15

KrF ArF F2

(nm)248 193 157

(mJ/pulse)300 1500 175 300 6

(pulses/sec)500 400 10

(ns)25 15 20

14.3

17

14.10

18

19

i

r

i = r

14.11

20

(Used with permission from Canon USA, illuminator for mask aligner) 14.12

21

Snells : sin i = n sin r n = sin i / sin r

(n = 1.5)

(n 1.0)

(n = 1.5)

(n 1.0)

14.13

22

() (n)1.000293 1.33 1.458 2.419

14.4

23

(X, Y, q) 24

X -Z Y

(Used with permission from Canon USA, FPA-2000 il exposure system) 14.14

2f f

f = F = S =2f O =

S

F

O

F

S

14.15

25

f = F = S =2f O = S

F

O

F

S

14.16

26

14.17

27

28

14.18

14.19

29

UV

4 3 2 1 0 1

4 2 3

14.20

30

NA

14.21

31

NA NA 0.25 0.60 0.68

(1970 )

0.60 0.68

14.5

32

UV STI STI

14.22

33

14.23

34

(Photo courtesy of Grant Willsons research group at the University of Texas at Austin) 14.1

35

14.24

36

UV

BARC STI STI

14.25

37

BRAC(B) (A)(C) (D)

BARC (TiN)

CD

14.26

38

14.27

39

40

0.1

0.25

0.5

1.0

2.0

14.28

41

NAkk = 0.6 365 nm 365 nm 193 nm 193 nm

R=

k NAR 486 nm 365 nm 257 nm 193 nm

, NA Ri-line DUV

0.45 0.60 0.45 0.60

14.29

42

(DOF)

+

14.30

43

NADOF = , i-line

2(NA)2DOF 901 nm 507 nm 476 nm 268 nm

365 nm 365 nm 193 nm 193 nm

0.45 0.60 0.45 0.60

R 486 nm 365 nm 257 nm 193 nm -

, NA

DUV

DOF

+

14.31

44

()

45

(X, Y , Z , )

(X, Y, Z, )(Used with permission from Canon USA) 14.32

46

UV UV

14.33

47

(UV )

14.34

48

() ( 365nm)

5:1 NA=.45 - .63

(Used with permission from Canon USA, FPA-3000 i5) 14.35

49

UV 20mm15mm 4

51

14.36

1/54mm3mm 4

50

()

UV

UV

5:1

4:1 51

(Used with permission from ASM Lithography) 14.37

(SMIF) (193nm ArF)

41 NA = 0.45 0.6

(Used with permission from ASM Lithography, PAS 5500) 14.38

52

53

CD

( 4151)

CD () 14.6

54

(Photocourtesy of Advanced Micro Devices) 14.2

55

10:1

5:1

4:1

1:1

100 100 100 100 (mm) 10 10 20 20 (mm) 4 16 ( 5mm 5mm)

100 100 30 30 25 25 30 30

25

36

14.39

56

9 TFE HTM

TFE

(Used with permission from Etec Systems, Inc., MEBES 4500 System) 14.40

57

14.41

58

(PSM) (OPC)

59

a) +1 0 -1 +1 0 -1 +1 0

b)

c)

(Reprinted from the January 1992 edition of Solid State Technology, copyright 1992 by PennWell Publish Company) 14.42

60

CD

14.43

61

() A B

B+

A+ AB+

(a) 14.44

(b)62

(a)

(b)

(c)

14.45

63

64

Y

+Y -X +X

+Y -X +X

-Y

X

-Y

14.46

65

23 32 31 30 29

24

25

26

27

28

22 11

21 12

20 13

19 14

18 15

17 16

10

9

8

7

6

5

1

2

3

4

14.47

66

i-line C-scope () B-scope ()

iA () TV AA/FRA

(ALS)X-Y

TVPA ()

(Used with permission from Canon USA, FPA-2000 il) 14.48

67

+ GA + FARRAR

+ RA L/R GA + + L/R FAFAL/R +

+

+ FAL RAL

+ GAR

1FAR

+ GAL 2 1

+1 FAL

2 14.49

{

FAL/R + +

268

(633nm) BLC BLC (633nm) 14.50

69

70

( 14.7) (nm) (mm) (mm) (nm)71

(Photo courtesy of Silicon Valley Group Lithography Systems) 14.3

72

15 : :

1. DUV 2. DUV 3. 4. 5. 6. 4 7. (top-surface imaging) 2

81. 2. 3. 4. 5. 6. 7. 8. 15.1

13 13 13 14 15 15 15 153

DUV (PEB) PEB

i-line

4

T-DUV

}H+ PAG H+

T-

PAG

H+ H+

PAG PAG PAG

H+ H+

H+ H+ PAG

PAG

H+

PAG

H+

(PEB) 15.1

5

PEBPAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC

PAC PAC PAC PAC PAC

(a) UVPAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC PAC

(b)

(c) PEBPAC 15.2

(d) PEB6

7

X

X

X

15.3

8

UV

15.4

9

15.5

10

11

(a)

(b)

15.6

12

(a)

(b)

(c) DI 15.7

(d)13

14

15

15.8

16

17

(Photo courtesy of Advanced Micro Devices) 15.1

18

HMDS

UV

1.

2.

3.

4.

5.

8.

7..

6.

15.9

19

UV (EUV) SCALPEL (IPL) X

DESIRE 20

1. UV 2. 3. DUV 4. () 5. ( CMP) 6. ()

15.2

21

4

EUV

1/4

Redrawn from International SEMATECHs Next Generation Lithography Workshop brochure 22 15.10

SCALPEL

(41)

Redrawn from International SEMATECHs Next Generation Lithography Workshop brochure 23 15.11

(41) Redrawn from International SEMATECHs Next Generation Lithography Workshop brochure 24 15.12

XX X UVEUVDUV MUV

0.1 nm

1 nm

10 nm

100 nm

15.13

25

X X

X

X

Redrawn from K. Nalcamura, Lithography, ULSI Technology, ed. by C. Chang and S. Sze (New York: McGraw-Hill, 1996), p. 314. 15.14

26

(DNQ-Novolak)1970s 10 m 1.2 m 1980s 1 m 0.40 m 0.35 m

G-line i-line DUV

1990s

0.18 m

DUV 2000s 2010 15.15

0. 13 m 0. 1 m

EUV SCALPEL IPLX27

UV (a) (b)

HMDSSi Si

(c) 15.16

(d)28

16

1. 9 2. 3. 7 4. (HDP) 4 HDP 5. 6. 7. 8. 2

CMOS

(a)

(b)

16.1

3

CMOS ()

/

(Used with permission from Advanced Micro Devices) 16.2

4

5

6

T =T

t =

16.3

7

16.4

8

16.5

9

( ) ( ) 16.1

10

Wb Wa

(b)

(a)

16.6

11

16.7

12

Er Ef S= Er Ef

16.8

13

35 59

16.9

14

16.10

15

16

1. 2. CD 3. 4. 5.

16.2

17

RF 1) 2) 4) 5) 6)

8)

3)

7)

16.11

18

16.12

19

( ) ( )

CD

* (11)

(51 1001)

( 5001) (51 1001)

*

16.3

20

RF -VVt

(+Vp)

0

+V

Vp

16.13

21

() DC ()

RF RF DC

16.4

22

() (RIE) 23

RF

RF

16.14

24

RF

Roots

16.15

25

2.45 MHz

16.16

26

RF (3.56 MHz)

RF (100 kHz)

16.17

27

+ () + + + + + + + + + + + + + + + + + + + + + + + ++ + + + + + + + ++ + + + + + + + + + + + + + + + + + + + +

_

+

+ + +

()

16.18

28

Redrawn from Advanced Semiconductor Fabrication Handbook, Integrated Circuit Engineering Corp., pp. 812.

RIE ()

( )

( )

() RF

16.19

29

(Photo courtesy of Applied Materials, Inc.) 16.1

30

2.45 MHz 13.56 MHz Redrawn from Y. Lii, Etching, ULSI Technology, ed. by C. Chang and S. Sze (New York: McGraw-Hill, 1996), p. 349.

16.20

31

RF

RF

Redrawn from Y. Lii, Etching, ULSI Technology, ed. by C. Chang and S. Sze (New York: McGraw-Hill, 1996), p. 351.

16.21

32

(DPS)RF ()

RF ()

Redrawn from Y. Ye etal., Proceedings of Plasma Processing XI, Vol. 96-12, ed. G. Mathod and M. Meyyoppan (Pennington, NJ: The Electromechanical Society, 1996): p. 222.

16.22

33

(HERIE)

()

13.56 MHzRedrawn from Wet/Dry Etch (College Station, TX: Texas Engineering Extension Service, 1996), p. 165. 16.23

34

() (RIE) (ECR) ECR (ICP) RIE (MERIE)

(torr)101 1 101 1

()

() ( ) () () ()

RF RF

101 1 103 104

()

RF

0.1 103 104 () 101 1

RF DC RF DC RF DC RF DC RF DC RF

16.5

35

16.24

36

CF4/O2 Cl2 CHF3 Cl2 BCl3 O2 SiF SiCl CO Al AlCl CO OH H N2 NO 16.6

(nm) 440; 777 287 484 391; 394; 396 261 484 309 656 337 24837

N2 ( )

Photograph courtesy of Advanced Micro Devices, Lam Rainbow etcher

38

39

1. ( ) 2. 3. 4. 5. 6.

40

-

- - - -

16.25

CD

41

CF4 C3F8 C4F8 CHF3 NF3 SiF4 Ar HF F CHF CF2 CH4

16.26

42

(SiN3) LI 5 CVD 2 Doped oxide CVD

Nitride CVD 1 CVD

3 CMP Oxide CMP LI Oxide LI

4 Oxide etch

Nitride etch

5

n n-wellp p- Epitaxial Layer p+ p+ Silicon Substrate

p p-well

16.27

43

G S D

16.28

44

16.29

45

1. (breakthrough step) (SiON) 2. (mainetch step) 3. (overetch step)

46

16.30

47

16.31

48

1. (1000 nm/min) 2. (41) (20 1) 3.CD ( 8%) 4. 5. ( ) 6. 7.49

VLSI/ULSIPhotoresist mask Metal etch

ILD-1LI Oxide LI

TiN Al + Cu (1%) Ti

n n-wellp p- Epitaxial layerp+ Silicon p+ substrate

p p-well

16.32

50

1. 2. ARC () 3. 4. 5. 6. 7. ()51

SiO2 ILD ILD (a)ILD-2 () SiO2 (c) 16.33

(b)CVD

(d)52

53

( NH4F HF ) 54

16.7

25BHFa

CVD

(g/cm3)2.24 2.27 2.18 2.21 < 2.00 < 2.00

(nm/s)1 1.5 1.5b 5c 10 20

a) 680ml 454g NH4 10 48% HF 1 b) 1,000 10 c)* B. El-Kareh, Fundamentals of Semiconductor Processing Technology (Boston: Kluwer Academic, 1995), p. 277.

16.8

55

4 5m

1977 1977 1981 1982 RIE 1983 1991 (ICP)

HF/HNO3 CF4/O2

3m

CF4/O2

2m

MFCs 16.9

1.5m

SF6/Freon 11 SF6/He CCl4/He Cl2/He Cl2/HBr Cl2HBr

MFCs RF

0.5m 0.25m

56

57

1)

6) 5) 4) + + + + + + + +

2)

3)

16.34

58

16.35

59

17

1. 2. 3. 4. 5. 5 6. 7.

2

IIIA (p ) 5 13 31 49 IVA 6 14 32 50 VA (n ) 7 15 33 51

17.1

3

CMOSpN M p+ n+ STI p + p n n+ n++ p F n E G p+ K O L LI STI n I n+ n+ H P n + p n p+ p++ J

n

STI

p+

CB

D

p p+

A

17.1

4

A. B. C. D. E. F. G. H. I. J. K. L. p+ p n p p p (VT) n n VT n (LDD) n (S/D) p LDD p S/D

BF2 BF2 17.2

5

M. N. O. SiO2

() / ()

(Used with permission from Advanced Micro Devices) 17.2

6

N p+

17.3

7

8

Si Si Si Si Si Si Si Si Si

Si Si Si

Si

Si

Si Si Si

a)

b)

Si Si

Si

Si Si Si

Si

Si Si Si

Si Si Si

Si

Si

Si Si

Si

c) 17.4

d)9

1,100

(As) (P) (B) (Sb) (Al)

(atoms / cm3)1.7 x 1021 1.1 x 1021 2.2 x 1020 5.0 x 1019 1.8 x 1019

17.3

10

81. 2. 3. 4. 5. 6. 7. 8.11

(As) (P) (P) (B) (B) (B) (Sb)

AsH3 PH3 POCl3 B2H6 BF3 BBr3 SbCl5

() () () () () () ()

*SEMATECH Diffusion Process, Furnace Process and Related Topics, (Austin, TX: SEMATE- CH, 1994), p.7.

17.4

12

13

xj (a) (n, p) (xj)

xj

(b) (n+, p+) (xj)

17.5

14

17.6

15

(Photo courtesy of Varian Semiconductor Equipment, VIISion 80) 17.1

16

( 17.5) 1. 2. 3. 4. 5. 6. 7. 17.5

17

10mA 180 keV 10mA 25mA 120 keV ( 4 keV 200 eV) 200 keV MeV 17.6

18

Rp Rp

17.7

19

1.0

Rp (m)

B0.1

P

As

Sb

0.01 10 100 1,000

(keV)Redraw from B. El-kareh, Fundamentals of Semiconductor Processing Technologies, (Boston: Kluwer, 1995), p. 388. 20 17.8

Si Si Si Si

Si Si

XSi Si Si Si Si Si

Si Si Si Si Si Si Si Si Si Si Si Si

17.9

21

17.10

22

23

(Used with permission from Applied Materials, Inc., Precision Implanter 9500) 17.11

24

Bernas

+100 V

5V

DI (Used with permission from Applied Materials, Inc., Precision Implanter 9500) 17.12

25

N S + + + + + + + + + N S

-

+ + + + +

To PA

2.5 kV 120 V 60 kV

5V

(PA)26

(Used with permission from Applied Materials, Inc., Precision Implanter 9500) 17.13

17.14

27

(Photo courtesy of Varian Semiconductor Equipment, VIISion 80) 17.2

28

+100 kV +80 kV +60 kV +40 kV +20 kV 0 kV

+100 kV100M 100M 100M 100M 100M

17.15

29

1016 1017

1015 (atoms/cm2 )1014 1013

Vt

1012 1011 0.1

1

10

100

1000

10,000

(keV)(Used with permission from Varian Semiconductor Equipment) 17.16

30

17.17

31

+++

+ +

+ +

+

+

+

+ + +

+

+ +

+

+

+ + + + + + + + + ++

+ +

+

++

+

+

+

++

++ +

++ 17.18

+

+

+ + +

+

32

Y

X

(Used with permission from Varian Semiconductor Equipment) 17.19

33

Y X

Y

X

17.20

34

a)

b)

17.21

35

()

(Used with permission from Varian Semiconductor Equipment, VIISion 80 Ion Implanter) 17.22

36

++ ++ ++

+ + +

+

+ +

++

+

+

+

+

+ +

+

++

+

+

+ + +

(Used with permission and adapted from Eaton NV10 Ion Implanter, circa 1983) 17.23

+

+

+ +

+ +

+ +

+

+

+ + +

+

37

+ Ion beam+ ++

+

+ +

++

++

N

N+

S

()

+

Ar Ar Ar

S

17.24

+

+

+

+ + +

+ + +

+

+ +

+

+

+ ++ +

+

+

38

(Photo courtesy of International SEMATCH) 17.3

39

VIISion

(Used with permission from Varian Semiconductor Equipment, VIISion 200 Ion Implanter) 17.25

40

Redrawn from S. Ghandhi, VLSI Fabrication Principles: Silicon and Gallium Arsenide, 2nd ed., (New York: Wiley, 1994), p. 417. 17.26

41

a)

b)

17.27

42

(Used with permission from Edgard Torres Designs) 17.28

43

(Used with permission from Edgard Torres Designs)

17.29

44

17.30

45

(LDD) (SOI)46

n p p+ p+

p

17.31

47

n n p p

n++ p+ p+

p++

17.32

48

n n n+ n++ p+ p+ p p p+ p++

17.33

49

n n n n+ n++ p+ p+ p p p p+ p++

17.34

50

p n LDD p n LDD ++++ ++++

p+ S/D

n+ S/D

-----

-----

n p+

p

++++ ++++ ++++

++++ ++++ ++++

------------

-----------

n

p

p+ p+

p+

(a) p n ()

(b)p+ n+ ()

17.35

51

n

+

n+ p+

17.36

52

180 nm

54nm

20

17.37

53

SIMOXCMOS

n

p

n

p

(b) SIMOXCMOS

(a) CMOS

17.38

54

18

1. 2. 3 3. CMP 4. CMP 5. CMP 6. CMP 7. 7CMP2

IC

ILD n+

n+

p+ n

p+

p p+

18.1

3

(1 10m)

18.1

4

a)

b)

c)

d) e)

18.2

5

(CMP)

W W SiO2 W SiO2

SiO2

0.25CMOS

18.3

6

CMP ()

/

(Used with permission from Advanced Micro Devices) 18.4

7

(a) IC

(b) IC

(Micrographs courtesy of Integrated Circuit Engineering) 18.1

8

9

SOGSiO2

SiO2

18.5

10

BPSG

BPSG

BPSG

18.6

11

ILD-2SOG

1)

ILD-1 SOG

2)

ILD-1 ILD-2 ILD-1

3)

18.7

12

CMP CMP CMP CMP CMP CMP CMP13

(CMP)

18.8

14

SHpost SHpre Max Min Min SiO2 SiO2

Max

18.9

15

CMP1. 2. 3. 4. 5. 6. 7. IC 8. 9. () 0.5 IC () CMP 18.2

16

CMP1. 2. 3. 4.

CMP CMP 0.25 CMP CMP CMP CMP CMP

18.3

17

CMP (1) (3) CMP (5)Si Si Si

Si

(2)Si

Si(OH)4

Si

(4) Si Si Si Si Si Si

Si Si

Si Si

Si Si

Si Si

Si Si

Si Si Si Si

Si Si

Si

SiO2 18.10

18

CMP

1)

2) 3)

18.11

19

CMP

()

()

18.12

20

SiO2 LI SiO2 SiO2 18.13

SiO2

SiO2

21

CMP

( )

()

18.14

22

CMP

18.15

23

CMP

(Photo courtesy of Speedfam-IPEC) 18.2

24

CMP+ + + + + + + + + + + + + + +

18.16

+ + + + + + + 25

+

+

CMP ()

CMP 18.4

26

CMP

18.17

27

CMP

(Photo courtesy of Speedfam-IPEC) 18.3

28

RPM W W/Ti/TiN TiN/SiO2 SiO2

18.18

29

Redrawn from H. Litvak and H. M. Tzeng, Implementing Real-Time Endpoint Control in CMP, Semiconductor International (July 1996): p.262. 18.19

30

CMP ()

Redrawn from K. Wijekoon, et al., Tungsten CMP Process Developed, Solid State Technology (April 1998): p.55. 31 18.20

CMP

CM P CM P CM P

DSS + DI

DSS+

DSS+ HF

DSS+

18.21

32

CMP

DI

Redrawn from D. Hymes, et al., Brush scrubbing Emerges as Future Wafer-Cleaning Technology, Solid State Technology (July 1997): p. 210. 18.22

33

CMP / Applied M aterials M irra 3400 Ebara EPO-222 Speedfam -IPEC Avanti 472 Avanti 672 IPEC 676/776 Auriga-C Lam Teres SpeedFam Auriga Strasbaugh Symphony (in.) 3 / 20 2 / 23.6 4 1

2 / 22.5 3 or 6 / 32 4 / 16 2 belts 2 / 32 3 / 32 18.5

1 3 6 4 4 5 4

34

CMPSTI LI LI ILD

35

STICMPCMP Planarization by chemical mechanical polishing1

Oxide CVD CVD2

STI STI oxide after polish

3

Nitride strip

n n-well

p p-well

Liner oxide

p- Epitaxial layer p p+ Silicon substrate p+

18.23

36

CMPLICVD 2 Doped oxide CVD

Nitride CVD 1 CVD

3 CMP Oxide CMP LI oxide LI

n n-wellp- Epitaxial layer p p+ Silicon p+ substrate

p p-well

18.24

37

ILD1 ILD-1 oxide deposition ILD-1

CMP 2 Oxide CMPILD-1 LI oxide LI

3 ILD-1 oxide etch ILD-1

n n-well p p- Epitaxial layerp+ Silicon p+ substrate

p p-well

18.25

38

CMP2 Cu deposition

Tantalum Nitride

1 Ta deposition

3 CMP Cu/Ta/nitride/oxide CMP

Oxide

Copper

18.26

39

CMPSiO2 ILD-2 1 ILD-1 1) SiO2CMP 2) SiO2

3)CMP 18.27

40

19

1. IC 2. 3. 4. 5. 6. 7. 8. 32

IC (IC) 1. IC IC ( ) IC ( ) 19.1

2.

3. () 4.

IC

5.

IC

3

(Photo courtesy of Advanced Micro Devices) 19.1

4

()

/

(Used with permission from Advanced Micro Devices) 19.1

5

( WET) ()

6

1. 2. 3. 4. 5.

7

CMOS

CMOS CMP CMP

() 19.2

8

19.3

9

19.2

10

I W1 + W Iin VA + V 1 VB VC + V 2 I W2 + W

VD

Iout

_

_

Redrawn from Microelectronics Manufacturing Diagnostics Handbook, ed. A. Lanzberg (New York: Van Nostrand Reinhold, 1993). 19.4

11

Opens /shorts Gshorts Gateleak () 2 1 1 2 16 22 16 20 Go/No-go Go/No-go 1pA 10V 20mA 0.21V 0.41V 5100pA

BVox Idsat

Vt Vtsat Idoff

() 19.3

12

() Rds Peakisub BVdss Vds/Ids ( ) pMOSFET nMOSFET 20 6 10 2 2 21 11 2 251,000 5A 10V 12V 12V 21k 100nA 10nA

Pfieldvt Nfieldvt Res2t Isolation Diode fvmi

19.3 )

13

_VTH

+ n+ e n+ ID

_ +

p-type silicon substrate

_

VDD

+

19.5

14

1. 2. 3.(from wafer to wafer) ( 10%) 4.(lot-to-lot) 15

1. (electronmigration) 2. 3. 4. 16

17

(X, Y, Z, ) -Z X-Y 19.6

18

(Photo courtesy of Probe Technology) 19.2

19

Z

Y X

(DUT)

19.7

20

19.8

21

19.9

22

PC

FMU1 FMU2 FMU3 FMU4 FMU5 PAU CMU UIU

TCU SMU

(Used with permission from Keithley Instruments) 19.10

23

1. IC 2. ( ) 3. 4. 24

19.11

25

FailVcc Shorts Open/Shorts Initial Powerup Ramp Sequence Static Powerup Dynamic Powerup Ring Oscillator Transistor Test Scan Check BIST Bin 11 Bin 12 Scancheck 141 - 155, Bin 11 Cache Redundancy Redundancy Function Pass = Bin 17, Fail Bin 6 Cleanup Speed Leakage Bin 5 Bin 6 Bin 7 Cache 112- 155 Bin 12, 17, 18, 20 Bitmap & Cache Fail Bin 19 Bin 10 Bin 8 Bin 9 Bin 10 Bin 13 Bin 14 Fails Open, Sbin 80 Fails Shorts, Sbin 90 2.0 to 3.0 V @ 40 MHz, SBIN 10 Fails Static Powerup, SBIN 45 Fails Dynamic Powerup, SBIN 46

19.12

26

Bin1 Device: Lot: Wafer: Layer: Yield: Good: Total: Example Example 200 mm Hardware Bins 79.54% 70 88 10 2 1 1 3 10 1 Good Bad 1 12 12 1 6 7 1 1 1 1 1 1 2 1 1 1 1 1 1 6 1 5 4 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 12 10 1 1 1 1 10 10 3 1 1 1 1 4 1 1 1 7 1 1 1 1 1 1 10 1 7 1 1 1 1 1 1 2 1 1 1 1 1 7

19.13

27

DC()

28

Node 1 Node 5 Node 9

Node 2

Node 6 Node 7

Node 11

Node 3

Node 10 Node 8

Node 4 19.14

29

0/1 1 = 0 2 = 0 3 = 1 4 = 1

19.15

30

IDDQ

31

VDD

VoutA C

A+BC+DB

D

B+CA+D*

Gnd

* 19.16

32

) (

0

2

4

6

8

(pA) 19.17

33

34

10.8% 14.5%

200 mm

300 mm 35

19.18

600 400 200 0 250 180 150 130 100 70 50 800 1500

1000 (106)500 0

(nm)Redrawn from C. Gross et al., Assessing Future Technology Requirements for Rapid Isolation and Sourcing of Faults, Micromagazine (online version) http://www.Mircromagazine.com/archive/98/07/ jensen.html> (July 1998), p. 6. 36 19.19

DRAM R&D 100 256 Mb 80 60 40 64 Mb 20 16 Mb 0 -1 0 1 2 3 4 5 6 7

1 Mb 4 Mb 256 Kb 64 Kb

Redrawn from C. Gross et al., Assessing Future Technology Requirements for Rapid Isolation and Sourcing of Faults, Micromagazine (online version) http://www.Mircromagazine.com/archive/98/07/ jensen.html> (July 1998), p. 6. 37 19.20

DRAM

Poissons Murphys Seeds

38

SPC1 1 3 10 1 1 1 10 2 12 12 1 6 7 1 1 1 1 1 1 2 1 1 1 1 1 1 6 1 5 4 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 12 10 1 1 1 1 10 10 3 1 1 1 1 4 1 1 1 7 1 1 1 1 1 1 10 1 7 1 1 1 1 1 1 2 1 1 1 1 1 7

WIP Metal-1ILD-1 LI Oxide

Top Nitride ILD-6 Metal-4 Bonding Pad Metal-5 ILD-5 ILD-4 Metal-3

1 10 2 1 12 12 1 6 7 1 1 1 1 1 1 2 1 1 1 1 3 10 1 1 1 1 1 6 1 5 4 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 12 10 1 1 1 1 10 10 3 1 1 1 1 4 1 1 1 7 1 1 1 1 1 1 10 1 7 1 1 1 1 1 1 2 1 1 1 1 1 7

Via -4 Via -3 Via-2

Metal-2

ILD-3

N-well P- Epitaxial Layer P+ Silicon Substrate

P-wellMetal-1 ILD-2

Poly LI-WSTI

ILD-1 LI Oxide

Poly

Via-1 LI-WSTI

P+

PN-well

STI

P+

N+

NP-well

N+

P- Epitaxial Layer P+ Silicon Substrate

19.21

39

20

1. 2. 3. 4.7

2

IC41. 2. 3. 4.

3

20.1

4

IC

(DIP)

(SIP)

(TSOP)

(QFP)

(PLCC) 20.2

(LCC)5

IC RC (IOs) () () () 20.1

6

ICIC

PCB

PCB

PCB

20.3

7

8

20.4

9

20.5

10

DIP

20.6

11

20.7

12

-/

Al2O3

20.8

13

20.9

14

20.1

15

20.10

16

(1) (2) (3)

(4) 20.11

(5) 17

(1) (2)

(3)

(4)

(5)

(6)

20.12

18

20.13

19

20

TO

20.14

21

20.15

22

20.16A

23

20.16B

24

20.16C

25

20.16D

26

20.16E

27

20.16F

28

20.16G

29

4

20.17

30

(Photo courtesy of Advanced Micro Devices) 20.2

31

CERDIP

20.18

32

IC

20.19

33

(BGA) (COB) (TAB) (MCM) (CSP) 34

20.20

35

C4 Al (1)

3 - + (2)

2

(3) 20.21

(4) 36

20.22

37

20.23

38

20.3

39

20.24

40

IC

20.25

41

20.26

42

MCM

20.27

43

1800 1500

1200900 600 300 0 1996 1997 1998 1999 2000 2001

Redrawn from S. Winkler, Advanced IC Packaging Markets and Trends, Solid State Technology (June 1998): p. 63. 44 20.28

( )

CSP CSP A re a a rra y , b u m p e d C S P S m a ll o u tlin e n o -le a d /C -le a d (S O N /S O C ) B u m p c h ip c a rrie r (B C C ) M ic ro -stu d -a rra y (M S A ) B o tto m le a d e d p la stic (B L P ) Q u a d fla t n o -le a d (Q F N ) M e m o ry C S P Q u a d o u tlin e n o n -le a d e d E n h a n c e d fle x C S P F le X B G A FBG A C h ip -o n -fle x C S P M u lti c h ip sc a le p a c k a g e (M C S P ) C S P fo r m e m o ry d e v ic e s IZ M fle x P A C M o ld e d B a ll G rid A rra y C h ip -o n -fle x C h ip S iz e P a c k a g e F in e -p itc h B G A (F P B G A ) M ic ro B G A C h ip A rra y P a c k a g e (C A B G A ) CSP C e ra m ic m in i-B G A M o ld e d a rra y p ro c e ss C S P P la stic c h ip c a rrie r CSP T ra n sfo rm e d g rid a rra y p a c k a g e C e ra m ic /p la stic fin e -p itc h B G A F u jitsu F u jitsu H ita c h i L G S e m ic o n M a tsu sh ita T I Ja p a n T o sh ib a 3M A m k o r/A n a m F u jitsu GE H ig h te c M C A G H ita c h i F ra u n h o fe r In stitu te M itsu b ish i E le c tric M o to ro la S in g a p o re NEC T e sse ra A m k o r/A n a m C y p re ss S e m ic o n d u c to r IB M M o to ro la N a tio n a l O k i E le c tric Sony T o sh ib a

A m k o r/A n a m

( )

20.2

45

C4

20.29

46

C4

(Photo courtesy of Advanced Micro Devices) 20.4

47

Redrawn from V. DiCaprio, M. Liebhard, and L. Smith, The Evolution of a New Wafer-Level Chip-Size Package, Chip Scale Review (May/June 1999). 20.30

48

IC 20.31

WLP

49

x y IC 1mm (65 125oC) ()

SMT - - - 20.3

50