РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав....

59
Министерство образования и науки Российской Федерации Федеральное государственное автономное образовательное учреждение высшего профессионального образования «Уральский федеральный университет имени первого Президента России Б.Н. Ельцина» Институт радиоэлектроники и информационных технологий - РТФ Кафедра высокочастотных средств радиосвязи и телевидения ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. кафедрой ВЧСРТ ______________ Князев С.Т. ( подпись) «_____»_________________2015 г. Разработка методики проектирования модели многопроводной системы передачи данных Пояснительная записка 210400 3031 888 ПЗ Руководитель д.т.н. Шабунин С.Н. Нормоконтролер Харитонов Ф.В. Студент гр. РИМ-230502 Шестаков А.П. Екатеринбург 2015

Upload: others

Post on 12-Mar-2020

42 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

Министерство образования и науки Российской Федерации Федеральное государственное автономное образовательное учреждение

высшего профессионального образования «Уральский федеральный университет имени первого Президента России Б.Н. Ельцина»

Институт радиоэлектроники и информационных технологий - РТФ

Кафедра высокочастотных средств радиосвязи и телевидения

ДОПУСТИТЬ К ЗАЩИТЕ В ГАК

Зав. кафедрой ВЧСРТ

______________ Князев С.Т. ( подпись)

«_____»_________________2015 г.

Разработка методики проектирования модели многопроводной системы

передачи данных

Пояснительная записка 210400 3031 888 ПЗ

Руководитель д.т.н. Шабунин С.Н.

Нормоконтролер Харитонов Ф.В.

Студент гр. РИМ-230502 Шестаков А.П.

Екатеринбург 2015

Page 2: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

2

ОГЛАВЛЕНИЕ

РЕФЕРАТ 3

Введение 4

Модели линии передачи 6

Линия передачи 6

Электромагнитная эквивалентная модель длинной двухпроводной линии 6

Телеграфные уравнения 7

Линия с потерями 9

Линия без потерь 10

Параметры линии передач 11

Отражение в линии, режимы согласования. 12

Способы измерения характеристического сопротивления линии. 17

Дифференциальная пара 14

Особенности дифференциальных линии 15

Отличия в реальной линии от модели. 17

Измерение параметров системы 21

Измеренные параметры 31

Модели линии передачи AWR-DE 34

Среда разработки 34

Возможности среды разработки 34

Выводы 57

Литература 58

Page 3: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

РЕФЕРАТ

Пояснительная записка содержит 59 страниц, 10 источников

Цель работы: Разработка методики проектирования многопроводных

систем передачи данных при помощи среды разработки NI AWR-DE.

В Работе рассматривается процесс:

Разработки моделей линии передачи данных.

Разработки моделей оконечных устройств, участвующих в системе

передачи.

Рассматривается процесс настройки, калибровки векторного

анализатора данных.

В Работе приведены:

Измеренные параметры линии передачи данных.

Измеренные параметры оконечных устройств

Форма искажении сигналов при прохождении через модели линии

передачи, при работе на согласованную нагрузку, пониженное и повышенное

входное сопротивление оконечного модуля.

Спра

в. №

Пе

рв. п

рим

ен.

2104

00 3

031

888

ПЗ

УРФУ

Кафедра ВЧСРТ г. РИМ-230502

Изм

Инв

.№ п

одл.

Вз

ам. и

нв.№

Инв

.№ д

убл.

По

дп. и

дат

а

Разраб. Пров.

Н.контр.

Дата № докум.

Подп. Лит. Лист Листов

59 Шабунин С.Н.

Харитонов Ф.В.

Разработка методики проектирования модели многопроводной системы передачи данных

Лист

Пояснительная записка

Подп

. и д

ата

210400 3031 888 ПЗ

Шестаков А.П.

3

Page 4: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

4

ВВЕДЕНИЕ

В различных системах управления, связь между частями системы

осуществляется при помощи кабельной сети – это система линий передач,

которые, связывают исполнительные части системы с датчиками и

управляющими устройствами. В современных системах, например,

основанных на стандарте MIL-STD-1553 используются экранированные

витые пары в качестве физической среды передачи.

В системах, построенных по стандарту MIL-STD-1553 цифровой

сигнал передается в дифференциальном коде – передаётся не сам логический

уровень, а только его измерение. Данные сигналы обладая резкими фронтами

обладают значительным числом гармонических составляющих на частотах

кратной основной частоте сигнала, и для достаточно протяжённых линии, т.е.

в, линиях длиной много больше длины волны на рабочей частоте сигнала

(электрически длинная линия) характерно появление эффектов вызванных

электромагнитной структурой линий, и при наличии ошибок при построении

системы линия передачи может вносить значительные искажения в форму

сигнала передаваемого сообщения.

Основной причиной появления таких искажений является

рассогласование, вызванное наличием отражений сигнала на концах линий,

вызванных разницей входного сопротивления приборов и

характеристического сопротивления линии.

Согласующие резисторы выбранные равными характеристическому

сопротивлению линии, применяемые на оконечных устройствах, решают

проблему частично, выполняя согласование только на конкретной одной

частоте – частоте на которой характеристическое сопротивление линии равно

согласующему сопротивлению. Стоит отметить, что характеристическое

сопротивление линии, является частотно зависимым параметром, помимо

всего обладающим реактивной составляющей на низких частотах.

Page 5: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

5

Второй причиной появления искажений являются особенности

реализации выходных каскадов приборов, формирующие выходной сигнал.

В данной работе производится разработка методики проектирования

моделей систем передачи данных в среде AWR-DE на основе модели

конкретной системы. Производится разбор этапов построения.

Произведено измеренная, реально действующей системы передачи

данных с использованием протокола MIL-STD-1553 выполненной с

использованием витой, экранированной пары с подключенными приемо-

передающими устройствами (датчики, исполнительные устройства,

вычислитель). Производится описание процесса калибровки, процесса

измерения параметров.

Основные измерения производятся на измерительном приборе -

векторный анализатор цепей Rode&Swartz ZNB4 позволяющий производить

измерение комплексного коэффициента передачи в диапазоне от 9 кГц до 4

ГГц. Описывается особенности работы с прибором.

Оценивается влияние различных параметров, оказывающих влияние на

качество работы системы. Производится анализ, описание процесса

проектирования подобных моделей.

Page 6: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

6

МОДЕЛИ ЛИНИИ ПЕРЕДАЧИ

Линия передачи

В данной системе используется экранированная витая пара (STP –

Shielded Twisted Pair). Рабочий тип волны для такой линии quasi-TEM, поле

в данной линии не может быть выражено аналитически.

Для простоты рассмотрим более простую модель дифференциальной

пары, на примере которой опишем связь между моделями на

сосредоточенных элементах и электромагнитной моделью

Электромагнитная эквивалентная модель длинной двухпроводной

линии

В рассматриваемой системе используется дифференциальная пара. В

качестве идеальной модели для подобной линий можно взять модель,

состоящую из двух параллельных друг-другу и оси Z бесконечно длинных

проводников. В качестве среды используем бесконечное изотропное

пространство заданными магнитной и электрической проницаемостью (ε, μ),

с некоторым затуханием (проводимостью - σ). Затухание необходимо для

единственности решения.

Для того что бы выполнить граничные условия на границе идеального

проводника, вектор электрического поля должен быть нормальным к

поверхностям обоих проводников, а вектор магнитного поля – должен быть

тангенциальным.

Так как магнитное поле лежит в ортогональной плоскости к оси Z

(плоскость X-Y), то единственные сторонние токи возбужденные в

проводниках будут иметь только Z – составляющие. Это и есть токи в линии

передачи. Иными словами, и магнитное и электрическое поле в данной

модели не имеют Z - составляющих (направление распространения), что

соответствует определению TEM (Transverse Electromagnetic) волны.

Page 7: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

7

Все модели длинных линии строятся на основе данного допущения -

структура магнитного и электрического поля должна удовлетворять условия

распространения ТЕМ волны.

Телеграфные уравнения

Уравнения для TEM волны для сформулированных условии носят

названия телеграфных уравнений и могут быть записаны:

Page 8: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

8

Где, v0, i0 – это нормировочные константы, которые позволяют задать

правильную размерность для напряжения и тока, и выполнить равенство по

передаваемой мощности.

Этот переход лишен физического смысла и взывает значительную

неоднозначность в отношении нормировки.

Один из возможных способов провести нормировку это задать v0 как

напряжение между двумя проводниками, которое в свою очередь

определяется интегралом по произвольному контуру между двумя

произвольными точками на каждом из проводников в плоскости

ортогональной распространению волны (X-Y).

Так-как проводники заданы идеально проводящими, потенциалы в

любой точке поверхности проводников одинаковы всюду, по определению

электрическое поле потенциально, а значит не зависит от пути

интегрирования, из чего следует, что напряжение может быть задано как

простая разница потенциалов на поверхности двух проводников, но данная

формулировка применима только к TEM волне.

Аналогичным образом задается ток, как интеграл по произвольному

контуру вокруг одного из проводников, в плоскости X-Y. Так как у поля E

нет Z составляющей, то и нет и тока смещения в Z-направлении а значит

интеграл тоже не зависит от пути интегрирования.

В моделях линии на сосредоточенных элементах линия передачи

замещается эквивалентной схемой состоящих из набора секций, где каждая

секция рассматривается как малый сосредоточенный элемент

Вводят элементарную длину dZ, на котором задаются параметры R, G,

L, C – то есть сопротивление, индуктивность, проводимость, емкость на

единицу длины. Схема секции приведена на рисунке:

Page 9: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

9

Рисунок 1 - схема замещения

R, G, L зависят от частоты, С не зависит от частоты, и зависит только

от материалов проводника, формы, размеров, и расстояния между ними [7].

Рисунок 2 – частотные зависимости параметров R, G, L, C параметров от частоты линии

передачи [7].

Линия с потерями

Для модели на сосредоточенных элементах связь между параметрами

задается системой уравнений:

Page 10: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

10

Page 11: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

11

ПАРАМЕТРЫ ЛИНИИ ПЕРЕДАЧ

Рассмотрим, какими параметрами может описываться линия передачи.

Постоянная распространения

Постоянная распространения, это мера измерения амплитуды волны

происходящее при прохождении волны в направлении распространения.

Page 12: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

12

Page 13: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

13

По максимуму передаваемой мощности.

Для максимизации передаваемой активной мощности согласование

определяют, как равенство сопротивления источника к комплексно

сопряжённому сопротивлению нагрузки:

Page 14: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

14

Дифференциальная пара

Для компенсации электромагнитных помех вызванных

электромагнитной индукцией к проводнику (электромагнитные помехи

одинаково влияют на обе пары), применяют дифференциальную пару. Для

работы такой системы, требуется подавать на два проводника равные по

модулю, но разные по знаку значения напряжения. Данный режим

возбуждения называется дифференциальным. Кроме того, существует

синфазный (Common mode) режим возбуждения, когда на проводники

подается одинаковые по знаку напряжения, в общем случае для линии

передачи — это лишено смысла. Кроме того, данный режим возбуждения

может появляется из-за разности длин проводников, неоднородности пере

вития, и является в данном случае паразитным.

Конструкции витых пар

Неэкранированная витая пара

Для снижения влияния помех на дифференциальную линию передач

используют перевитие. Помехозащитные свойства в таком случае

объясняются тем, что падающая волна помехи, возбуждает в разных витках

противофазные токи взаимно гасящие друг друга:

Рисунок 3 – Влияние помехи на витую пару.

Shielded twisted pair (STP)

Для дальнейшего улучшения помехозащищенности используются

дополнительное экранирование витой пары.

Page 15: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

15

Рисунок 4 – Подключение экранированной витой пары.

Наличие экрана, имеет и негативную сторону – увеличивается

паразитная емкость между витой парой и экраном.

Особенности дифференциальных линии

Zo, Zd (Волновое сопротивление в Дифференциальном и синфазном

режиме)

В дифференциальной линии с двумя проводниками и землей

существует два вида возбуждения – Синфазное (common) и

Дифференциальное (differential). Каждая мода в линии передачи обладает

своей постоянной распространения и характеристическим сопротивлением

(Zo,Zd).

Способы согласования дифференциальных пар на концевых

устройствах.

В системах, работающих на высокой частоте согласование

производится на каждом из устройств, входящих в систему, в устройствах с

более низкими рабочими частотами, согласование производится двумя

согласующими устройствами на концах линии, на участке максимальной

протяжённости. Промежуточные устройства в линии выполняются с высоким

входным сопротивлением.

Оконечные устройства могут быть согласованны несколькими

способами (в случае низкочастотных дифференциальных схем передачи

данное подключение называют терминирование (Termination)):

Page 16: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

16

Рисунок 5 – Два основных вида терминирования.(Option 1 – теримнирование только

дифференциального режима передачи. Терминирование синфазного и дифференциального

режима передачи.)

Первая схема (LVDS Type Termination), наиболее распространена, и

производит терминирование только дифференциальном режиме передачи (Zc

= бесконечность Zd = R/2) при таком виде терминирования происходит

полное отражение синфазной волны.

Вторая схема (CML Type Termination) производит терминирование

дифференциального режима передачи и так – же синфазного (в данном

случае паразитного) режима передачи, что уменьшает паразитные отражения

[9]. Но данная схема работает только при Zd=Zc

Для полного терминирования синфазного и дифференциального

возбуждения используют следующую схему (Modified CML Type

Termination)[10]:

Рисунок 6 – Modified CML Type Termination

Где сопротивления резисторов выбирают:

Page 17: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

17

Отличия в реальной линии от модели.

Несимметричность и неоднородность.

При наличии неоднородности и несимметричности, симметричной

линии, волна, возбужденная в одном виде возбуждения может переходить в

другой вид возбуждения, так, например, если в дифференциальной линии

окажется участок, на котором одна из жил имеет электрическую длину

отличную от другой, возникает паразитное синфазное возбуждение.

Способы измерения характеристического сопротивления линии.

Существует несколько основных способа измерения

характеристического сопротивления линии.

Измерение ёмкости и индуктивности отрезка кабеля согласно

ГОСТ ГОСТ-Р 52072-2003

Емкость измеряется и вычисляется согласно:

Рисунок 7 – Измерение ёмкости линии согласно ГОСТ Р 52072-2003.

Индуктивность измеряется при помощи измерителя RLC:

И вычисляется по формуле:

Page 18: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

18

Рисунок 8 – Вычисление волнового сопротивления согласно ГОСТ Р 52072-2003.

При измерении индуктивности необходимо учитывать, что длина

кабеля должна быть меньше четверти длины волны, измеряемый образец

должен быть не очень длинным, чтобы можно было пренебречь его

затуханием по сравнению с коэффициентом фазы. Только в этом случае его

входное сопротивление будет чисто реактивным и будет представлять

эквивалентную входную индуктивность [12].

ГОСТ здесь использует частоту 1 МГц, но так как волновое

сопротивление частотно зависимо, методику нужно повторять во всем

диапазоне частот.

Измерение волнового сопротивления с помощью измерительного

стенда на основе КСВ-моста

Способ измерения волнового сопротивления линии основан на

измерении ее входного сопротивления. Известно, что линия, нагруженная на

сопротивление, численно равное волновому сопротивлению имеет равное им

входное сопротивление.

Поскольку волновое сопротивление линии с потерями является

комплексной величиной, измеритель должен измерять комплексные

сопротивления.

При мостовом способе измерения равенство опорного сопротивления и

неизвестного измеряемого сопротивления устанавливаются по наименьшим

показаниям выходного сигнала моста.

Известно, что вещественная и мнимая компоненты волнового

сопротивления зависят от частоты и потерь в линии. Используя модель

Линии с потерями по измеренной величине потерь можно оценить

частотную зависимость комплексного волнового сопротивления [11].

Page 19: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

19

Схема макета используемого в [11] представлена на рисунке:

Рисунок 9 – Cхема измерения волнового сопротивления на основе мостовой схемы.

Измерение волнового сопротивления методом «холостого хода -

короткого замыкания»

В этом случае волновое сопротивление определяется по графикам

частотных зависимостей сопротивления кабеля, измеренного в режиме

холостого хода и короткого замыкания. Волновое сопротивление кабеля

равно сопротивлению в точках пересечения этих двух графиков. Поскольку

Page 20: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

20

Page 21: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

21

ИЗМЕРЕНИЕ ПАРАМЕТРОВ СИСТЕМЫ

Постановка задачи измерения.

Для построения модели системы передачи данных требуется

произвести ряд измерений:

- Измерение зависимости S-параметров участков линий от

частоты.

- Измерение зависимости S-параметров приборов.

- Измерение формы сигнала в различных точках работающей

системы.

- Измерение формы сигнала при работе модулей на

согласованную нагрузку.

Измеренные S-параметры напрямую используются для моделирования

прохождения сигнала через модули и отрезки линий.

Форма сигнала при работе модулей на согласованную нагрузку

используется для моделирования не идеальности выходного каскада модулей

Форма сигнала при работе модулей в системе используется для

верификации модели (т.е. проверки её адекватности.)

В дальнейшем, при разработке модели, участки линии могут быть

заменены аналитическими моделями, полученными из экспериментальных

данных.

Измерение параметров линии должно производиться на анализаторе

спектра с минимальной частотной не выше 9 кГц, и максимальной частотой

не ниже 100 МГц, с 4-мя измерительными портами такой выбор обусловлен

необходимостью моделирования прохождения сигнала прямоугольной

формы с большим числом спектральных составляющих сигнала. Для этого

хорошо подходит векторный анализатор цепей ZNB4 с полосой от 9 кГц до

4,5 ГГц в четырех портовом исполнении. См рисунок:

Page 22: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

22

Рисунок 10 – Внешний вид анализатора цепей R&S ZNB4 в четырех портовом

исполнении.

Настройка векторного анализатора цепей.

Для того что произвести измерение витой пары необходимо выполнить

переход от несимметричных портов измерительного прибора к

симметричным. Переход в простейшем случае может быть осуществлен

согласующей схемой с использованием двух согласующих трансформаторов,

но, так как введение дополнительных схемных решений вносит

дополнительные погрешности и нелинейности, особенно при использовании

трансформаторов (имеют ограниченную полосу пропускания и.т.д.).

Векторный анализатор цепей аналогичный ZNB4 производит данное

преобразование самостоятельно после настройки, см Рисунок __ :

Page 23: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

23

Рисунок 11 - Переход от несимметричных портов измерительного прибор, к

симметричным портам.

Для того что бы перейти к симметричной линии векторный анализатор

цепей производит измерение несимметричных S – параметров и переходит к

S-матрице смешенного режима, которая может быть разбита на четыре

матрицы:

- Sdd – S параметры эквивалентного четырехполюсника при

работе линий в симметричном режиме (differential-differential)

- Scc – S параметры эквивалентного четырехполюсника при

работе линии в не симметричном режиме (common-common)

- Sdc, Scd – S параметры, задающие связь между

симметричными и не симметричными параметрами, т.е.

паразитное возбуждение.

В полном виде данные параметры носят название смешенных

параметров (Mixed-Mode Parameters). В полном виде эти параметры

используются для описания дифференциальных пар с не симметричными

линиям.

В данной модели используется только матрица Sdd, наличие

паразитных Scd, Sdc (переход из не симметричного в симметричный режим

передачи и обратно) учитывается только в наличии ослабления в матрице

Sdd.

Page 24: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

24

На рисунке __ приведен интерфейс конфигурации измерительного

прибора при подключении симметричных и не симметричных линии.

Рисунок 12 - Окно выбора конфигурации логических портов измерительного прибора.

Для требуемого перехода требуется задать опорный импеданс

логического порта в дифференциальном и синфазном режиме. В синфазном

режиме опорный входной импеданс выбирается в два раза меньше чем

характеристическое сопротивление линии, в дифференциальном режиме

выбирается в два раза больше чем характеристическое сопротивление линии

[10], т.е. для витой пары с характеристическим сопротивлением 75 Ом,

входной импеданс в дифференциальном режиме должен быть выставлен в

150 Ом, в синфазном режиме в 25 Ом.

Page 25: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

25

Рисунок 13 - Окно настройки параметров перехода от не симметричных портов прибора к

симметричным логическим.

Калибровка измерительного прибора

Для высокоточных измерительных приборов, таких как R&S ZNB4

перед каждым измерением, требуется производить калибровку с

использованием наборов пробников для компенсации внутренних

погрешностей прибора.

Набор пробников поставляется в отдельном наборе с паспортом, и в

некоторых случаях с носителем данных, в котором содержатся

характеристики измеренные калибровочным оборудованием и принимаемые

измерительным для устранения внутренних погрешностей.

В такой набор обычно входит:

- Согласованная нагрузка (Match)

- Короткое замыкание (КЗ, Short)

- Холостой ход (XX, Open)

- Проход (Through)

Для того что бы сместить плоскость порта, т.е. компенсировать

влияние линий при помощи которых прибор подключается к портам

Page 26: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

26

измеряемого устройства, калибровка производится на концах линий

измерителя.

Для измерений с использованием нескольких поров, калибровка

должна быть проведена для каждого из портов, и всех сочетании

подключений на проход.

Из всех режимов для много-портовых измерений наиболее точными и

трудоёмкими являются TOSM и UOSM, которые и должны использоваться.

Виды калибровки.

TOSM (Through – Open – Short – Match) –

Для каждого из портов производится калибровка с использованием ХХ

КЗ и Согласованной нагрузки, для каждой пары из портов производится

калибровка на проход и требует для 4-х портов 24 измерения.

UOSM (Unknown through – Open – Short – Match) –

Очень близка к TOSM но для калибровки на проход можно

использовать переходник с неизвестным прохождением (не из набора для

калибровки) и требует в полном виде для 4-х портов 24 измерения.

Выбор режима калибровки на приборе показан на рис. __, выбор

калибровочного набора, и настройки показан на рис. ___.

Page 27: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

27

Рисунок 14 – Выбор режима калибровки R&S ZNB4.

Рисунок 15 – Выбор набора калибровки R&S ZNB4.

Page 28: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

28

Формат обмена экспериментальных данных (Touchstone).

Для использования экспериментальных данных в качестве модели,

используется формат файлов обмена – Touchstone (известный как *.SnP

файл). Который представляет из себя табличные значения S параметров от

частоты n-полюсника. В дополнение формат иногда используется для записи

Y и Z – параметров.

Touchstone является де-факто индустриальным стандартом для

программ моделирования и измерительной аппаратуры и стандартизирован в

спецификации. Ограничением данного формата является возможность

представления только линейных устройств.

Файлы имеют расширения:

.S1P – для однополюсника.

.S2P - для двухполюсника.

.S4P – для четырехполюсника.

Подключение векторного анализатора цепей к модулю

Для подключения векторного анализатора использовался переходник

представленный на рисунке:

Рисунок 16 – Устройство подключения векторного анализатора для измерения входного

сопротивления модуля.

Зажим типа «Крокодил» подключался к корпусу разъема, проводники

подключались к жилам разъёма отвечающих за передачу дифференциального

сигнала.

Внешний вид макета модуля представлен на рисунке:

Page 29: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

29

Рисунок 8 – Внешний вид макета модуля.

Перемычка на плате подключает сопротивление 75 Ом для

моделирования оконечного устройства.

Подключение векторного анализатора цепей линии передачи

Для подключения линии передачи соединители типа SMA напаивались

прямо на жилы кабеля, для минимизации кабеля подключения. Внешний вид

измеряемой линии с подключенными соединителями представлен на

рисунке:

Page 30: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

30

Рисунок 17 – Подключение векторного анализатора для измерения S- матрицы линии

передачи.

Схема подключения показана на рисунке:

Рисунок 18 – Схема подключения векторного анализатора к измеряемой линии передачи.

Измерение, выгрузка результатов.

После выбора количества точек измерения, частотного диапазона и

проведения калибровки производится измерение, и выгрузка измеренных

значений.

Page 31: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

31

Векторный анализатор цепей позволяет выгрузить табличные значения

в формате Touchstone.

На рис. Представлен процесс выгрузки измеряемых параметров.

Рисунок 19 – процесс выгрузки измеренных данных на внешний носитель.

Измеренные параметры

Табличные S параметры были экспортированы в среду моделирования

AWR-DE, формат использованный для экспорта – Touchstone.

Зависимости измеренных S – параметров приведены на рисунках:

Page 32: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

32

Рисунок 20 – Sdd21,Sdd22 линии пердачи.

Рисунок 21 – Sdd11,Sdd21 линии пердачи.

Page 33: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

33

Рисунок 22 – Sdd11 промежуточного модуля.

Рисунок 23 – Sdd11 окноечного модуля.

Page 34: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

34

МОДЕЛИ ЛИНИИ ПЕРЕДАЧИ AWR-DE

Среда AWR-DE имеет ряд встроенных моделей линий передач, в

состав моделей входят модели, заданные эквивалентными сосредоточенными

элементами, так и модели на основе электромагнитного моделирования.

Кроме того, среда AWR-DE имеет возможность экспорта моделей на базе

экспериментальных данных (Формат Touchstone и др.)

Среда разработки

Для разработки моделей высокочастотных систем, СВЧ устройств

применяется среда разработки NI AWR Design Environment Microwave Office

(далее AWR-DE) имеющая требуемые возможности по моделированию

систем передачи данных. А именно возможность использовать как

встроенные модели, так и измеренные экспериментальные данные.

Возможности среды разработки

Среда AWR-DE позволяет:

- Производить моделирование линейных и не линейных устройств;

- Синтез, оптимизацию, анализ запасов по точности изготовлению

СВЧ устройств;

Среда может использоваться для анализа и разработки

- Низкочастотной электроники;

- СВЧ электроники и СВЧ интегральных схем;

- Высокочастотных печатных плат;

Общий вид среды показан на рис ___:

Page 35: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

35

Рисунок 24 - вид среды разработки AWR-DE.

Основные модули среды AWR-DE имеющие применение в данной

работе:

Schematic – моделирование схем на сосредоточенных, и

распределенных элементах.

VSS – моделирование систем передачи, приёма, модулирования,

кодирования и декодирования сигнала.

Модель линии на основе экспериментальных данных.

В данной работе основной рабочей моделью является модель на основе

экспериментальных данных. Для правильного включения

экспериментальных в систему в модуль Schematic среды AWR-DE была

собрана схема. Блок SUBCKT выражает измеренную зависимость S –

параметров от частоты реальной линии (Рисунок ___):

Page 36: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

36

Рисунок 25 – Модель линии передачи на основе экспериментальных данных.

Данное подключение, с использованием двух симметричных

трансформаторов необходимо для перехода от не симметричных портов к

симметричным, для связки модулей VSS и Schematic. Кроме того,

обеспечивается возбуждение модели линии дифференциальном режиме.

Резисторы R1-R4 необходимы для задания опорного уровня земли, так

как цепи до и после трансформаторов гальванически не связаны, для

схемного решателя требуется иметь в каждой из частей схемы связь с землей,

выбирая значения резисторов относительно большими (100 кОм) что

минимизирует их влияние на работу схемы.

Модели линии, на основе частотно зависимой RLGC модели.

Еще одним способом задания частотно зависимых характеристик

линии в системе AWR-DE подходящей для данной задачи, является частотно

зависимое задание погонных емкости (С), индуктивности (L), проводимости

(Y), сопротивления (R), и известно длины линии. Набор компонентов на

Page 37: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

37

основе эквивалентных цепей на сосредоточенных элементах расположены на

вкладке RLGC в панели Elements см рисунок ___:

Рисунок 26 – Набор встроенных моделей на основе RLGC замещения.

Для моделирования дифференциальных пар могут быть использованы

следующие встроенные модели:

RLGC_Tx4 – модель линии передачи с изолированными от земли

точками подключения.

Модель задается набором измеренных погонных R, L, G, C параметров

на заданных частотах.

RLGC_CTx – модель ассиметричной связанной линии передачи.

Модель задается набором ассиметричных параметров на Рисунке __:

Page 38: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

38

Рисунок 27 – Набор параметров модели - RLGC_CTx.

Рисунок 28 – Модель ассиметричной дифференциальной пары - RLGC_CTx

Использование экспериментальных данных для получения

параметров встроенных моделей.

Табличные данные измеренные векторным анализатором цепей

выраженные в виде зависимости S – параметров от частоты не могут быть

изменены без привязки к какой-либо модели, выраженной некой

функциональной зависимостью. Так, например, нельзя пересчитать длину

измеренной линии на какую-либо другую. Для того что бы частично снять

Page 39: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

39

данное ограничение, в среде AWR-DE, при помощи оптимизатора можно

провести сведение экспериментальных данных со встроенной моделью.

На примере модели линии передачи, произведем подгонку параметров

встроенной модели RLGC_Tx4 к экспериментальном данным.

Для того что бы свести две разные модели необходимо

минимизировать разницу между значениями фазы и модуля коэффициента

передачи. Для этого используем встроенные возможности AWR-DE по

постобработке полученных значении (модуль Output Equations):

Рисунок – Разница Модуля и фазы коэффициента передачи.

Для запуска оптимизации её целевую функцию как минимизацию

разницы между двумя модулями и фазами коэффициента передачи.

Рисунок 29 – Задание целевой функции.

Page 40: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

40

Рисунок 30 – Задание переменных для оптимизации и ограничении на их.

Рисунок 31 – Запуск оптимизатора.

В качестве встроенной модели для оптимизации была выбрана модель

RLGC_Tx4 включенная по следующей схеме:

Page 41: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

41

Рисунок 32 – Модель для оптимизации.

В качестве параметров для оптимизации были выбраны погонные RCL

параметры, взятые на 5-и частотах, 1,25,50,75,100 МГц.

Оптимизацию желательно проводить для минимального числа

переменных, так как увеличение их числа ведёт к уменьшению адекватности

модели при изменении ее параметров (в данном случае, при варьировании

длины линии). Сравнение модуля и фазы коэффициентов передачи

приведены на рисунках:

Рисунок 33 – Сравнение модуля коэффициента передачи встроенной модели и

измеренных значении от частоты.

Page 42: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

42

Рисунок 34 – Сравнение фазы коэффициента передачи встроенной модели и измеренных

значении от частоты.

Модель соединителя

Так как соединитель имеет размер значительно меньший чем длина

волны, то его модель может быть построена на основе сосредоточенных

элементах. Учтём в модели индуктивность контактов соединителя двумя

индуктивностями, и емкостью индуктивность относительно корпуса.

Модель показана на рисунке:

Рисунок 35 – Модель соединителя на сосредоточенных элементах.

Параметры соединителя могут быть получены либо при помощи

измерителя RCL, либо получены из размеров частей соединителя.

Page 43: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

43

Модель трансформатора

Рисунок 36 – Модель трансформатора на сосредоточенных элементах.

Резисторы R1, R2 моделируют сопротивление обмоток трансформатора

Индуктивности L1,L2 задают индуктивности рассеивания

трансформаторов.

Связанные индуктивности MUC2 задают индуктивности обмоток

трансформатора, и потери на передачу (K)

Параметры трансформатора могут быть получены при помощи

измерителя RCL либо из технических условии на данный вид

трансформатора.

Модель устройства по экспериментальным данным

Выходной каскад формирующий напряжение на выходе прибора

обладает своей частотной характеристикой и формой выходного сигнала. Для

корректного моделирования всей системы сигнал на модуле должен быть

измерен на согласованную нагрузку.

Осциллограммы полученные на одном из модулей при работе не

согласованную нагрузку показаны на рисунке:

INDID=L1L=1 nH

RESID=R2R=1 Ohm

RESID=R1R=1 Ohm

INDID=L2L=1 nH

PORTP=2Z=75 Ohm

1 2

1 2

3 4

MUC2ID=M1L1=1 nHR1=0 OhmL2=1 nHR2=0 OhmK1_2=1

PORTP=1Z=75 Ohm

Page 44: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

44

Рисунок 37 – Форма сигнала при работе на согласованную нагрузку.

Для того что бы использовать такой сигнал можно пойти двумя

способами:

- Использовать осциллограф с возможностью выгрузки CSV и

получить табличные значения времени от амплитуды

- По графикам задать упрощенную форму модельного сигнала

В обоих случаях сигнал задается табличным способом, на рисунке _

приведен вид таблицы тестового сигнала:

Page 45: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

45

Рисунок 38 – Задание тестового сигнала табличным способом

Таблица состоит из двух колонок – время в секундах и значение

выходного сигнала в вольтах.

В случае использования данных с осциллографа, может потребоваться

приведение сигнала к требуемому виду.

В VSS источник заданный по табличным значениям формируется

блоком SRC_R, название файла с таблицей записывается в кавычках в поле

VAL, в поле COL записывается номер колонки с значениями (в данном

случае 2), в поле TCOL записывается номер колонки с временем, для

которого приведены значения.

Page 46: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

46

Для того что бы ограничить спектр сигнала и сгладить фронты

используют КИХ фильтр, выбирая частоту среза такую, чтобы обеспечить

схожесть с измеренным сигналом.

КИХ фильтр выбран за линейную фазовую характеристику, в отличии

от реальных фильтров на сосредоточенных элементах.

Если сигнал имеет достаточно много выборок (сигнал записанный с

осциллографа) фильтр может не потребоваться.

Общая схема формирования сигнала приведена на рисунке _:

Рисунок 39 – Общая схема формирования сигнала

Сигнал до фильтра приведен на рисунке __, сигнал после фильтра

приведен на рисунке __:

Page 47: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

47

Рисунок 40 – Сигнал до формирующего фильтра

Рисунок 41 – Сигнал после фильтра.

Моделирование некоторых частей системы может быть выполнено

полностью в среде Schematic, в данном случае источник задается блоком

Page 48: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

48

V_PWL, значения напряжения от времени вводятся через запятую в поля

TIME и VOLTAGE.

Резистор R1 в данном случае задает входное сопротивление источника:

Рисунок 42 – Подключение источника в среде Schematic

.

Модель оконечного устройства.

Рисунок 43 – Модель оконечного устройства в Schematic

o o1:n11

2

3

4

XFMRID=X2N=1

1

SUBCKTID=S2NET="real_module_old_module_with_buildin_matching"

o o1:n11

2

3

4

XFMRID=X1N=1

PORTP=2Z=75 Ohm

PORTP=1Z=75 Ohm

1

SUBCKTID=S1NET="real_module_old_module_with_buildin_matching"

RESID=R1R=1e5 Ohm

RESID=R4R=1e5 Ohm

Page 49: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

49

Рисунок 44 – Измеренная реальная часть входного сопротивления оконечного модуля

Рисунок 45 – Измеренная реальная часть входного сопротивления макета оконечного

модуля

Для учета рассогласования в модуле VSS требуется включить учет

рассогласования в окне «System simulator options» на рисунке:

Page 50: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

50

Рисунок 46 – Учет рассогласования в среде VSS.

Модель линии без устройств с подключенными согласованными

нагрузками.

Модель в среде VSS:

Рисунок 47 – Модель линии передачи в среде VSS без подключенных устройств, при

работе на согласованную нагрузку.

Модель в среде Schematic:

TPID=TP4

LIN_SID=S6NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

1

2

3

MULTID=A2PRIMINP=0CEMODE=Complex domainNIN=2

TPID=TP1TP

ID=TP3

LIN_SID=S2NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LPFIR_ERID=F2FC=0.1 MHzFT=8 MHzRP=0.1 dBAS=60 dBN= FREL=No

TPID=TP8

TPID=TP6

SRC_RID=A3VAL=1COL=1TCOL= SMPFRQ=200 MHz

LOADID=S1Z=_Z0 Ohm

LIN_SID=S4NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP2

LIN_SID=S3NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S5NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S7NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP7

TPID=TP5

SRC_RID=A1VAL="mil_std_1553_v1"COL=2TCOL=1SMPFRQ=200 MHz

Page 51: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

51

Рисунок 48 – Модель линии передачи в среде Schematic без подключенных устройств, при

работе на согласованную нагрузку.

Модель линии с концевыми устройствами

Модель в среде VSS:

Рисунок 49 – Модель линии передачи в Schematic без подключенных устройств, при

работе оконечный модуль.

Модель в среде Schematic:

1 2

3

4

SUBCKTID=S4NET="80m_non_norm"

1 2

3

4

SUBCKTID=S3NET="80m_non_norm"

1 2

3

4

SUBCKTID=S5NET="80m_non_norm"

1 2

3

4

SUBCKTID=S2NET="80m_non_norm"

RESID=R4R=10000 Ohm

RESID=R3R=1e4 Ohm

RESID=R16R=10000 Ohm

RESID=R15R=10000 Ohm

RESID=R14R=10000 Ohm

RESID=R13R=10000 Ohm

RESID=R12R=10000 Ohm

RESID=R11R=10000 Ohm

1 2

3

4

SUBCKTID=S6NET="80m_non_norm"

M_PROBEID=VP4

M_PROBEID=VP1

RESID=R6R=10000 Ohm

RESID=R9R=10000 Ohm

RESID=R5R=10000 Ohm

RESID=R10R=10000 Ohm

RESID=R2R=75 Ohm

RESID=R7R=10000 Ohm

RESID=R1R=75 Ohm

M_PROBEID=VP2

RESID=R8R=10000 Ohm

1 2

3

4

SUBCKTID=S1NET="80m_non_norm"

M_PROBEID=VP3

V_PWLID=V1TIME={ 0,1000,1050,2000,2050,3000,3000,4000 } nsVOLTAGE={ 0,0,5,5,-5,-5,0,0 } VWINDOW=DEFAULTDCVal=0 V

TPID=TP8

TPID=TP7

TPID=TP6

TPID=TP5

TPID=TP4

TPID=TP2

LIN_SID=S7NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S6NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S4NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S5NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S3NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S2NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP3

TPID=TP1

SRC_RID=A3VAL=1COL=1TCOL= SMPFRQ=200 MHz

SRC_RID=A1VAL="mil_std_1553_v1"COL=2TCOL=1SMPFRQ=200 MHz

1

2

3

MULTID=A2PRIMINP=0CEMODE=Complex domainNIN=2

LPFIR_ERID=F2FC=0.1 MHzFT=8 MHzRP=0.1 dBAS=60 dBN= FREL=No

LIN_SID=S1NET="LINE_END_MODULE"INPORT=1OUTPORT=2NOISE=Noiseless

Page 52: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

52

Рисунок 50 – Schematic без подключенных устройств, при работе на оконечный модуль.

Модель линии с подключенными устройствами

Рисунок 51 – Модель линии передачи c учетом прохождения сигнала через все модули.

Изменение формы сигналов при прохождении линии

Для анализа прохождения сигнала через измеренную линию в VSS

была собрана модель на основе экспериментальных данных (измеренная

линия)

1 2

3

4

SUBCKTID=S4NET="80m_non_norm"

1 2

3

4

SUBCKTID=S3NET="80m_non_norm"

RESID=R14R=10000 Ohm

RESID=R13R=10000 Ohm

RESID=R12R=10000 Ohm

RESID=R11R=10000 Ohm

RESID=R10R=10000 Ohm

RESID=R9R=10000 Ohm

RESID=R8R=10000 Ohm

RESID=R7R=10000 Ohm

RESID=R6R=10000 Ohm

RESID=R5R=10000 Ohm

RESID=R4R=10000 Ohm

RESID=R3R=10000 Ohm

M_PROBEID=VP4

M_PROBEID=VP1

M_PROBEID=VP3

M_PROBEID=VP2

V_PWLID=V1TIME={0,1000,1050.1,2000,2050.1,3000,3000.1,4000} nsVOLTAGE={0,0,5,5,-5,-5,0,0} VWINDOW=DEFAULTDCVal=0 V

o o1:n11

2

3

4

XFMRID=X1N=1

1 2

3

4

SUBCKTID=S6NET="80m_non_norm"

1 2

3

4

SUBCKTID=S5NET="80m_non_norm"

1

SUBCKTID=S7NET="real_module_old_module_with_buildin_matching"

RESID=R16R=10000 Ohm

1 2

3

4

SUBCKTID=S2NET="80m_non_norm"

1 2

3

4

SUBCKTID=S1NET="80m_non_norm"

RESID=R15R=10000 Ohm

LIN_SID=S2NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP1

LIN_SID=S4NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S3NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP3

SRC_RID=A3VAL=1COL=1TCOL= SMPFRQ=200 MHz

SRC_RID=A1VAL="mil_std_1553_v1"COL=2TCOL=1SMPFRQ=200 MHz

1

2

3

MULTID=A2PRIMINP=0CEMODE=Complex domainNIN=2

LPFIR_ERID=F2FC=0.1 MHzFT=8 MHzRP=0.1 dBAS=60 dBN= FREL=No

TPID=TP5

TPID=TP2

LIN_SID=S6NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP7 TP

ID=TP6

TPID=TP4

LIN_SID=S7NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S5NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP13

TPID=TP12

TPID=TP11

TPID=TP9 TP

ID=TP10

LIN_SID=S12NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S11NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S9NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S10NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S1NET="LINE_END_MODULE"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP8

LIN_SID=S8NET="LINE_M_RGCL"INPORT=1OUTPORT=2NOISE=Noiseless

Page 53: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

53

Рисунок 52 – Модель анализа формы сигнала при прохождении сигнала через линию

передачи.

При работе на согласованную нагрузку в результате был получен

выходной сигнал.

Рисунок 53 – Измерение формы сигнала при прохождении тестового сигнала через линию

(входной сигнал – синий, выходной сигнал – красный)

При работе на повышенное и пониженное сопротивление нагрузки, уровень

сигнала меняется:

Page 54: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

54

Рисунок 54 – Сравнение формы сигнала при прохождении сигнала по линии при выборе

сопротивления нагрузки Rн = 85 Ом (сплошная) с Rн = 75 Ом (пунктир)

Рисунок 55 – Сравнение формы сигнала при прохождении сигнала по линии при выборе

сопротивления нагрузки Rн = 65 Ом (сплошная) с Rн = 75 Ом (пунктир)

Page 55: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

55

Рисунок 56 – Модель для анализа прохождения сигнала по линии двое большей длины.

Рисунок 40 – Вид искажении сигнала при прохождении линии двое большей длины.

При работе на оконечный модуль.

Рисунок 40 – Модель анализа прохождения сигнала через линию при работе на оконечное

устройство.

LPFIR_ERID=F2FC=0.1 MHzFT=8 MHzRP=0.1 dBAS=60 dBN= FREL=No

SRC_RID=A1VAL="mil_std_1553_v1"COL=2TCOL=1SMPFRQ=200 MHz

TPID=TP2

TPID=TP1

LIN_SID=S2NET="LINE_M"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP3

LOADID=S1Z=75 Ohm

LIN_SID=S4NET="LINE_M"INPORT=1OUTPORT=2NOISE=Noiseless

TPID=TP3

LPFIR_ERID=F2FC=0.1 MHzFT=8 MHzRP=0.1 dBAS=60 dBN= FREL=No

SRC_RID=A1VAL="mil_std_1553_v1"COL=2TCOL=1SMPFRQ=200 MHz

TPID=TP2

TPID=TP1

LIN_SID=S2NET="LINE_M"INPORT=1OUTPORT=2NOISE=Noiseless

LIN_SID=S1NET="LINE_END_MODULE"INPORT=1OUTPORT=2NOISE=Noiseless

Page 56: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

56

Рисунок 57 – Сравнение формы сигнала при прохождении сигнала по линии при работе на

оконечный модуль в сравнении с работой на согласованную нагрузку Rн = 75 Ом

(пунктир)

Page 57: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

57

ВЫВОДЫ

Результаты работы могут быть в дальнейшем расширены и углублены.

Моделирование линии передачи может быть проведено более качественно,

при учете всех параметров смешенного распространения (Mixed mode

parameters) с полной информации о несимметричности. Расширен диапазон

частот измерения.

Ещё одним из путей улучшения модели может выступать схемотехника

и режим работы выходного каскада, данная часть работы может быть

проведена в среде AWR-DE или одним из множества симуляторов

основанных на SPICE.

Учёт факторов второго порядка, таких как влияние соединителей,

кривой насыщения магнитопровода трансформатора, разводки модулей

может быть выполнен при помощи программ Ansys HFSS (соединители,

разводка), Ansys Maxwell (трансформаторы). 3D STEP модели могут быть

экспортированы и диэлектрические параметры материалов измерены и

учтены.

Page 58: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

58

ЛИТЕРАТУРА

1. - Analysis of Multiconductor Transmission Lines, 2nd Edition. Clayton R. Paul

780 Pages, November 2007, Wiley-IEEE Press

2. - Transmission Lines in Digital Systems for EMC Practitioners Clayton R. Paul

288 Pages; December 2011 ISBN:978-1-118-14399-5

3. Combined Differential and Common-Mode Scattering Parameters: Theory and

Simulations D.E. Bockelman, W.R. Eisenstadt, IEEE Transactions on microwave

theory and techniques. 1995

4. Principles of Microwave Circuits L.N. Ridenour, G. B. Collins. IET, 1948

5. A General Waveguide Circuit Theory. Roger B. Marks, Dylan F. Williams,

Journal of Research of the National Institute of Standards and Technology Vol. 97

Num. 5, 1992

6. http://www.rohde-schwarz.de/de/news_events/press-

room/pressemitteilungen/press-

Rohde_%26_Schwarz_stellt_R%26S_ZNB_mit_vier_Messtoren_f%C3%BCr_sch

nelle_und_pr%C3%A4zise_Mehrtor-Netzwerkanalyse_vor.html?type=photos

7. http://ic-line.ua/wiki/glava-22 Глава 22: Витая пара (Twisted Pair)

8. http://www.planetanalog.com/document.asp?doc_id=527768 Techniques to

enhance op amp signal integrity in low-level sensor applications, Planet Analog,

12/16/2008

9. http://www.ee-training.dk/tip/terminating-a-twisted-pair-cable.htm Terminating

a twisted pair cable

10. http://www.sanyu-usa.com/assets/files/Part3_Web.pdf Differential Signal and

Common Mode Signal in Time Domain

11. Отчёт о научно исследовательской работе «Разработка методики

измерения физических распределенных параметров кабеля типа КВСФ-75.

Измерение реальных физических распределенных параметров опытных

Page 59: РТФ ДОПУСТИТЬ К ЗАЩИТЕ В ГАК Зав. …elar.urfu.ru/bitstream/10995/36038/1/m_th_a.p.shestakov...производится на каждом из устройств,

59

образцов кабелей КВСФ-75 и КВСФМ-75 и линии связи построенной на их

основе», Князев Н.С. Екатеринбург 2013 г.

12. Ефимов И.Е. Радиочастотные линии передачи. М.: Советское радио,

1964 г. – 600 стр.

13. Слэтер Дж. Передача ультракоротких волн. ОГИЗ ГИТТЛ, М. -Л. ,

1946 г. – 345 стр.