: kintex-7 kc705 aurora 8b/10b を使用するシステ …...ハードウェアの構築 xapp1211...

28
© Copyright 2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. 本資料は表記のバージ ョ ンの英語版を翻訳し たもので、内容に相違が生じ る場合には原文を優先し ます。 資料によっては英語版の更新に対応していないものがあります。 日本 語版は参考用 と し てご使用の上、 最新情報につき ま し ては、 必ず最新英語版を ご参照 く だ さ い。 XAPP1211 (v1.0) 2015 1 9 japan .xilinx.com 1 概要 このアプリケーション ノ ー ト で は、 Kintex®-7 FPGA KC705 評価キットでザイリンクスの LogiCORE™ Aurora 8B/10B IP コアを検証するために必要な手順を説明します。 Aurora 8B/10B コア は、 スケーラブル、 軽量、 そして高データ レー ト の高速シ リ アル通信向けの リ ン ク レイヤー プロトコ ルです。 Aurora は、 直感的な ウ ィ ザー ド インターフェイスを使用して、ザイリンクスのトランシーバー を簡単に実装する こ と を目的と しています。 Aurora プ ロ ト コ ルの仕様は公開 さ れてお り 、 リ ク エ ス ト に 応じて提供されます。 Aurora コアは Vivado® IP カタログから無償で利用可能で、 ライセンスを取得し てザイリンクスのシリコン デバイスで使用できます。 一般的に Aurora は、 ほかの業界標準シ リ アル インターフェイスでは複雑すぎたり、 リ ソースを消費し すぎるといったアプリケーションで使用されます。 Aurora は、 低コ ス ト 、 高データ レート、 スケーラ ブル、 そして柔軟なシ リ アル データ チャネルを構築できます。 そのシンプルなフレーム構造は、 既存 プロ ト コルからのデータを容易にカプセル化でき、また電気的要件も汎用システム と互換性があ り ます。 Aurora の使用によって、 FPGA リ ソ ー ス の大量消費や ソ フ ト ウ ェ アの再開発、 ま たは物理的な イ ン フ ラ を新たに構築することなくパフォーマンスを向上させることができます。 リファレンス デザインは、 Kintex-7 FPGA KC705 評価ボードをターゲッ トにしています。 含まれるシステム リファレンス デザインは、 Vivado Design Suite : System Edition 2014.1 を使用して作成および構築さ れています。 Vivado Design Suite を利用することによって、 IP ブロックをインスタンシエート、 コン フ ィギュレーシ ョ ン、 および接続して複雑な統合システムを構築する作業が簡略化されます。 リ ファレ ンス デザインには、 信号をプローブするための VIO および ILA コアも含まれています。 はじめに このアプリケーション ノ ー ト では、Vivado Design Suite を使用して Aurora 8B/10B コアをコンフィギュ レーションし、VIO および ILA コアでさまざまな信号をプローブしてシンプレックス (単方向通信) モー ドの Aurora コアの動作を検証する手順を詳し く説明します。 ここで提供するサンプル デザインは、 2 つのプラッ ト フォームを使用するシングル レーン シンプレッ クス コンフィギュレーションを示しています (1)。 よ り複雑なシステムの構築ブロ ッ クを作成するた めに、 完成したサンプル デザ イ ン を利用す る こ と が可能です。 サンプル テスト セ ッ ト ア ッ プでは、 2 つの ク ロ ッ ク ソースを使用して 156.25MHz ク ロ ッ ク信号を生成 します。これらのリファレンス デザインの再現には、適切に調整された 156.25MHz クロック ソースで あればどれでも利用可能です。 アプリケーション ノート : Kintex-7 ファミリ XAPP1211 (v1.0) 2015 1 9 KC705 評価キ ッ ト で Aurora 8B/10B コア ( シン プレックス) を使用するシステムを設計 著者 : Dinesh KumarRamachandra ThupalliK Krishna Deepak

Upload: others

Post on 14-Aug-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

© Copyright 2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.

本資料は表記のバージ ョ ンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用としてご使用の上、 最新情報につきましては、 必ず最新英語版をご参照く ださい。

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 1

概要 こ のアプ リ ケーシ ョ ン ノ ー ト では、 Kintex®-7 FPGA KC705 評価キ ッ ト でザイ リ ン ク スのLogiCORE™ Aurora 8B/10B IP コアを検証するために必要な手順を説明します。 Aurora 8B/10B コアは、 スケーラブル、 軽量、 そして高データ レートの高速シ リ アル通信向けのリ ンク レイヤー プロ ト コルです。Aurora は、直感的なウ ィザード インターフェイスを使用して、ザイ リ ンクスの ト ランシーバーを簡単に実装するこ とを目的と しています。Aurora プロ ト コルの仕様は公開されており、 リ クエス トに応じて提供されます。 Aurora コアは Vivado® IP カタログから無償で利用可能で、 ライセンスを取得してザイ リ ンクスのシ リ コン デバイスで使用できます。

一般的に Aurora は、 ほかの業界標準シ リ アル インターフェイスでは複雑すぎたり、 リ ソースを消費しすぎる といったアプ リ ケーシ ョ ンで使用されます。 Aurora は、 低コス ト、 高データ レート、 スケーラブル、 そして柔軟なシ リ アル データ チャネルを構築できます。 そのシンプルなフレーム構造は、 既存プロ ト コルからのデータを容易にカプセル化でき、また電気的要件も汎用システムと互換性があ り ます。Aurora の使用によって、FPGA リ ソースの大量消費やソフ ト ウェアの再開発、または物理的なインフラを新たに構築するこ とな くパフォーマンスを向上させるこ とができます。

リ ファレンス デザインは、 Kintex-7 FPGA KC705 評価ボードをターゲッ トにしています。

含まれるシステム リ ファレンス  デザインは、Vivado Design Suite : System Edition 2014.1 を使用して作成および構築されています。 Vivado Design Suite を利用するこ とによって、 IP ブロ ッ クをインスタンシエート、 コンフ ィギュレーシ ョ ン、 および接続して複雑な統合システムを構築する作業が簡略化されます。 リ ファレンス デザインには、 信号をプローブするための VIO および ILA コアも含まれています。

はじめに このアプリケーシ ョ ン ノートでは、Vivado Design Suite を使用して Aurora 8B/10B コアをコンフ ィギュレーシ ョ ンし、VIO および ILA コアでさまざまな信号をプローブしてシンプレッ クス (単方向通信) モードの Aurora コアの動作を検証する手順を詳し く説明します。

こ こで提供するサンプル デザインは、 2 つのプラ ッ ト フォームを使用するシングル レーン シンプレックス コンフ ィギュレーシ ョ ンを示しています (図 1)。 よ り複雑なシステムの構築ブロ ッ クを作成するために、 完成したサンプル デザインを利用するこ とが可能です。

サンプル テス ト セッ ト アップでは、2 つのクロ ッ ク ソースを使用して 156.25MHz ク ロ ッ ク信号を生成します。 これらのリ ファレンス デザインの再現には、適切に調整された 156.25MHz ク ロ ッ ク ソースであればどれでも利用可能です。

アプリケーシ ョ ン ノート : Kintex-7 ファ ミ リ

XAPP1211 (v1.0) 2015 年 1 月 9 日

KC705 評価キッ トで Aurora 8B/10B コア (シンプレックス) を使用するシステムを設計著者 : Dinesh Kumar、 Ramachandra Thupalli、 K Krishna Deepak

Page 2: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア要件

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 2

ハードウェア要件 シングル レーン シンプレッ クス コンフ ィギュレーシ ョ ンには、次のハードウェア コンポーネン トが必要です。

• Kintex-7 FPGA KC705 評価ボード (x 2)

• KC705 ユニバーサル 12v 電源アダプター (x 2)

• 156.25MHz の生成に適したクロ ッ ク ジェネレーター (x 2)

• JTAG プラ ッ ト フォーム USB ケーブル (x 2)

• 両端 SMA コネクタ付きケーブル (x 4) (基準クロ ッ ク用)

• 両端 SMA コネクタ付きケーブル (x 2) (シ リ アル データ用)

• Samtec 社製 HPC-HPC ケーブル (サイ ドバンド モードのバッ ク チャネル テス ト用)

ソフ トウェア要件 Aurora 8B/10B シンプレッ クス サンプル デザインのソフ ト ウェア要件は次のとおりです。

• Vivado Design Suite 2014.1

ハードウェアの構築

シンプレックス サンプル デザイン

Aurora コアのカスタマイズ

次の手順に従って、 シンプレッ クス サンプル デザイン用に Aurora 8B/10B コアをカスタマイズして生成します。

1. Vivado Design Suite を起動します。

2. [Create New Project] をク リ ッ ク して [Next] をク リ ッ ク します (図 2)。

X-Ref Target - Figure 1

図 1 : シンプレックス リファレンス デザイン

Page 3: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 3

3. プロジェク ト名とパスを選択して [Next] をク リ ッ ク します (図 3)。

4. [RTL Project] をオンにしてサンプル デザインの実行を許可し、[Do not specify sources at this time]をオンにします (図 4)。 [Next] をク リ ッ ク します。

X-Ref Target - Figure 2

図 2 : Vivado ツールの新規プロジェク トの作成

X-Ref Target - Figure 3

図 3 : 新しいプロジェク トの名前を設定

Page 4: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 4

5. [xc7k325tffg900-2] をク リ ッ クするか、 または [Boards] をク リ ッ ク して [Kintex-7 KC705Evaluation Platform] をク リ ッ ク します (図 5)。

6. [Next] をク リ ッ ク して [Finish] をク リ ッ ク します

7. Flow Navigator の [Project Manager] 下にある [IP catalog] をク リ ッ ク し、「Aurora 8B10B」 を検索します。 Aurora コアは、 [Communication & Networking] → [Serial Interfaces] の下にあ り ます (図 6)。

X-Ref Target - Figure 4

図 4 : 新しいプロジェク トのタイプを設定

X-Ref Target - Figure 5

図 5 : [New Project] の [Default Part] ページ

Page 6: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 6

9. [Customize IP] ダイアログ ボッ クスの [Core Options] タブで、次のよ うにオプシ ョ ンを設定します(図 8 参照)。

• [GT Refclk (MHz)] に [156.25] を指定します。

• コンフ ィギュレーシ ョ ンされるプラ ッ ト フォームに応じて、 [Dataflow Mode] に [TX-onlySimplex] または [RX-only Simplex] を指定します。

• 希望するテス ト モードに応じて、[Back Channel] に [Timer] または [Sideband] を指定します。

• [Vivado Lab Tools] オプシ ョ ンをオンにします。

X-Ref Target - Figure 7

図 7 : [Customize IP] の選択

Page 7: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 7

10. [GT Selections] タブをク リ ッ ク します。

11. GTXQ0 のリ ス ト ボッ クス左下のデフォルト設定 「1」 を 「X」 に変更します。

12. GTXQ2 のリ ス ト ボッ クス左下の設定 「X」 を 「1」 に変更します (図 9)。

注記 : GTXQ2 ト ランシーバーは、KC705 ボードの SMA コネクタへ割り当てられる唯一のト ランシーバーです。 リ ス ト ボッ クスの設定の上にカーソルを置く と、 ツールチップが表示されて選択した ト ランシーバーの位置を確認できます。

X-Ref Target - Figure 8

図 8 : Aurora 8B/10B シンプレックス コアのオプシ ョ ン設定

X-Ref Target - Figure 9

図 9 : Aurora 8B/10B シンプレックス GT の選択

Page 8: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 8

13. [Shared Logic] タブのオプシ ョ ンはデフォルト値のまま変更しないでください。[OK] をク リ ッ ク します。

14. [Generate Output Products] ダイアログ ボッ クスで、 [Generate] をク リ ッ ク します。

サンプル デザインの合成

1. 出力ファイルの生成が完了したら、 Vivado IDE の [Project Manager] でコア名を右ク リ ッ ク して[Open IP Example Design] をク リ ッ ク します (図 10)。

2. [OK] をク リ ッ ク して既存のサンプル デザインを上書きします。

3. 新し く開いた Vivado IDE ウ ィンド ウの [Project Manager] の [Sources] ビューで [Constraints] を展開します。

4. 制約ファイル (aurora_8b10b_0_exdes.xdc) を右ク リ ッ ク して、[Open file] をク リ ッ ク します (図 11)。

X-Ref Target - Figure 10

図 10 : IP サンプル デザインを開く

Page 9: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 9

5. 制約ファイル内で次の 2 つの LOC property ステート メン ト を検索します。

set_property LOC G7 [get_ports GTXQ2_N]set_property LOC G8 [get_ports GTXQ2_P]

6. 表 1 を参照し、 各プラ ッ ト フォーム (送信/受信) についてこれら 2 つの LOC property ステート メン ト を次の 9 つのステート メン トに置き換えます (図 12 参照)。

送信プラ ッ ト フォームの場合

set_property LOC J7 [get_ports GTXQ2_N]set_property LOC J8 [get_ports GTXQ2_P]set_property PACKAGE_PIN AD11 [get_ports INIT_CLK_N]set_property PACKAGE_PIN AD12 [get_ports INIT_CLK_P]set_property LOC AG5 [get_ports RESET]set_property LOC AC6 [get_ports GT_RESET_IN]set_property LOC AA8 [get_ports TX_CHANNEL_UP]set_property LOC AB8 [get_ports TX_LANE_UP]set_property LOC B17 [get_ports TX_HARD_ERR]

X-Ref Target - Figure 11

図 11 : 制約ファイルを開く

Page 10: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 10

受信プラ ッ ト フォームの場合

set_property LOC J7 [get_ports GTXQ2_N]set_property LOC J8 [get_ports GTXQ2_P]set_property PACKAGE_PIN AD11 [get_ports INIT_CLK_N]set_property PACKAGE_PIN AD12 [get_ports INIT_CLK_P]set_property LOC AG5 [get_ports RESET]set_property LOC AC6 [get_ports GT_RESET_IN]set_property LOC AA8 [get_ports RX_CHANNEL_UP]set_property LOC AB8 [get_ports RX_LANE_UP]set_property LOC B17 [get_ports RX_HARD_ERR]

X-Ref Target - Figure 12

図 12 : シンプレックスの LOC 制約

Page 11: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 11

7. 6 ページの手順 9 で、[Back Channel] に [Sideband] を指定した場合、各プラ ッ ト フォーム (送信/受信) について次の 3 行を制約ファイルに追加します。

送信プラ ッ ト フォームの場合

set_property LOC C12 [get_ports TX_ALIGNED]set_property LOC B12 [get_ports TX_VERIFY]set_property LOC C11 [get_ports TX_RESET]

受信プラ ッ ト フォームの場合

set_property LOC C12 [get_ports RX_ALIGNED]set_property LOC B12 [get_ports RX_VERIFY]set_property LOC C11 [get_ports RX_RESET]

8. 制約ファイル内で init_clk_i の設定箇所を検索します。

9. コ メン ト を 50MHz から 200MHz に変更します。

10. 周期を 20.000 から 5.000 に変更します (図 13 参照)。

表 1 : Aurora 8B/10B シンプレックスの制約

ピン名 LOC 値

INIT_CLK_N AD11

INIT_CLK_P AD12

RESET AG5

GT_RESET_IN AC6

TX_CHANNEL_UP/RX_CHANNEL_UP AA8

TX_LANE_UP/RX_LANE_UP AB8

GTXQ2_N J7

GTXQ2_P J8

TX_HARD_ERR/RX_HARD_ERR B17

TX_ALIGNED/RX_ALIGNED(1) C12

TX_VERIFY/RX_VERIFY(1) B12

TX_RESET/RX_RESET(1) C11

注記 :

1. サイ ドバンド モードのバッ ク チャネルのテス ト専用ピンです。

Page 12: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェアの構築

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 12

11. このサンプル デザインには、 制約されていないピンが含まれています。 ビッ ト ス ト リーム ファ イルの生成を可能にするには、 制約ファイルの最後に次の行を追加してください (図 14)。set_property BITSTREAM.General.UnconstrainedPins {Allow} [current_design]

注意 : スペリ ングに注意します。 制約ファイルの変更箇所をダブルチェッ ク してから次の手順へ進んでください。

X-Ref Target - Figure 13

図 13 : シンプレックス ボードのクロック制約

Page 13: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 13

12. 制約ファイルのエディ ター ウ ィンド ウで右ク リ ッ ク し、 [Save File] をク リ ッ ク します。制約ファイルのエディ ター ウ ィンド ウを閉じます。

13. Flow Navigator で [Generate Bitstream] をク リ ッ ク します。

14. [Yes] をク リ ッ ク して合成と インプリ メンテーシ ョ ンを実行し、 ビッ ト ス ト リームの生成を開始します。

15. 「Aurora コアのカスタマイズ」 および 「サンプル デザインの合成」 の手順を繰り返し、 各プラ ッ トフォームのビッ ト ス ト リーム ファ イルを生成します。

• 送信プラ ッ ト フォームの場合は、 [Dataflow Mode] に [TX-only Simplex] を指定します。

• 受信プラ ッ ト フォームの場合は、 [Dataflow Mode] に [RX-only Simplex] を指定します。

ハードウェア上でのリファレンス デザインの実行

シンプレックス サンプル デザインのセッ トアップ

このサンプル デザインは、2 つのプラ ッ ト フォームにおけるシングル レーン Aurora 8B/10B シンプレックスの接続を示しています (2 ページの図 1 参照)。プラ ッ ト フォームは、2 つの Kintex-7 FPGA KC705評価キッ ト ボードで構成されています (図 15)。

X-Ref Target - Figure 14

図 14 : Aurora 8B/10B シンプレックスの制約されていないピンのプロパティ

Page 14: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 14

次の手順のかっこ内の番号は図 15 に示す番号に対応しています。 両端 SMA コネク タ付きケーブルを使用して、 これらの接続を行います。

• ボード 1 の TXP (4) をボード 2 の RXP (5) へ接続します。

• ボード 1 の TXN (7) をボード 2 の RXN (6) へ接続します。

• ク ロ ッ ク ソース 1 の CLKP をボード 1 の MGT CLK P (2) へ接続します。

• ク ロ ッ ク ソース 1 の CLKN をボード 1 の MGT CLK N (3) へ接続します。

• ク ロ ッ ク ソース 2 の CLKP をボード 2 の MGT CLK P (2) へ接続します。

• ク ロ ッ ク ソース 2 の CLKN をボード 2 の MGT CLK N (3) へ接続します。

• ホス ト PC の JTAG プラ ッ ト フォーム USB ケーブルをボード 1 のプラ ッ ト フォーム ケーブルヘッダー (1) へ接続します。

• ホス ト PC の JTAG プラ ッ ト フォーム USB ケーブルをボード 2 のプラ ッ ト フォーム ケーブルヘッダー (1) へ接続します。

• KC705 ユニバーサル 12v 電源アダプター ケーブルを両方のボードの電源コネクタ (10) へ接続します。

• サイ ドバンド モードが選択されている場合は、 Samtec 社製 HPC-HPC ケーブルを両方のボードのHPC コネクタ (8) へ接続します。

• 両方のボードの電源スイ ッチ (9) を ON の位置に設定します。

セッ ト アップが完了する と、 図 16 のよ うにな り ます。 図 17 に、 完了したサイ ドバンド モードのセット アップを示します。

注記 : 各ボードにはそれぞれ独立したクロ ッ ク ソースを使用してください。

X-Ref Target - Figure 15

図 15 : KC705 ボードの画像

52

1

10

9

8

3

4

6

7

Page 16: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 16

シンプレックスのサンプル デザイン セッシ ョ ンのセッ トアップ

サイ ドバンド モードでバッ ク チャネルをテス トする場合は、 25 ページの 「サイ ドバン ド モードでのバッ ク チャネル テス ト用デバイスをプログラムする」 に進んでください。

[Back Channel] に [Timer] を指定した場合のデバイス プログラム

1. ビッ ト ス ト リームの生成が完了したら、 [Flow] → [Open Hardware Manager] をク リ ッ ク します (図 18)。

X-Ref Target - Figure 17

図 17 : サイドバンド モードの Aurora 8B/10B シンプレックスのセッ トアップ

Clock Source 1

Clock Source 2

KC705 Board 1 (TX)

KC705 Board 2 (RX)

Page 18: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 18

3. [Local server] を選択して [Next] をク リ ッ ク します (図 20)。

注記 : この手順は、 ハード ウェア ターゲッ トが Vivado Design Suite を駆動するホス ト PC へ接続されているこ とを前提と します。 Vivado CSE Server アプリ ケーシ ョ ンを使用するネッ ト ワーク上の 2 番目のホス ト PC へハード ウェア ターゲッ ト を接続する こ と も可能です。 詳細は、 『VivadoDesign Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 4] を参照してください。

X-Ref Target - Figure 19

図 19 : [Open a New Hardware Target] の選択

Page 19: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 19

4. [Select Hardware Target] ページで、両方のボードについて [JTAG Clock Frequency] に [750000Hz]を指定します (図 21)。

5. プログラムするターゲッ ト ボードを選択し、 [Next] をク リ ッ ク して [Finish] をク リ ッ ク します。

6. [Hardware] ビューでアクティブなデバイス [XC7K325T_0(3)] をク リ ッ ク します。

X-Ref Target - Figure 20

図 20 : [Hardware Server Settings]

X-Ref Target - Figure 21

図 21 : [Select Hardware Target]

Page 20: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 20

7. [Hardware Device Properties] ビューで、[Programming file] に受信プラ ッ ト フォームのビッ ト ス トリーム名を指定し、 [Probes file] に対応する .ltx プローブ ファ イル名を指定します (図 22)。

8. [Hardware] ビューでデバイスを右ク リ ッ ク し、 [Program Device] をク リ ッ ク します (図 23)。 ビット ス ト リーム ファ イルのパス と名前が正しいこ とを確認して [OK] をク リ ッ ク します。

9. プログラムが完了したら [Hardware] ビューでプログラムされたターゲッ ト デバイスを右ク リ ッ クし、 [Close Target] をク リ ッ ク します (図 24)。

X-Ref Target - Figure 22

図 22 : [Hardware Device Properties] ビュー

X-Ref Target - Figure 23

図 23 : [Program Device...] の選択

Page 21: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 21

10. [Hardware] ビューで 2 つ目のターゲッ ト プラ ッ ト フォームを右ク リ ッ ク し、 [Close Target] をクリ ッ ク します (図 25)。

11. 送信プラ ッ ト フォームのビッ ト ス ト リーム ファ イル名と対応する .ltx プローブ ファ イル名を使用して、 手順 6 および手順 7 を繰り返します。

12. 手順 8 を繰り返してデバイスをプログラムします。

13. プログラムが完了したら [Hardware] ビューでプログラムされたターゲッ ト デバイスを右ク リ ッ クし、 [Refresh Device] をク リ ッ ク します (図 26)。

X-Ref Target - Figure 24

図 24 : [Close Target] の選択

X-Ref Target - Figure 25

図 25 : 2 つ目のターゲッ ト プラッ ト フォームを開く

Page 22: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 22

14. 両方のプラ ッ ト フォーム ボードで CHANNEL_UP LED と LANE_UP LED が点灯しているのを確認します (表 2 参照)。

デザインの実行

1. [Hardware] ビューでデバイスを右ク リ ッ ク し、 [Run Trigger] をク リ ッ ク します (図 27)。

X-Ref Target - Figure 26

図 26 : [Refresh Device] の選択

表 2 : プッシュ ボタン スイッチと LED の位置

ピン名 LOC 値 スイッチ/LED

RESET AG5 SW6

GT_RESET_IN AC6 SW3

LANE_UP AB8 GPIO_LED_0

CHANNEL_UP AA8 GPIO_LED_1

Page 23: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 23

2. 表示された波形画面で、 lane_up 信号と channel_up 信号が High であるこ とを確認します。

3. [Debug Probes] で [hw_vio_1] の下にある次の信号を Ctrl キーを押しながら ク リ ッ ク してすべて選択します。

• tx_channel_up_r

• lane_up_i_i

• gtreset_vio_i

• sysreset_vio_i

4. 選択した信号上で右ク リ ッ ク して [Add Probes to VIO Window] をク リ ッ ク します (図 28)。

X-Ref Target - Figure 27

図 27 : [Run Trigger] の選択

Page 24: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 24

5. 各信号について [Value] 列の値をク リ ッ ク して リセッ ト信号を ト グルします。 「1」 または 「0」 を入力して [OK] をク リ ッ ク します。

6. tx_channel_up_r 信号と lane_up_i_i 信号は Low に遷移し、 各リセッ ト信号が ト グルした後に High へ戻るはずです。

次の手順に従って、 波形画面でリセッ ト信号の結果を確認します。

1. 1 つのリセッ ト信号を High に設定します。

2. [Hardware] ビューでデバイスを右ク リ ッ ク し、 [Run Trigger] をク リ ッ ク します。

3. 波形表示のタブをク リ ッ ク して、 リセッ ト信号の結果を確認します (図 29)。

X-Ref Target - Figure 28

図 28 : [Add Probes to VIO Window] の選択

Page 25: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 25

4. リセッ ト信号を ト グルするたびに、 手順 2 と手順 3 を繰り返して結果を確認します。

前述の手順で、 sysreset_vio_i または gtreset_vio_i のいずれかがアサート される と、 コア (またはト ランシーバー) がリセッ ト状態になるため、tx_channel_up_r と lane_up_i_i の両方が Lowに遷移するこ とを検証しました。 ただし、 sysreset_vio_i と gtreset_vio_i の両方が Low の場合、 コアはリセッ ト状態から遷移し、 tx_channel_up_r と lane_up_i_i は両方と も High になり ます。

サイドバンド モードでのバック チャネル テスト用デバイスをプログラムする

16 ページの図 17 に示すよ うに、 ハード ウェアが接続されているこ とを確認します。

1. JTAG ケーブルの送信プラ ッ ト フォーム ボードへの接続を断ちます。 別の方法と して、 図 30 に示すよ うにケーブルを無効にできます。

X-Ref Target - Figure 29

図 29 : リセッ ト信号の結果の波形表示

Page 26: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

ハードウェア上でのリファレンス デザインの実行

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 26

2. Windows のコマンド プロンプ ト またはターミナル ウ ィンド ウで、 次のコマンドを入力してディ レク ト リ を受信プラ ッ ト フォームのビッ ト ス ト リーム ファ イル位置に変更します。

% cd <Rx project directory>/aurora_8b10b_0_example/aurora_8b10b_0_example.runs/impl_1

3. 次のコマンドを入力し、XMD (Xilinx Microprocessor Debugger) ツールを起動してビッ ト ス ト リーム ファ イルをダウンロード します。

% xmdXMD% fpga -f aurora_8b10b_0_exdes.bitXMD% exit

4. 受信プラ ッ ト フォーム ボードから JTAG ケーブルの接続を断ち、 送信プラ ッ ト フォーム ボードへケーブルを接続します。 別の方法と して、 図 30 に示すよ うに送信プラ ッ ト フォーム ケーブルを有効にし、 受信プラ ッ ト フォーム ケーブルを無効にできます。

5. Windows のコマンド プロンプ ト またはターミナル ウ ィンド ウで、 次のコマンドを入力してディ レク ト リ を受信プラ ッ ト フォームのビッ ト ス ト リーム ファ イル位置に変更します。

% cd <Tx project directory>/aurora_8b10b_0_example/aurora_8b10b_0_example.runs/impl_1

6. 次のコマンドを入力し、 XMD を起動してビッ ト ス ト リーム ファ イルをダウンロード します。

% xmdXMD% fpga -f aurora_8b10b_0_exdes.bitXMD% exit

7. 両方のプラ ッ ト フォーム ボードで CHANNEL_UP LED と LANE_UP LED が点灯しているのを確認します (22 ページの表 2 参照)。

X-Ref Target - Figure 30

図 30 : USB JTAG ケーブルを無効にする

Page 27: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

リファレンス デザイン

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 27

サイドバンド モードでのバンク チャネルのテスト

このセクシ ョ ンの手順で使用するボタンおよび LED の位置は、 22 ページの表 2 を参照してください。

1. 受信プラ ッ ト フォーム ボードの RESET (SW6) を押し、 両方のボード上にある CHANNEL_UPLED と LANE_UP LED が点灯しないこ と を確認し ます。 RESET を解除する と、 両ボード のCHANNEL_UP LED と LANE_UP LED が点灯します。 GT_RESET_IN (SW3) を押して、解除する と、 同様の動作が確認されるはずです。

2. 送信プラ ッ ト フォーム ボードの RESET (SW6) を押し、 LANE_UP LED は点灯したままで、CHANNEL_UP LED は点灯しないこ とを確認します。RESET を解除する と、CHANNEL_UP LEDと LANE_UP LED が点灯します。 GT_RESET_IN (SW3) を押し、解除する と、同様の動作が確認されるはずです。

リファレンス デザイン

表 3 に、 リ ファレンス デザインの詳細を示します。

まとめ Kintex-7 FPGA KC705 評価キッ トは、LogiCORE IP Aurora 8B/10B コアを実装およびテス トするための最適なプラ ッ ト フォームを提供します。 このアプリ ケーシ ョ ン ノートで説明した手順に従う と、 アプリ ケーシ ョ ンに応じて Aurora 8B/10B シンプレ ッ ク ス デザインを検証し、 拡張する こ とができますKC705 ボード、 ク ロ ッ ク ソース、および Vivado Design Suite を使用するだけで、 さまざまなコンフ ィギュレーシ ョ ンを素早く評価できます。

表 3 : リファレンス デザインの詳細

パラメーター 説明

全般

ターゲッ ト デバイス (ステッピング レベル、 ES、プロダクシ ョ ン、 スピード グレード )

Kintex-7 XC7K325T-2FFG900

ソース コードの提供 あ り

ソース コードの形式 Verilog (vho/ネッ ト リ ス トで VHDL を間接的にサポート )

既存のザイ リ ンクス アプリ ケーシ ョ ン ノート / リファレンス デザイン、 Vivado IP カタログ、 サードパーティからデザインへのコード /IP の使用

Vivado IP カタログから生成された Aurora コアを使用

シミ ュレーシ ョ ン

論理シ ミ ュレーシ ョ ンの実施 なし

タイ ミ ング シ ミ ュレーシ ョ ンの実施 なし

論理シ ミ ュレーシ ョ ンおよびタ イ ミ ング シ ミ ュレーシ ョ ンでのテス トベンチの利用

N/A

テス トベンチの形式 N/A

使用したシ ミ ュレータ /バージ ョ ン N/A

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 なし

インプリ メンテーシ ョ ン

使用した合成ツール/バージ ョ ン Vivado Design Suite 2014.1

使用したインプ リ メ ンテーシ ョ ン ツール/バージ ョ ン

Vivado Design Suite 2014.1

スタティ ッ ク タイ ミ ング解析の実施 あ り

ハードウェア検証

ハードウェア検証の実施 あ り

使用したハード ウェア プラ ッ ト フォーム Kintex-7 FPGA KC705 評価キッ ト

Page 28: : Kintex-7 KC705 Aurora 8B/10B を使用するシステ …...ハードウェアの構築 XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 45. [xc7k325tffg900-2] をクリックするか、または

参考資料

XAPP1211 (v1.0) 2015 年 1 月 9 日 japan.xilinx.com 28

参考資料 このアプリ ケーシ ョ ン ノートの参考資料は次のとおりです。

1. 『LogiCORE IP Aurora 8B/10B の製品ガイ ド』 (PG046)

2. 『Kintex-7 FPGA KC705 評価キッ ト スタート アップ ガイ ド』 (UG883)

3. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

4. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

5. 『エンベデッ ド システム ツール リ ファレンス マニュアル』 (UG111)

改訂履歴 次の表に、 この文書の改訂履歴を示します。

Notice of Disclaimer

The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and useof Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OFMERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any othertheory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special,incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of lossor damage suffered as a result of any action brought by a third party) even if such damage or loss wasreasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials orto product specifications.You may not reproduce, modify, distribute, or publicly display the Materialswithout prior written consent.Certain products are subject to the terms and conditions of the LimitedWarranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject towarranty and support terms contained in a license issued to you by Xilinx.Xilinx products are notdesigned or intended to be fail-safe or for use in any application requiring fail-safe performance; youassume sole risk and liability for use of Xilinx products in CriticalApplications:http://www.xilinx.com/warranty.htm#critapps.

Automotive Applications Disclaimer

XILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE INANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONSRELATED TO:(I) THE DEPLOYMENT OF AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESSTHERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OFSOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNINGSIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATHOR PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USEOF XILINX PRODUCTS IN SUCH APPLICATIONS.

こ の 資 料 に 関 す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の 問 題 に つ き ま し て は、[email protected] まで、 または各ページの右下にある [フ ィードバッ ク送信] ボタンをクリ ッ クする と表示されるフォームからお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

日付 バージョ ン 内容

2015 年 1 月 9 日 1.0 初版