04}a..~zwt5 · 2012. 9. 12. · 04}a..~zwt5 v)de,rs4and, %e-re?tay cok(ti'dt 0f ctcyvivipl...

48
04}a . .~Zwt5 v) de,rs4and, %e-re?tay co K (t I'D t 0 F ct cyvivi pl O.ltf,(o sir al ~ 4r o1- cq,Ijton d p(+~Sic& dada)in a pofW , J v SeJ (w%Awt+ pt) I0 .4d) b a. ci(J t 4 *2c dYA -A & I c.a .a be Figure12.2 Signal pathsofaDAS . RPptlcJwbS . - 1)5? /do fa. s}O '*,e/kSk noise x(t) im+K,Vbtt 11 GeneralInstrumentation/ControlSystem Transducer Primarysensing Variableconversion Calibration Signal Actuatorappliesenergy . Electromagnetic Electrical Thermal Sound Optical Y(t) 3 Analog Preamp AnalogFilter and Amplification Z(t) IADC I Microcomputer I timer I I 7 Pc o S Tr ahSd v4.5 CSeKso,(5 c~ j3>hj Caved i T •O N ;rtq. 5 H~rtfA pe5 aPc + ofFfD t / ~- c cP Lrr,4,(s4~dSOS err or s avta{~S~S~

Upload: others

Post on 07-Nov-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

04}a..~Zwt5

v) de,rs4and, %e-re?tay co K(t I'D t 0 F ct cyvivipl

O.ltf,(o siral ~ 4r o1- cq,Ijton d p(+~Sic& dada) i n a pofW,J

v SeJ ( w%Awt+ pt)I0.4d) b

a. ci (J t 4 *2 c dYA-A&I c.a.a be

Figure 12.2 Signalpaths of a DAS .

RPptlcJwbS .

- 1)5? / d o fa. s}O '*,e / k Sk noise

x(t)

i m+K,Vbtt11

General Instrumentation/Control System

Transducer

Primary sensingVariable conversion

CalibrationSignal

Actuator applies energy .ElectromagneticElectricalThermalSoundOptical

Y(t)3

AnalogPreamp

Analog Filter

and

Amplification

Z(t)IADC I

Microcomputer

I timer II

7Pc

o S Tr ahSdv4.5 CSeKso,(5c~

j3>hj Caved i T•O N ;rtq.

5 H ~ rt fA

pe5aPc + of FfD

t

/~- c c P

Lr r, 4 ,( s4~d S OS

error s

avta { ~ S ~ S~

Page 2: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

6Q?VC0.1-°' PYdblem

*T'fA V\--CJ uCam,AQo CAC66oric5

Figure 12 .1 Individualcomponents areintegrated into a DAS .

Covr 1e,k V c 44 ACq,viSt4~ar1

cation PmhlPrttsnificance

SafetyTheoryEnvironmental

Transr1urer,PhysicsPerformanceNoise

V

Analog ElectronicsSpecifications ~~DesignPerformanceSafety / isolationNoise

i4 C Or4?OWL

A/A n/A

-S ID q }Was-2

Embedded Computer Instrumentation

EtComputerBased

Instrument

tv,1W

CI rOfAt~a,y5 i s

Q"uter InterfaceADC and DACPeriod/Pulse/Frequency

Softwareata cquisition

Formatingcalibrationdigital filtersdata compression

Processingcurve fittingFFTevent detectiondecision making

Controlopen loopclosed loop

Human Interfacegraphicstouch screensaudio

5rrorAnays,,isTheoreticalExperimental

Page 3: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

,~ T A R C Q 0I S I -1001 ¢- C°n v,eYs) °"

d ~~ciGv~ a~alo tK fo ~'rawl Pki3icJ pr oc4/yia

U $ e- -traA&SaV c&L (5e'5Q r ) t

COI V e'+ ~k

va t a b(e QYOceodl° to

Eltc+i c

v of

a or curry i .e -Tyervno c.o}~

-cemf --j v°

co"a'J Ohin

ro

.~ ~o~d~~trovS

So y io"

vQ (+mjsoI( Sc

51~'j

;Or

~~

o

AnalogInput

floor • 11-1

` f

CL"^'P

Trans-

Signal

Sample-car Cond'

and-Hold

Other -~AnalogInputs

DWn

" " s~srra.~4 1

2

DigitalConverter

N

Three-. .t. . .. State

Digital Gates

THREE-STATEENABLE

N

DataTO

START_CONVERT

CPU

END-OF-CONVERTMULTIPLEXERADDRESS

Page 4: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Corcep~,s & Ter i %o)o%

kaVp- '- tCL~k0 "'`

tjyic ran~e

ThLSG ram a Fto w~ a. ~-0 rave + o.

dV

to

gV

V

to

ti p.S- V

y w~A

+o

to MA

bIKQl code 'teprO kii°v 1

a

o o t ---~i •4LFV--1

%0 A

l004

V

2

C V

Sv

i

tkc

{°`"`tLSi- ks ~ro'

b S ubua,h rtPrtSeKted6~ a~n

~ o f 6; f-s

s ~-cp si ze5 ~an

~Re-so

a *it_ «/) s

ukPS'I U T1GN -~

,&.* •< < it •3 V

M

~CMK3C

MiKivnvwl VJuC46 1%060L

.ZC40

* o ffs4 bit1 Caae~

1'1inirAvm c_ .J 0_ vJ4 '

MaX

M~~to

"nJaA rV C

~°KdiK t c ~

c.kahac ;h a b'

ahato ~ka~~~ rcSut~Ng

c o

c{1a,.~~„~ opt bit

Page 5: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~

w164, _ (a' ~i~cal n urK6P^. x ske sizc~ t o Used0.natJl o q ^ ~

a,,,, L0

hV t6ec,oFf SQE

J. i (8~

AVIV, bPit- =

E = _5Vo t.j7se,

s Pqa =

s kp s/ZC

Re,Sd l U ~ivhs S6

Bik w 4ushk Pwr - !

p~

3

E-S

Si-f,PS( ZC

r

h

N

a~a10 huM 6~_ d F f sc~

aC

S pan

is

e;_ ( _5V) - top

10 v -

/o~ S

R ,i6

$ bet z

,r loot'. = o • 39/

+o f. 5 V

= .5

o = s S-V

34• / m w . ,e sa lvlcaa

0 .03r

Page 6: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

)Coiht `~

Loo a„ a"alo oul? O rmvt3e a cb • } ~ 1 A

~

-r

a„d~o

ke11f''

.5 fo S O v-

CaQc

ke

c5 0/00 1 0 (0( e C i vk arc o1 ~,

d FfSet=-

s 3 a" -7.5 V

7.5

V

a 6

a

x0 .117-7

y

-` a .p3 9v

56out 4

{ box Z") x spah

~Mbrrt, = C bh _, xuvka da v, ~Mbrr t ,

{ b n _ Z X 2 -~ . . .

p f f mi

G KA0Jh„r Rot ,

ar.M.o rum681. = Cai8

hum 6e, x s4tf sixe

0

X1

-+

' Xy ox

(

is2

. 15 { o . 4(1 -t o .11TI CO,

-d .034 V

.5 v

t ofFse 11

ax 3L t( ~4 .C7. 5

Page 7: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

rapnv'tdh"ro"'

)o ? ~Sda4S

daent,)dwb

so pnl fld wvPAS1p sADSra~ofa--S ~2Z ISc+ai s

z rJr»k~rS7 )4ua~M11,oac?

~o aeDw

t4qO%4fl$ MO~a )a4u! PasoS t

}4)d

4o.Lr)r POK aP0)aS~n

Sai~Sc).fSw"ao!14urn s"sas~4PIV-a-%

00*'"Ay)1!rindjnor-"ic.1f4-it_so -

.5o~ndu,

kbodd

11go30~ 0?vo

!f'"O) V9_r9$

.a-J

,lt~,wJ.DVPwa')t!rT~'°ar{!6','P

LMrPkocJSa~ao'Ja~+' )

7~,r.-joNIfW!Xnw7,~4anoq~ao

7'J4. ooyapt)0) bw,ojo }Adw)

r

As4-

St"ctoua7u jS

-,"-*Qs

Mtnw~aw„

Jj0/,96•SsIbfo•o-5

O,$

or00

J-Aq.(IVI0

04Ac-

Page 8: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

QvanA-vw\ s~~.ervd g- E,vror

4

Quantized 3level

number 2

w ke." oXr\

aio-j

CP- A le- 5--1

1

0

9Level

8number 7

6543210

----------------------s----------------

IIV1

V2

V3

V4

Vs

Analog input

(a)

v t

OV\ av\al oI

G

IVFigure 5-1 Quantization levels of an analog signal .

aKalo~ ~+ 311%0 i S to be

code =-~/ -the Ca"~~ c

~iYi de~ +h~-o

p u

eve.

O_ V,

d

V, - V-VI y -S

t

Figure 5-2 Analog input versus level number.

codec--v'

V\vrn her o f Ievdo

siy% j has

rcpre

a r\

i n

( eve,Q = 9, (5volvI3um

LS B - Vl

; A

Ah alkat nV of F01 e~,van4ized i"

Ewt, 4Q w ,damihkrvdO-

send On l~-

n v •M 6 m o t vcall

Ire preseKtc4 b' a.

(xw a.koI

S i K Ir,P

S

I eve- 11 (. q van+i z e-01,0110

I

}e,r v 0,~)

Page 9: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

//

7ke

We- Wti i t

~Je ~.

ar i s le

C o h~ 2~ 44 wk

+~ a at,nalo

S iV, a,t o °` d } ."Q code.

S

*k

t

co

eve,

siwl ~`

represent

tie a ~

5

~ ~~

~eh

eke

C A~SfsA

i 5 ~'^ d e °` t &

keh

- 4I~ a c Qh ,, ersfo~

e,~s 3~ ,~ Q,SidcS

be-~eeVk

-}-~e . aha~o~ S i ~waa ,lj c2 r~,Sew t

ou}P0

c~'T ~j cod€z

t o re/' Se n

vse ofea d s {- a

art a)ot,J ~, C cj,

q(vo.r VWJ hkrv

Yrd

11

Q,t~. ivt a ocvrr~v

Page 10: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

-- e T 'f c'r t' c .

(a)

(b)

Figure 5.4 Analog input versus error. (a) Quantization error for equal quantum intervals .

(b) Quantization error for first interval of q/2 or 1/2 LSB .

5

a l c o l a rc da. ,S

~erentice

The eY YoY

~,,, d -~~eCL" a(0

s "5 n `c.Q

VVI

aluf- Y~~YeS(2-A 4

Q!-~- c

a o ~' ~ ~

ro

O t v

err oy-

_

b) Th e ~trs w(AIUM v

~ S r~c~uct~ f c

a r t~~ L 5 3 i; w h i le. c&a

other ; n her VJS

2,M0,in

'VcdU ee' c111

VRVe:~ f

1' rt resenfs a valve of

+k f-

cE this pin

J~,rC M -t $/ 2 tc - 7/ q

Analoginput

Qh0,101 5 ( q hle

r ar+tsponds t1 evcl 1 at 9

t rraV

Page 11: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Q N o

a. V\ A )t\ a (0

`rY\ i h i vvt V rK ~, vah +U "

Ilrn } a.ki ns 9

Maximumcode

2°~-,. 2^-1

Levelnumber

321

tiMax

2 w

I Van+UrN i h 1-ervo ¢ thv

Cc,

-------------- ----------------------------------------------

VmaxAnalog input

Figure 5.5 Output code as a function of analog input .

The spOL v, oc the aKalo s~~xa,Q ( to be cover+ec)

i S 4r-~iAed iH ttv+nn5 0c 4ke vv\ IV CP uwt g maxi mum

rV aL e 5 o f t 6.5, s i 9 +~ K,Q

S S u rv~,C

S i9 r` a,Q S a n

i' s e x f r`d t d

c nn

v"^4 r

inje-vvaLp j'0-'j CjC U IQ.Pa t- o b 'e

~Ucty\+i 7- a,41 -c P, eyrov,

i A C Y- C q4 (vt I' V

o 1-\ oa x ~$ o f b i }-s m l de- \ i m i f cd b~ t4 c . o m P o ~~

1 I 'a W's Co K Ye -~OtiJ€r liMit on the- c~ uaK+izacf aK error i s

in FfuenQed ~~ the -t 'ra/A5 duce r q c.olldi~ .ahi k~ eYrarS .

Page 12: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Tke keuA,+

~Yanus d ucer

S Prv~s ov

"FrO,vt.s d VC er L) e V

C'

sa)

C k& ^-? e-

Ko 5'}- c o w\ pe r % € t l v,e cS ec

o v~pv{Wey

+xw''~~

sehsaY ~

d

--~ekw~eK{

WeleMtewh

s,Ct~

1. O-W ~ u VU

-e

CA eAK 5 b e 4wtn^ av~

-t wo cPe w` C/Y L 5+ .y k4b

r-1oYe res4vid'tJ

+' S

c

(J ni f-t kd-A k 4-4

~ h,ls+'cJ w a)-vi a,6 (e a,o 0-' i" v 1

SvcVx C4 j

s O w^A_ 0JA dj-6U

Lurv f./Ki

YIM~ ~snahs.

Inpv(- in mate ekewtod hao 3 P

J sCF) ~,-~ sehSorprovide iw, ~ rartq' covQl+J( 6c+ate" Me asvram

~rtq

( „~a"l c-"c" d'' )aj pra F(CF the Scwsov' crow1 vv%deslrcL6(e ehvirortvrtt4•& e c ccfs

.e coa~ k

to ?(o+cct

resin Q ce rexgpcva.Uv+c qjevecw

3

C. a h e~ t

.0 C) -to an o f rev

v a7tt a le

r

O

rci aKOI~e j vired 6 ~ a-

s e, nor that tonne E

P

s, Ctl

to

s(EJ d :rectf

Page 13: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~o S~ fl'oh

p o f" h.,O ,,, f"

~ ih e ah, v u9t4'a .6 (e. d i sp (4cezmenk A,•om s For wRA, (L V O TJ

For Ce .

Ttm p

Li'i h t 3:w kts;

CvfreAc

A' 'DresSure

S4VCAj l

0 avr3 e

P I e.zo electric dtv Ce

• TkerMis~or

-rv%er,rto coup 0

P ho fo cohdvc4ive ceJV

F 6f0 tra,,,s isfov

C u r revtt { rows S'4 oy~et

W1

0

, o th, p Ljskax c vaM~ co

of 1 ke 5e.v Sors Q.f*bW a6ovc

I . e

F to W c o.n be- w*cctavred v3 ivtq

i'. t ke awkouk f

cah 6C c)e,krw ;%%(J 60

mc.aouri0

toe

o r k Iv~QgpUre tie O v ijq

~~e ctvia

of corce per v vu E

of I ea L re.MoreLJ

are_ hntaSureal UsiKq YC Curie- ons

Farce or f eolper44u r

area

9 toW rOje

1?rt5Suvt droe

fro *% a kc&tej e(eM 6(i

across 0.h

6A

Page 14: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

FIGURE 12-1 Some Common Sensors

~"'--`

nshta

O U~pLj 5 144 an~vlAX

sW*

posl

spa L

3fri~LJ VE

r-~sisk

wko3c

U'arity

q 1 S ~drcki,

.lewi ~eY~~re

i S A.

r4.S I S ffo4L t

`n• 4 tkt GwtOU+~~

a- vc l ~c&ye -tka ~ ('s

-t rs

p 6, 4, -t 4Lc.

(o) Pot Wo noW

w W

r w

(c) stroln a" PW

re I ct,--ej

1,1

0 C tla.P

ro6(J

WQrkS o . PriM .Ci~(e

o

Seebkc.k-

e9tc4•JPeter Spasov

(iva lam) reya (0,5(,N j

tbe4Wedt VV (4a5 l°,

Microcontroller Technology: The 68HC11,Fourth Edition

tt

P, M P j 5 AO H

AC *abet

Vf

(t) Linoor Vo, obis Obploc.m.nt TronMomH, L V D T

(t) MuMtraedstor

phototrmrhter

"rah S ~or wu r k ao pc i Ata

f

Ya~{~~e c&4 setflttdar~ j,a ;1

Var~e.S CCOrd~ti9 to ~051'~Ok

4 AOV&beC troy core

c~akge its ~~ Sis4M i's

4Q{•ec~j b

M.

t k°eO vok kkg& L

L ~h

k1~~a~s o~eC by d . ck~

ac~S Qi ke a vcc)wu 6 (~'

C U rr t t

ScruYCC

Copyright 02002 by Pea son Education, Inc .Upper Saddle River, New Jersey 0748

All fights reserved .

Page 15: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Figure 12.9Potentiometer-basedposition sensor.

5cm

11

Figure 12.10 A LVDT measures displacement .

transmitted = = reelected dwave

-- = wavewave

7GmunGroun

1 CM

Wire wound position transducerH

Out

In

T

Figure 12 .11 An ultrasonic pulse-echo transducer measures the distance to an object .

Page 16: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Figure 12.16 Fourstrain gages are placed ina bridge configuration .

I I

Figure 12 .15 Strain gages used for displacement or force measurement .

Bonded GageArmature

II

IFrame

.4

Force

The gage factor for an Advance strain gage is 2 .1 . The typical resistance R is 120 S2 . Ifthe gage is bonded onto a material with a spring characteristic

F=-kx

then the transducer can be used to measure force . The wires each have a significant tem-perature drift. When the four wires are placed into a bridge configuration, the temperaturedependence cancels (Figure 12 .16). A high-gain high-input impedance high-CMRR differ-ential amplifier is required.

Page 17: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Figure 12.18When the twothermocouple junctionsare at differenttemperatures, currentwill flow.

(cold)

(hot)T 1

T2

Copper4

current = a (T 1 - T 2 )

Seebeck effect= thermal to electrical

Figure 12.19

(Heat absorbed)When voltage is appliedto two thermocouplejunctions, heat will flow .

Copper

voltagePeltier effect = electrical to thermal

Page 18: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Figure 12.20An instrumentation andlow-pass filter are usedto interface athermocouple.

Table 12.7 Temperature sensitivity and range of various thermocouples .

Constantan

µCsystem

analog low pass filter0<f<_ 10Hz

0<V3 <_3 .91V

0to+5v8 bit, ADC

j 0_<N<_200

Digital computer/display

Type-Thermocouple µVC at 20°C Useful range, °C

Comments

T-Copper/constantan 45 -150 to +350

Moist environmentJ-Iron/constantan 53 -150 to +1000

Reducing environmentK-Chromel/alumel 40 -200 to + 1200

Oxidizing environmentE--Chromel/constantan 80 0 to +500

Most sensitiveR S-Platinum/platinum-rhodium 6.5 0 to -1500

Corrosive environmentC-Tungsten/rhenium 12 0 to 2000

High temperature

Page 19: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Out

RPM = 7 .5 • f (Hz)

disk

h le

disk_

holenohole

one revolution

Figure 12 .12 A LED-photosensor pair measures shaft rotation .

microcomputermeasures

AN Yperiod orpulse width

Page 20: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Table 12 .6Trade-offs betweenthermistors andthermocouples .

ICBare Bead

Flake

Glass Coated Probe

Thermistor Shapes

Glass Coated Bead

Disc

Rod

Thermistors

Thermocouples

More sensitive

More sturdyBetter temperature resolution

Faster responseLess susceptible to noise

Inert. interchangeable V versus T curvesLess thermal perturbation

Requires less frequent calibrationDoes not require a reference

More linear

Figure 12.17Thermistors come inmany shapes and sizes .

l20µ to1 .3mm

Page 21: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

01

x- ce1Q~'onskip 6elwcxn ~1i~sic* meAsare%n d-s ?-' d -.gi"

1%0'v%6crs

*- The

O-Z

I t-5oU4- put mo re

Ql^d,Pa

5 eci ~'eo -rkc~T~~ Se,v~so~' 'r'~easuruMeK~

~arq~,

~ .

w~ iKirvw ,M&)cIw~UM

Qirrti~-S i} cah

Sur~.

CT F

C'-

swcccKsE

° "f~°~ {

SOwok ~ w0 SiMv~ /~ur,tOVS `7K f

e-aK a

1ec4w cJ5 tk~

~

ou4pufs ..t A t A / 0U

s tic (~ 00 d ~~Se t~ s pall

We CapIv

~'oh t o e~3s"j c,van~ wee oortd 6

Ueha f~YM

~'

o ~

f

coh s6

ra,xee'J

UUK&

Page 22: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

_ ip to

14o°C -7Ae. Sasor

o f iset, s pah, sk size, aid rcsoluiioh .

oo%1uv oc 7k .

A ID tkt -t"p

o ffset =

-,Q .!5 V 1 - la ' Cs pan

5.0 - (- •t-S v~ = 7 5 v

skp size

S Q Ive

4KJoq o u Fput -,s

t o IC M -~ k=-

Sensor hae A- MLO.SUfCAtCK{

l4oC x(o.o5 vac) t Ic =

raixte- o V

Tke- oo/PU6 r`4e '*'s- a . 6

to t S.o

5 col Mc4 ( d 1-c o$

-bit A h) - -1'^d(*`&(e

A 15,0 W 9L is t6~ Ji3i4J

i-5 t (o oc ~

0

0

CL 9 My

150 c = a . .S9 C

a.S6'

Re so l Advt: a 9

wtv

94 eke 11 %

ou+fuF 4 A (D

,je_ 6A,,e_ to sa lVe -tke- Wlowij 4" Spt" n uwt bet = M X m eas urcw~G~ ~ -t k

S10~e

}

0

0 .0 5 V/~ X( a c

z

t5 2 N1= 7.

cim -

$ Zq

¶f 5e1oaks 4-ac I

5v

0 .0 5 V C

_atv

Page 23: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

P., a- i

5 eKsoc

~o -I'

A/ D c a h ver s,G"

_~

1 r~alX LV till

chI n~ C i r c V i f S

t to cquJ St%kJ tow °`

Qv}Pu+S

~cev%Je> b i 46(in 50

ecfcct 6~ clk3 iikg

else- "KkeC1r i *,o the- ck L Cl 'x- mil%imi zc toad +k~.

~

i ed*KCC ih s'~ / Gtd iMpcJa.KCC od+PiJ f,~~~k Mp

t

5 i g' i

-tt k~ t ke- sewsoc 5 ' 8 \k

Shc4

Mo5~ 5i~

o CxR. ~akJ

'I~ A

ace no4 a2(AJ~ s s v'+a b ~

-ty~I alrA F 1;

sehsol' . ( i .C -rhe,rmocoV? k e)

ciccviFS

0

" ~v ~eo

Page 24: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

'vi

v X

Rule • a

Kv(e # if

- V5

icko.9 O e- Amp

+- Vs

Shpuf currenfs %At Xfo

iMPe-J0.rtCe- i S %mil t

posifirL- ccccJ 6ack

i .e_

YoIIaje rat es ohte bouKacd

s°Pt't~Ve't

417V014&%eo

I .e

± is V

Rult .# 3

nfe,g+ re ceeJ 6ack

dfn'y

You t - K (v~ _ V,)

or -Ao

~~pen loop aad't K

because

il% /}

Vx = VI

s ; b ce K= Qv

ecd back cariry Vot! b =

0

- Vs

{ Vs

vin

Page 25: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~ ~A4rt IKVCY'KYI~{ 4fq~~! ~tCr

4-

pty

(t~Z VSR~

Page 26: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

3 f ferevt ffQ }o

c,j

U od t = - R3 V, - 1~3 r zR,

Rz

Yo = V 3

Vo= - Rz.c l Jv1(i)Id I

Page 27: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Zin

VJ h

S= o

9 % 1* 'S ;,Kt o)

Io IC

vie- raced CoKsk#%t wrreht -~ v .

Zou E - V ;14

R 1

Page 28: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Pt V%JOS'Stkux

Figure 12.21Block diagram showinghow an analogmultiplexer is used tosample multiple signals .

sever)

v stj

s~

n eec t o be- COr vtr #t

aua aAJOq

Page 29: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

&"00(4 x~~tr) A l p x6T)fit)

xCt)

_~

cotipvkC firs^SdrV'~'

qi l ytrl

des're`~

trgKsd vcerAtA S~raKa

o u4 f U f

-tyo KS S i KQ,D

U Tke Kel elerneKt -'kit ailaw s ; K-cr faciwJ

u. Ka(o

-tv run s a u c y

ok r't5 i

5 ~s km i's

u

4 e, k/ eh

ABU

P~DC

cLccepf

d

1iwt,i }cd

G't o~ .n~u~

51 K 4~

C o' ve r f fi~ 1 S S • g yt ,~,,Q t o d t'~ i i-a.Y

c.o d e

O V i p u f C_o d e r e p rc, Se K }s + kg Sire k8 A *U" -t tC

i K f u~ c i 5 k ~f eLA *J- 4;w,#- o f C h vors i'&4

,S A wtav~,y tOt/1.e/~ i f s

e c c S S a r~

CZ WC r f +kg's Pfd ce S S e d s i v, oc. t o

-t a

0."

0.k 0

o v

cFsv% 4i vi.o v s o v }p v+ z i' ei ~+cc.V

4,~t) lk c

~xah~(e of a

req,virels A DC 0, D ACon}ro,Q CL P p (i ca4,ovtSS

Music

d; 9 ; ~.,~Q

ad1 a,}+cnvwkd d 5 ~qilS i M u 1a+cd ecka St<3+%

Page 30: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Q i 3 i }j to A ,441e

c.ohverier5

I,l A p r e Cisioh

c kaa,e

s tke huw~ber v f

;z

I s' . .b~

(~ / A c ~ I- ~ u FS Ce .' ~ s 6 q l }e,~,,~~ ray

biFs

1~V

0 J miniv" 4 O l A ov}av1-

D I A t~av~q a

, S tke vwaX

c •

~ Jo I45 , ainpercs)

D/A tc,sol'Ifi'on

'* Stoe sw,atI(es{ cJi "ft Iviska'le

i" oufpy i

s •tke

a K e-tkct+occursr

c k43 k I u

c kaK3e4 I 7W kt~ tke ~' ,'

:n pv

Rc t e. (V4a5) ' pr eCi S'°" x R~so (~fi'o~

Page 31: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

* percarringnce PaA4merevs of tk ~ jC

cl) h u m tie t„ o c b i {-s

® s e l-f111 'l wt2 (b s)

Data to registerinputs

enable

t Lsa

9 Q k&f C -5 tke

p,VNs se-}flip untie,

~reIVevt(1

0 r

Go n very/ah

Figure 6-1 Definition of settling time.

one kaLF of a I v0lk"'int~erva~ --~

S e f-fli'n q t irk

!'s caDM,p/efcd -

use OF

b A c should

deb-errniiie S

C by~vex5lo't

vyw-eaovre s tkc- i n 4.evvJbe~wtevt an a 6rup E ckalt

o~ iK puf code (a.to(J

mevcr

12Y e-I v 641 V

k how i tot

*k2 upper (iwti+ oh

~d r

`thc time tk4f tk.c cv4pi

has reached -thec orrt ci G1ha to

'Vd t u e *a, W i W"nBr

SO m¢. 5 Pecigreo

G. CC uraccy

is I

t l.~,z

0 A C

W kei oufpu E aep ro xiMa}es tke,correct er a l ve

Page 32: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

r

CcAVerSiovx Fctc~cr `C F)

s de~~Ktd w eke ck4tK a ;,~

vt/wt

o~ Ijne +

'~O C.C

code

rete~J

A s tke-

d15 'laj

N d l fA

s

1~ c Yca~-~J C

? ,O r ~'anaI

(5; f e-Sol )ho`^

'.Sawle t a

IraK1•vwi

fov a unit ck .st e o F %"pvt c°

5Om

0AC 5 have afixed

CF,

w k~ (2. a tkelt/)

f ki s P °' La ""ekA. ~O Ic vsJut

j ~esi stet .OL

t X FerM

ro /~a J

t)1 b G 41 6 e

i n o7 D A G

Prodv« °t 'j an /r- d,,-

d ~~

vM a X -(~"_,) CI

,'K }evva.C (CPJ II

5 l p1 w

rj

erYd'~ `°~~rc25

V~

JUf *dvaJt,iavS

tket irece aaex i A e oU

per c ck'

1 kcalt

So ,

IAZ

vre

S ~,~L; ~'cd aS Iiwcalci

rtssfd '" t-erwas of tkL hvwt6er e f 6i±s

C P-e vx t- x'P 119,~ w~caouYCS rl~ S t4(LeS~ C-Way,Ie ' V% lv% r

vdLc,n}tad +0

rodUCe

~is~irtyv~3ka6 1~ 0 v ~ •' r.

Page 33: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

WA a cc vrac' ; s

A V KS(

a1 kere i c t tQ ; s

it a

Jx S fl vled .2 S Co .n'

o c s }akd&td s

Tkre aAe two c0 Mrmovi

9S -bid ehCod ika(, SchewteS

~o r a b R C

vo u b

67 , b6 -- • b o &zt t ke %- b' b J '3' }j iqv f

5

V FS I *S eke coi( sc.a h vo(+aV .

CL C+UcZ - i d eaode

re ferred t o tke tta-t•QoLJ 8 ure a (!

V~

lb7 -t b! t b S + by { b3 t bzS

a.

I,

$

I C

3 z

Cy

.t b lt XT

yes

_ b~

bt .~ bs4

gj

Page 34: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~esi~h d f -tke Lf}Cd ;,, a)4 w ei9 w kd O AC

Two rnos4- iwqor(+out~ ci rc.v i 1- s 6 ~~"*~ 1 adder O AC

No k

dF a

i v'

Y'os4 popu(ckx bAC5 c.ohVe,rt s+ra(,9ht

binary code. -to OLKOJOc

eU'c o they codes

pc-DQ o FF's e.t b i w<

cD a's co Mp (erAe(t

q rC s a vnfo~wte S

covwe rfed

-tkat v u t he Coo,xsijereJCoKvef{ A

, c,Iigi1-J :npv f Code i's oIf {"kA o f vo(1a.Uq& Revd N Grioa -avl rf

~rowt ov\e bid of tke code to aKO1keIL

,r T L = h i ~(~ vo~ ~e leve,Q

a •~ - 5 Vt o W

I~

% --~ O- 0. 1 V

q,v\ $_bib t'e8is}er drive-5 its S-h puto.XJ( i n p tl f-s mG~ b e G (r i ve 14 ua i 14

5 UWi ~f crtKt N d 4-a9 ed (, er€n W

rc~iS4€ cavtWws cLu 1 S

5o if

DRc ap

a use, this inpv& 'v o (mile -t o a-c+i souk

sews; eav,adc~ovSWi~c~n

C-0 h{~ols C k_

current;s Set 5 t o~t fQ cod e

cx. T-et; s }eat, t ka~ I"- f alt ~CD {-- u A c- f c u 1puts rQ e q a)Le, re-plated)

Page 35: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Possible di a- ra.M SOY -r1Le. . 0 k C

,, ctudcd CLS p 4r 4 o F

the AAC tkeh

a " load 5+ro6cl' ;KpuE

W It 6e presenE

ILP

deco-der

w

Input code

Load strobe

V,

DAC

-x,

-V,

- VA

Figure 6.2 Block diagram of a DAC.

I/O bus

LO

Bufferregisters

.10l

LO

Load strobei

11_~DAC

registers

/r

V 1

-DAC

Z

DAC !

VA1 1

tl

- V 1

p cures

SvP~~~ ~oI ~cS

''

rl- XI

QM„ re,cerrKX 1

'101 • & cS

~VF! -a i s aKddel ou . pu t

V'o (4 cL9 e

-- VA2

OAC3

Figure 6-3 Double-buffered DACs.

r

l1

S s{-ewl

't low S the

''gyp

-to

wyi fe

-to aK~

Thr s

%

aK t i,n,~ a W ; ~Inovfo f tht tkrte b y ~~er r t~i Skr s at

c1^a ~-0~ ~ `~ ~+ k t D R C

Put ^~ ° I ~a5 t S

L

t an a PP ro Pr 144_H i th,t

PrA

( rt~ l5}er Si o~^

C0.h 6e. o.pplied --o vpda~C i'ke OP

IC 4e ers

Page 36: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~irlur we i hfed ORL

Figure 6.4 A binary-weighted DAC.

tlne binary Wet~h+d C) (~ C

sire p~e5~CoAye,,

}cY 1 S

t h at are propo },-oht(Th

c v r ren tStter cre°`~Ls

.1

_ This Con Ye

bit

LAJ C ; his of e " c~ code

5e~ to prodvto

tO the

~

5 ~,~nVA d ~-

the5e c vrrey

arkt

+

ya pagef J

a pro 'o10 v%

ea

+ e' -+ e°-

F^4 _

_ e3 {

2

R~

RoR3

is ~CP rGSev~{'e

~~

_'o,' c rP, ssu~~- "P~ ~ code

aia

4 t Qoa3

4L

e

cdw +ti tkf-Se two ^valves

rc~ a 5soC10.~~ ~ 'KOt~-ale ~~

Va Ir e

C.J

~~.

shoose t1~erc s

Vj

i5~'oY '`~Q(vt5 to be

Ro -

g+QZ4+u ,

dvq(j~

VA z Ro

t~ihat~

Qoi

VY-,

y~4Y J

C

'Ro

V 6

Juan+W1 ~

4 - b I'L

HtcjbinarI we-i~

Page 37: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

1 V\

aY\

C F

Nal Ut

o ufPv k o f

s o tke ~ oMesk

w ill b~ 5et -f o W Xiwlvwl a Ilowa61e

wkrc~ is Iiwti~ca 61 -tke w%axiwtvwl

op-Awl VdN

VrKa,t = Ca41 ,) x C F

-aC+orcotiVersiv

\0\

i)

caQ cu La. -a

C F inn a X

o.f8(?JL ko allow

ou~P'

va I4o- e

0d`mP Ji ~4 eA.

C V w,c,,X =

VOM

A "- (

fo

eAa.fe-t 1~ i 5 "\p v E

,,

VoN

a N

Page 38: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~rhe bih

we(.Ik+(d DPI C

v alve S o ~ R - - la KR o = 36o K

actor W ~ehv g

a) CalCula.~t ~~~ co"~~510" ~

~~ rh f' ,

to itsu

c

Cb~ Ifv oK I S 6

i vho d~ ~~

<)

So

(b) M.a x imu w\

_ RF VB

Ro

a

tk~ S S~ s~ewt

vin ckX W IM V VO 0 ~~

e-`r`^a X

_ 3 .33 V15

Xo .2a2

other Valves C fres`S

" e

y S' /(go K i ~3

Cc .%: Jer 51 Ovt

-y, h; s V' alvt i ti

.i v bf-`"Jr

VI

RR

Ro

2 4

_ R F VtC~

toa S

a a . 5 K A-

a9 = 4 RE - $R3

-Cl 0 ) ( 7) = 0 .2

36o

allow

Page 39: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

R~(Qr

L i _ hi{ 6i ,N arj uJei9H+cd 1) A C

,,J he V1

0-

a

at the ivvtr}iv%Ynode c s e, = V~teT

_

v

A kI -t raAsis{or5 '2C cu~

rl 4e 4~ 4 i a3w~c

=

c v o "^ i

e.odecvrreKt

lows

,wev kA.

No

rs E ~ P 0. tyke

ovtpvtva t}a~~ of ~'

.. „iKg a ~

to ihi~~E

-rrar~SiS}oY i s Sa}uraL~d 6

aPp lI

(

Iq ' ,•, c o res11o"d'K 3

R IF

ke

"`ode

-e

w .verii1

C q s"Ume VC e (5a {~ ' o

T ~I S e vYvth A ~ (o W S t{~rov9 1^

Ou ~pLj dF opNwe4l

tJ'Sal ~f + 4 Oz

01 RF +.Go A

k C)

Ito

Ko

A

OOP~E ~~ apPrWqf) z

vt~ E F

VA

_.t. Lj

0CurrCht = YW

Figure LS A pmtxica14-bit binary-weighted DAC .

YRec r

colleC of IUs

Page 40: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

wo'tJ' S~ Ze S~S~VAA5

c/V

o Wl CA, o ,, py d VePAs w' ~ t 5 l6Tw

,

Tke "Ar 'Itsi s,br 5 h Yta

rc

a,~o/ g 12 e S

w 'r/dJt e

= 4Rz

g ?3

I 'e-

Ro I11) re a d

Ye S i5~ ceO w , ~~, 1 a~ 9 e

$ Y o 6 le wt

1C

a6r~'c hem

5 Yead " 5

S+awCt/Star/

assa C ,a

s

~ed

ah oUfpV,~ -tPt

ati9 m tkao

tI

I a# e

Y a 6 (~^

'

~

9

jQ Srna le-sE Input.-fiat t

la~S

to

w

tN I +; wtc 5

C(, 4cc a v v~ a;5

-eke

~ s used 4o (

due

oc+o

l et team tLS

Q/lfL °o

~~~c 4.5 Sk '~9~'~ Il

;~ a cc vru

~

cha,vu~C

c ~ 9 e

1

o c, tP '

e Yro\( th°`'~

savi

i . S

Page 41: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

'---4 FO Y

Sp~eQ.j

due rtonnod e-

s Wi+Cki'kl .

0.YaSj--i C Cap c Ci kan(e

*kt CciIeC+or o f Z,-,C k swi fcklkl - ya'-w-S,.,5 -0Y

S tow s -t-k:2 u h v e r s ioh - t i w o f ;kz 1) A C

W ~ 2 K 0. ~- r av+ 5 1S r

6 e e K

OA,A *kVA i S

1-- s 6) -t-k i s

#+ wwz

s i~1 i f c k e od a F c

d u e-

°O.0

bi & e-katI e

-* tkeYe.

-~ iVVLe

coh S+avi t

a t -t k,

c a l l c.c 4-o r .

~j'yWk j VI4e

6~ proGi Vct

CoKS 3av' t CS

5 a f u ra .fe. d

R0c

i , s

GLC k6,111 l

KI

15c)

Ci-

5_? F

CC.p a c i ~a. rL ce «,'.d c0 Q o

ce,sis}c4Mce rf-SuIfs in c.- +7 wLt coK,S3aio t cJ

0-1

JA 5

Z.

3 -t- i t 2 Co ns tcl. K~ S WLe a ((a w e.d

ovl t ki'5 trams i 4i'o i -t kf- vvt a X i wt v w1

SLd1k kJ4l spud

for- +kIs bi 6

~s -

3 .3 Ni14z

cot (ec4a

Page 42: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

~ y-T-Nyx e 1 adde-~c Coh ~~ U'raY0V1

R-~

0 ~e.rvli Q0 J(JC~OV

i c.S ` s~o~ -t

(a)

U

(b)

piste 6.f (a) A ladder DAC. (b) The equivalent ladder circuit .

r c O A C s use s cwie waA(4 hO"~' l+ -~~°'~'~ off

I ,

o~ (add-' covefi ur&+/oN

sk)i{-ckCs

Y. e- Oitd(eSS

° f S w i {-c

ctb

COmyvtov%

O TJ,~tS t S C-a(tecL

'SjKC _cuYrehf -t(n coU3\ -j4

e- it

a„r

fit- i 5 u s e d1o SOWE

-th e. pro 6 le'

aF r f S i S}oY

S~f~a~ t

dY f

Pro b(ew

i n f)pes

0

are_ used -to coKVlec~

0 r

0rviy

}Ucd gYOUV%4

~° 5` -H°" +kL ~(o t fc`c0 e

the c i,) i fC ~ ; S a..Qwar

r e S ('Sfav s

Page 43: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

(b )

(C)

S

(Q.) R H s

a

(b)

Figure 6-6 (a) A ladder DAC. (b)The equivalent ladder circuit .

0~

(cadet.. ==:i~,

o c valve

re.d`Jce

t'es ~S~CtAce- o~ ~~

4.YI/6V gjeKt

t P, 3 I rt

C29j-,

0

hejw0yk is 4W.Gt~i Ve

split ;h JQtf

"tW o

re-sis4ao-Ce c.dd5

pYeSen6 a- -t-o t a-P

Als

viva bent re 6isror appecAS in

a-

~ SgCurrek ~~

S = = t/q

eC&C In S VCCCediv~ verli'CJ YeSis o-

of

C,UYreK4

~' loW

~q,t,at,Q -Ea

~~

I

_ -r

- L s3

10R

vtEg

a f~

rH Seeotiol made

p ava U eeQ yes fsiors

+o av\ ~.Tvivalen t

p axa,f(ff,

i K

-re.sis}Qh Ce

~ 0vz a 2

oe f

V RcF

C ur re 't E

(sere'

kaw a Valve

i Gta f o f /pv v/ovss

Page 44: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

+k e.

VREp

(b)

Ftsare 6-6 (a) A ladder DAC . (b) The equivalent ladder circuit .

W ~t2vt

a

b i

s

1'

c v vreKE t o tk

-r~e CUvvevt c lows

e. o U t

0

-rk2 CGKVe.vSlah FacfoY

Cc

-t k e 5(,0i4-c( w i 0 d('ver

in Ver+~ KII'Kpvt dF

t~YOVq i

fZ

VRF

i~

(aIy ,~".

a r2

~C F

r I rcdJeA Dqc isVR~~ RF

a', R

O p- CIPAP

CL a

Q1_ ,' qo

Page 45: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

T,Q spttad of re5/ .54an re vcjv 4r fke(addeY

C,'5 ry\ pW

OK

a a : ( 5 Yead

c Loah.cS a i . 'YaxVe o f aIt J 5 iKg lereS iS+a.hce (does "AoagfccEJ o ulpv

Vo(f•a9e ccra5+1CQI/f as

I

ca h r'n Rin

wei~htea( 0 A4 C

I f ~abr~ca rd o~ o C~i (~ tk4

re,s +ors

V*k QV,e

rela~iVC

jwKa.f clod -'ewtpera-vir{ eve pfccr'er4s

C to Minimize- o~r4 r-)

CA) L

ADD 9R D A c eXhi ~~ ~s Q. s peedadVavA}9Lge 0Vft b~P1& wei;AtcI OtgC

r

Bec.a.vse +ke vo t+ace IeveQ a,' rtt

CQWtYVl0N +t.vmIkJ re-mairvS COKS+0.t

e'

SWt -~C h

lea si -ha ' CkavheS

C U Yy e vt t reMCIK S Cooks +an i cJ I A o K l

pa+k c. h.aVL3 i v

5CS i~ Ce rn~ vec+use c~a~.~e -takes

t~ la ~e, -rte ; C~a<r~e= o,~ tie ~aY s7+ -.

Carp ck.c~fav&~e a tkv

vi; does `nod

L/V O v, -t 44 -t roLVLS i's f o v S move

aU~ 0 F SCfur0,1bvt

C 6tj E

Page 46: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

Ic.IA PYCI lem k'

)1(~l^5

Figure 6-13 Possible paths from 0011 to 0100.

TABLE B-4 TRANSITION PATHS FROM 0011 TO 0100

R S 1 p h i ~' Caw

("'o b le vel o C C u v`'"q ' t~

A C S

o f

~ 1 i } c1~I

iK}p aS

I' 1~

rc.~ i S~P/f

w t

o.C t i'~ ;f

code. i

setOf

flif }1005swi}c~ik ')t tS

rt~isfer-~~Q Uvt,t~vu%f

sW ;Fo~C2 So r t k~ d ~, ~''~-Q

bit Ca

•h

CCLUSe ~~9C -trdMSleYtt S l:)% Ke s

0 a C o u i'Pufi

alve -tcKeC

v ode G

es

o`^nPr S 0, C o

a,Vc b'

o f i ~c C-4 d e

t c, *Alt "ex ~ V alut,

S j,,(' - o a OUS11 •

s ee

F1 9uce

(-l

<< S- ~~

be

Initial state

Transient state Transient state Final state

0011 -a, 0000 0100-y 00100011 0001 0000 0(000011 0010 0110 01000011 0001 0101 01000011 0111 0110 0(000011 0111 0101 0100

Page 47: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

"'a4ofe 7A5lj Ki ti Cai&ce

, a,Qh) ' Tvde o c tke g l i fch depelxdso f tie bit tka-4 i s ckdkl i 1100011

100010100001

lqjLrjw

(a)

Sample onpositive transition

output -jo l

Figure 6-13 (a) DAC glitch problem. (b) S/H solution. .

Ce,(+ai ut 5 6 5-kMs tk wF w- c Yi ve v,61

a D A C

(b)

a Yl

-f-Il

ttie 5li kkes kkaf ai~pew,- at tie

oukpu ; .

~.xann~ 1e.5

Q OC rno+o 5 /

o'r C-Xqm'(e ca Knot ,Ce5pcV

to -tke.s2 5~,a?-e ~ra~SieK~ due 'to tneAtio o f th M04c

.j- v\

Cay~Aot to ~eYa f e -' - he 5e

C. ircv i t

5

U S C C~1--- ~ Pi caltI

~_

T e 5 ample ~- h c 1 dl C,i Y cui t% s d ti Ye+^

c c~- cn) ( Aoq that i s out ~~ P tea se LA)

+he. ~~ St hrt~Q

haf c&r i Ye S -t'~\e- i" au F codeg

<< Zn to ( s w

s a p 1

s d o it e 4kv: . f Ae. -t r irls ien h cco

d1slLppea

Page 48: 04}a..~Zwt5 · 2012. 9. 12. · 04}a..~Zwt5 v)de,rs4and, %e-re?tay coK(tI'Dt 0F ctcyvivipl O.ltf,(o siral ~ 4r o1-cq,Ijton d p(+~Sic& dada) i n a pofW, J vSeJ ( w%Awt+ pt) I0.4d)

H/S 'l+'.M~:o55 oSAGAI?-

S

Ds~,~s;A7~~v~,H

Lroiio

i(h)/

l~lsasn

°}~a~SSa~7~~~s~N211M(r.)

S?d04)M~tj)-aA'f»A S~-c)

H > S3 ° - L

N!S

A40dinos+'

Lqj-And s-PaA"-7''1.-sp1ojr"r

1,0

'a~v f1 oJ){Acv,3O)VVID

("o l)1o.}. P-.%~sJ.A--j" I

Nwo

It

~M&I4. a s~ward

sad dMbC.I!

}tan

N!t\

-X4.6maM

it

bw S+!

Paq ~A~s~~'5.1MI5