3 bit c

Upload: dineshvhaval

Post on 13-Apr-2018

218 views

Category:

Documents


0 download

TRANSCRIPT

  • 7/27/2019 3 bit c

    1/5

    RSS

    Email

    Follow us

    Become a fan Home About Us On Demand Projects Project Request Contact Us Privacy Policy Site-Map

    Home Quiz Programming Data Structure Projects

    Mini Project

    Education Notes IGNOU

    Search this site...

    You are here:HomeProgrammingVHDLCode for2 x 1Multiplexer

    January 10, 2014 11:03 am

    0

    VHDL Code for 2 x 1 MultiplexerPosted byCoding Talkson July 27, 2012

    VHDLCode for2 x 1Multiplexer:

    http://feeds.feedburner.com/CodingTalkshttp://feedburner.google.com/fb/a/mailverify?uri=CodingTalks&loc=en_UShttp://twitter.com/CodingTalkshttp://www.facebook.com/CodingTalkshttp://www.codingtalks.com/http://www.codingtalks.com/blog/about-us/http://www.codingtalks.com/blog/on-demand-projects/http://www.codingtalks.com/blog/submit-project-request/http://www.codingtalks.com/blog/contact-us/http://www.codingtalks.com/blog/privacy-policy/http://www.codingtalks.com/sitemap.xmlhttp://www.codingtalks.com/http://www.codingtalks.com/http://www.codingtalks.com/blog/category/quiz/http://www.codingtalks.com/blog/category/quiz/http://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/blog/category/programming/data-structure/http://www.codingtalks.com/blog/category/programming/data-structure/http://www.codingtalks.com/blog/category/projects-be/http://www.codingtalks.com/blog/category/projects-be/http://www.codingtalks.com/blog/category/projects-be/mini-project/http://www.codingtalks.com/blog/category/projects-be/mini-project/http://www.codingtalks.com/blog/category/education/http://www.codingtalks.com/blog/category/education/http://www.codingtalks.com/blog/category/notes/http://www.codingtalks.com/blog/category/notes/http://www.codingtalks.com/blog/category/ignou-2/http://www.codingtalks.com/blog/category/ignou-2/http://www.codingtalks.com/bloghttp://www.codingtalks.com/bloghttp://www.codingtalks.com/bloghttp://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/blog/vhdl-code-for-2-x-1-multiplexer/#respondhttp://www.codingtalks.com/blog/vhdl-code-for-2-x-1-multiplexer/#respondhttp://www.codingtalks.com/blog/author/Vivek/http://www.codingtalks.com/blog/author/Vivek/http://www.codingtalks.com/blog/author/Vivek/http://www.codingtalks.com/blog/author/Vivek/http://www.codingtalks.com/blog/wp-content/uploads/2012/07/VHDL-Programming.jpghttp://www.codingtalks.com/bloghttp://www.codingtalks.com/blog/wp-content/uploads/2012/07/VHDL-Programming.jpghttp://www.codingtalks.com/bloghttp://www.codingtalks.com/blog/author/Vivek/http://www.codingtalks.com/blog/vhdl-code-for-2-x-1-multiplexer/#respondhttp://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/bloghttp://www.codingtalks.com/blog/category/ignou-2/http://www.codingtalks.com/blog/category/notes/http://www.codingtalks.com/blog/category/education/http://www.codingtalks.com/blog/category/projects-be/mini-project/http://www.codingtalks.com/blog/category/projects-be/http://www.codingtalks.com/blog/category/programming/data-structure/http://www.codingtalks.com/blog/category/programming/http://www.codingtalks.com/blog/category/quiz/http://www.codingtalks.com/http://www.codingtalks.com/sitemap.xmlhttp://www.codingtalks.com/blog/privacy-policy/http://www.codingtalks.com/blog/contact-us/http://www.codingtalks.com/blog/submit-project-request/http://www.codingtalks.com/blog/on-demand-projects/http://www.codingtalks.com/blog/about-us/http://www.codingtalks.com/http://www.facebook.com/CodingTalkshttp://twitter.com/CodingTalkshttp://feedburner.google.com/fb/a/mailverify?uri=CodingTalks&loc=en_UShttp://feeds.feedburner.com/CodingTalks
  • 7/27/2019 3 bit c

    2/5

    Following codewill worklike 2:1 MUX insimulator. It very simple and easy to understand.

    See Code :

    1

    2

    3

    4

    56

    7

    8

    9

    10

    1112

    13

    14

    15

    16

    library ieee;

    use ieee.std_logic_1164.all;

    entity bejoy_2x1 is

    port(d0,d1,s:in std_logic;

    z:out std_logic;

    z1,z2: inout std_logic);

    end bejoy_2x1;

    architecture arc of bejoy_2x1 isbegin

    z1