a first-principles analysis of ballistic conductance...

9
AIP ADVANCES 8, 055127 (2018) A first-principles analysis of ballistic conductance, grain boundary scattering and vertical resistance in aluminum interconnects Tianji Zhou, 1,2 Nicholas A. Lanzillo, 1,a Prasad Bhosale, 1 Daniel Gall, 2 and Roger Quon 1 1 IBM Research at Albany Nanotech, 257 Fuller Road, Albany, NY 12203, USA 2 Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180, USA (Received 27 February 2018; accepted 14 May 2018; published online 24 May 2018) We present an ab initio evaluation of electron scattering mechanisms in Al inter- connects from a back-end-of-line (BEOL) perspective. We consider the ballistic conductance as a function of nanowire size, as well as the impact of surface oxidation on electron transport. We also consider several representative twin grain boundaries and calculate the specific resistivity and reflection coefficients for each case. Lastly, we calculate the vertical resistance across the Al/Ta(N)/Al and Cu/Ta(N)/Cu interfaces, which are representative of typical vertical interconnect structures with diffusion bar- riers. Despite a high ballistic conductance, the calculated specific resistivities at grain boundaries are 70-100% higher in Al than in Cu, and the vertical resistance across Ta(N) diffusion barriers are 60-100% larger for Al than for Cu. These results suggest that in addition to the well-known electromigration limitations in Al interconnects, electron scattering represents a major problem in achieving low interconnect line resistance at fine dimensions. © 2018 Author(s). All article content, except where otherwise noted, is licensed under a Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/). https://doi.org/10.1063/1.5027084 I. INTRODUCTION Copper (Cu) has been the conductor-of-choice for back-end-of-line (BEOL) interconnect tech- nology since the late 1990s (220nm half-node) when it replaced aluminum-based alloys Al(Cu). 1 In addition to a lower electrical resistivity, Cu interconnects exhibit larger activation energies for electromigration and likewise superior reliability characteristics. 2,3 However, the high line resis- tance of Cu interconnects in advanced technology nodes is becoming a limiting factor in achieving favorable device performance. 4 While the practical implementation of Al interconnects in advanced technology nodes is likely prohibited by low electromigration activation energies, an understanding of electron scattering mechanisms in simple alternative metals to Cu is warranted, especially from a BEOL-centric vantage point where issues like grain boundary scattering and vertical resistance are especially important. The electrical conductivity of Cu interconnects is dominated by the well-known size-effect, in which contributions from grain boundary scattering and surface scattering result in an increase in resistivity as the cross-sectional area of the conductor shrinks. 511 Furthermore, in modern fab- rication schemes for interconnects, electrons traveling vertically from one interconnect level to another must penetrate finite layer(s) of metal, which serve as diffusion barriers between the inter- connect metal and the surrounding dielectric. 1214 Since BEOL resistance is critical to overall device performance, alternative metals to Cu which may offer lower resistance are being actively explored. 1519 a [email protected] 2158-3226/2018/8(5)/055127/9 8, 055127-1 © Author(s) 2018

Upload: others

Post on 18-Jun-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

AIP ADVANCES 8, 055127 (2018)

A first-principles analysis of ballistic conductance,grain boundary scattering and vertical resistancein aluminum interconnects

Tianji Zhou,1,2 Nicholas A. Lanzillo,1,a Prasad Bhosale,1 Daniel Gall,2and Roger Quon11IBM Research at Albany Nanotech, 257 Fuller Road, Albany, NY 12203, USA2Department of Materials Science and Engineering, Rensselaer Polytechnic Institute,Troy, NY 12180, USA

(Received 27 February 2018; accepted 14 May 2018; published online 24 May 2018)

We present an ab initio evaluation of electron scattering mechanisms in Al inter-connects from a back-end-of-line (BEOL) perspective. We consider the ballisticconductance as a function of nanowire size, as well as the impact of surface oxidationon electron transport. We also consider several representative twin grain boundariesand calculate the specific resistivity and reflection coefficients for each case. Lastly, wecalculate the vertical resistance across the Al/Ta(N)/Al and Cu/Ta(N)/Cu interfaces,which are representative of typical vertical interconnect structures with diffusion bar-riers. Despite a high ballistic conductance, the calculated specific resistivities at grainboundaries are 70-100% higher in Al than in Cu, and the vertical resistance acrossTa(N) diffusion barriers are 60-100% larger for Al than for Cu. These results suggestthat in addition to the well-known electromigration limitations in Al interconnects,electron scattering represents a major problem in achieving low interconnect lineresistance at fine dimensions. © 2018 Author(s). All article content, except whereotherwise noted, is licensed under a Creative Commons Attribution (CC BY) license(http://creativecommons.org/licenses/by/4.0/). https://doi.org/10.1063/1.5027084

I. INTRODUCTION

Copper (Cu) has been the conductor-of-choice for back-end-of-line (BEOL) interconnect tech-nology since the late 1990s (220nm half-node) when it replaced aluminum-based alloys Al(Cu).1

In addition to a lower electrical resistivity, Cu interconnects exhibit larger activation energies forelectromigration and likewise superior reliability characteristics.2,3 However, the high line resis-tance of Cu interconnects in advanced technology nodes is becoming a limiting factor in achievingfavorable device performance.4 While the practical implementation of Al interconnects in advancedtechnology nodes is likely prohibited by low electromigration activation energies, an understandingof electron scattering mechanisms in simple alternative metals to Cu is warranted, especially from aBEOL-centric vantage point where issues like grain boundary scattering and vertical resistance areespecially important.

The electrical conductivity of Cu interconnects is dominated by the well-known size-effect, inwhich contributions from grain boundary scattering and surface scattering result in an increase inresistivity as the cross-sectional area of the conductor shrinks.5–11 Furthermore, in modern fab-rication schemes for interconnects, electrons traveling vertically from one interconnect level toanother must penetrate finite layer(s) of metal, which serve as diffusion barriers between the inter-connect metal and the surrounding dielectric.12–14 Since BEOL resistance is critical to overalldevice performance, alternative metals to Cu which may offer lower resistance are being activelyexplored.15–19

[email protected]

2158-3226/2018/8(5)/055127/9 8, 055127-1 © Author(s) 2018

Page 2: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-2 Zhou et al. AIP Advances 8, 055127 (2018)

The electron scattering mechanisms in Cu are generally well-understood from a first-principlesperspective and a significant body of work exists describing grain boundary scattering, phonon scat-tering and surface scattering.4,20–35 Ab initio techniques have also been employed to evaluate a widerange of alternate conductors to Cu, including metal silicides, Pt-group metals and several elementalmetals.25,36–39 Aluminum, while exhibiting lower resistance to electromigration than Cu, neverthelesshas received considerable attention due to its high ballistic conductance and reported weak electron-phonon coupling at the nano-scale.25,26,36 Moreover, since Al has the same FCC crystal structure asCu, a one-to-one quantitative comparison of various scattering mechanisms is possible. However,a systematic ab initio evaluation of electron scattering in Al from a BEOL perspective - includingsurface/interface scattering and grain boundary scattering - is not yet complete.

In this work, we consider several of the most important electron scattering mechanisms in Alin the context of BEOL interconnect technology. First, we calculate the ballistic conductance ofAl nanowires ranging in diameter from 4 to 15 atoms, both with and without surface oxidation.Second, we consider four representative twin grain boundaries in Al (including Σ3, Σ5, Σ9 and Σ11)and calculate the specific resistivity and reflection coefficients in each case. Lastly, we calculate thevertical resistance of Al/TaN/Al stacks, which are representative of vertical interconnect structuresfound in modern integration schemes. The results are evaluated relative to Cu in each case.

To get a better idea of sense of the size effect in aluminum at fine dimensions, the empiricalresistivity models of Fuchs-Sondheimer (FS)5,6 and Mayadas-Shatzkes (MS),7 which describe surfacescattering and grain boundary scattering, respectively, can be used to estimate the resistivity of Alrelative to Cu. These models contain several adjustable parameters, including surface scatteringspecularity (p), average grain size (d) and grain boundary reflection coefficient (r). The logarithm ofthe resistivity as a function of nanowire width is shown in Figure 1 for the case of grain size equalto half of the wire width, with the adjustable parameter p varying from 0 to 0.25 and R varying from0 to 0.3, which are representative of realistic fitting parameters based on published experimentaldata.11,40–43

Cu clearly has the lower resistivity in large (> 200nm) wires, but there exists a crossover pointbelow which Al becomes less resistive than Cu. The wire width for the crossover point depends on thenature of the grain boundary scattering and surface scattering, but is estimated to be between 10 and100nm based on the plot in Figure 1. The existence of such a cross-over point confirms our previousassertion that metals will have a smaller size effect than in Cu if their product of bulk resistivity ρ0

and electron mean free path λ is smaller than the corresponding product for Cu.15 Indeed, this appearsto be the case for Al. In this study, we apply a first-principles approach to quantitatively determinethe resistivity scaling of Al, without relying on the empirical classical models.

FIG. 1. The combined FS/MS empirical resistivity model for Al and Cu interconnects with aspect ratio of 2.0. The boundariesof each curve are defined by varying p from 0 to 0.25 and varying r from 0 to 0.3.

Page 3: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-3 Zhou et al. AIP Advances 8, 055127 (2018)

II. COMPUTATIONAL DETAILS

All Density Functional Theory (DFT) calculations were performed using the QuantumWiseAtomistix Toolkit software package.44–46 A double-zeta polarized basis set was used for all atomicspecies considered along with a 150.0 Rydberg density mesh cutoff energy. We used the generalizedgradient approximation (GGA) for the exchange-correlation functional. All geometries were relaxeduntil the maximum force on a given atom was less than 0.5 × 10−2eV /Å with the exception of thenanowires, in which the atoms were held fixed at the bulk-like positions. Convergence with respectto k-point sampling was carefully checked in all cases. The specific values of lattice parameters andk-point sampling for each structure are given in the following sections. For the transport calculationsbased on Non-Equilibrium Green’s Function (NEGF), the electron transmission is calculated at theFermi level using the formula:

G=IV=

1R=

e2

hΣiTi(EF , V = 0) (1)

where G is the conductance, T i is the transmission of the ith electronic channel, EF is the Fermi level,and V = 0 indicates that the calculations were done at zero bias.

III. OXIDATION EFFECTS ON NANO-WIRE BALLISTIC CONDUCTANCE

The impacts of quantum confinement are well-known to strongly alter the electronic structureof both metallic and semiconducting structures subject to reduced dimensionality.20,22,25,36,47–49 Inparticular, several recent studies have calculated the electronic conductance at the Fermi level for ultra-small-diameter nanowires composed out of Cu.20,22,28,39 For Cu nanowires with widths of ≈ 1nm and≈ 3nm, the impact of surface oxidation was evaluated and found to decrease the ballistic conductanceby as much as 50%.28 While it is well-known that bulk Al has a higher ballistic conductance thanCu, the effects of both quantum confinement and surface oxidation have not yet been evaluatedfor Al nanowires. Here, we consider nanowires composed of Al with widths ranging from 4 atoms(≈ 0.5nm) to 15 atoms (≈ 3nm) and calculate the ballistic conductance in each case. We also considerthe same nanowires but with selective oxygen termination at the surface, following the scheme ofJones et al. for Cu nanowires.28 Cross-sectional images of each nanowire with oxygen terminationare depicted in Figure 2, while images of the pristine nanowires are omitted for clarity.

Rather than employ a full NEGF analysis for these nanowires, we follow the state-of-the-art in theliterature for similar systems and estimate the conductance by calculating the electronic band structureand counting the number of bands crossing the Fermi level.4,20,22,28,39 In general, the conductanceis expected to increase roughly linearly as the cross-sectional area of the nanowire is increased, andindeed that is the trend observed here. For both the pristine and O-terminated nanowires, we calculate

FIG. 2. Cross-sectional images of the Al nanowires considered in this work. Atoms shown in gray correspond to aluminumwhile atoms shown in red correspond to oxygen, which sit at the Al lattice sites without being relaxed.

Page 4: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-4 Zhou et al. AIP Advances 8, 055127 (2018)

FIG. 3. The conductance as a function of the nanowire cross-sectional area for pristine and O-terminated Al nanowires.

the slope of the straight line that best fits the data, such that the conductance can be described asG = m × A + b where m is the slope, A is the cross-sectional area and b is the y-intercept. The resultsof these calculations are shown in Figure 3.

As expected, the conductance increases approximately linearly as a function of area in bothcases. In addition, the slope of the O-terminated line is substantially lower than the slope of thepristine line, indicating that surface oxygenation substantially reduces electronic conductance in ultra-small-diameter Al nanowires. Physically, this can be understood as the oxygen atoms saturating anyconductive electrons localized near the surface of the nanowire, thereby rendering them unavailablefor electron transport. Similar results have been obtained for Cu nanowires as well as for O-terminatedCoSi2 and NiSi2 nanowires.28,39 Regarding the slope of the conductance vs. area plot, the best fitequation G ≈ 11.6 × A is comparable to the corresponding equations published for Cu nanowires,including G ≈ 11.1 × A by Zhou et al.20 and G ≈ 12.6 × A by Lanzillo et al.39 This indicates thatthe ballistic conductance of Al and Cu nanowires is roughly equivalent for a given nanowire size.For short-length, local interconnects in which the electron transport is purely ballistic, Al would thenoffer similar performance to Cu from a resistance perspective.

IV. GRAIN BOUNDARY SCATTERING

Electron scattering at grain boundaries contributes significantly to the overall electrical resistivity,especially in sub-100nm width interconnects.11 Detailed analysis of grain boundary scattering in Cuhas been carried out by several groups, and more recently a similar analysis has been extendedto several of the FCC Pt-group metals.23,24,27,38,50 In this work, we consider four representativecoincidence site lattice (CSL) highly-symmetric twin grain boundaries: Σ3, Σ5, Σ9 and Σ11. Thesetup of the computational supercells are identical to those depicted for FCC Cu, Pt, Ir, Rh and Pdwhich have been published previously.23,38 The results here are presented in terms of the specificresistivity (γ), defined to be the product of the cross-sectional area of the supercell (A) and theelectrical resistance (R, calculated as the inverse of the conductance). We also report the calculatedreflection coefficients (0 < r < 1), which are calculated according to the formula:

r = 1 − t = 1 −TGB

Tbulk(2)

where TGB is the electron transmission across the grain boundary and Tbulk is the corresponding bulkelectron transmission for that specific crystallographic orientation. The results of these calculationsare presented in Table I, along with the corresponding values previously reported for Cu.

In general, for a given twin grain boundary the specific resistivity is larger for the case of Althan for the case of Cu. Specifically, the γGB of the Σ3 boundary is an order of magnitude higher for

Page 5: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-5 Zhou et al. AIP Advances 8, 055127 (2018)

TABLE I. A survey of the values of specific resistivity (in units of × 1012Ωcm2) and grain boundary reflection coefficients(r) for the Σ3, Σ5, Σ9 and Σ11 grain boundaries in Al.

Σ3 Σ5 Σ9 Σ11

Metal γGB r γGB r γGB r γGB r

Al 1.33 0.17 2.59 0.28 3.20 0.32 1.53 0.19Cu [Ref. 23] 0.16 0.016 1.49 0.145 1.75 0.164 0.75 0.0772Cu [Ref. 38] 0.22 0.02 1.32 0.13 1.80 0.14 0.64 0.07

Al than for Cu, while the lower-symmetry Σ5, Σ9 and Σ11 boundaries are between 70-100% moreresistive for Al than for Cu. Correspondingly, the reflection coefficients are larger in all four Al twingrain boundaries than in Cu. This can be qualitatively understood in the context of the Fermi surfaces.While the Fermi surfaces for both Cu and Al are approximately spherical, the deviation for perfectsphericity is stronger in Al than in Cu due to the trivalent electronic structure. Since a grain boundaryrepresents an interface in which the bulk-like Fermi surface is distorted, this distortion is larger in Althan in Cu. As a result, electron transmission across grain boundaries in Al is inhibited relative tothe case of electron transmission in Cu. With this in mind, these results indicate that grain boundaryscattering in Al will be more problematic than grain boundary scattering in Cu-based conductors,indicating that Al may not be a suitable Cu-replacement metal in the resistivity scaling aspect. It isworth noting, however, that the values of specific resistivity at the various grain boundaries in Al arestill an order of magnitude smaller than the corresponding values reported for twin boundaries in W,which has a significantly more complex Fermi surface than Al.51

V. VERTICAL RESISTANCE

An interconnect via is a short vertical conductor connecting two adjacent levels of interconnectwiring. Cu interconnects are generally fabricated in a damascene process flow during which a thindiffusion barrier layer is deposited at the bottom of the trenches and vias prior to filling with Cu.These diffusion barriers are typically chosen to be refractory metal nitrides, such as TaN, and havebeen studied for both Cu and Al metallization schemes.14,52–54 As a result of this deposition step,electrons traveling vertically through an interconnect via must pass through these thin layers of TaN,greatly increasing the vertical resistance of the interconnect stack since these metals have much lowervalues of conductance relative to Cu. Here, we take approximately 1nm thick layers of FCC TaN as arepresentative diffusion barrier and calculate the electron transmission across the Al/TaN/Al interfaceas well as across the Cu/TaN/Cu interface for comparison. Specifically, transport is calculated alongAl(100)/TaN(110)/Al(100) and Cu(100)/TaN(110)/Cu(100). The TaN layers were strained in orderto match the bulk lattice constants of Al (4.05 Å) and Cu (3.61 Å). The supercells were 45.47 Åand in 41.99 Å in length for Al/TaN/Al and Cu/TaN/Cu, respectively. The left and right electrodeswere each 2-atom unit cells of Al(100) and Cu(100), with dimensions of length of 4.05Å and 3.61Å,respectively. In addition to TaN as a representative diffusion barrier, we also consider 1nm thicklayers of pure Ta since recent integration schemes have proposed using Ta rather than TaN in order toimprove via resistance.14 The geometries are depicted in Figure 4, and the results of these calculationsare summarized in Table II, where we include the lattice parameters perpendicular to the direction oftransport for each structure as well as the calculated transmission at the Fermi Energy (T @ EF) andthe normalized vertical resistance (× 10−12Ωcm2).

In addition, transport along bulk Cu(100) and Al(100) was calculated for reference. The resultsof these transport calculations are summarized in Table II.

We first note that the calculated ballistic conductance is higher for bulk Al than for bulk Cuwhen calculated along the [100] direction. The introduction of 1nm TaN layers, however, increasesthe vertical resistance dramatically from 7.74 to 56.63 × 10−12Ωcm2 for the case of Al. The increaseis less dramatic for the case of Cu, going from 11.33 to 28.03 × 10−12Ωcm2. In other words, theintroduction of a 1nm TaN layer results in a 4x increase in the vertical resistance of Cu, while itleads to a 8x increase for the case of Al. The apparently large difference between the two metals

Page 6: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-6 Zhou et al. AIP Advances 8, 055127 (2018)

FIG. 4. The supercells for (a) Al(100)/TaN(110)/Al(100) (b) Cu(100)/TaN(110)/Cu(100) (c) Al(100)/Ta(100)/Al(100) and(d) Cu(100)/Ta(100)/Cu(100).

can be understood by analyzing the k-space-resolved transmission spectra, which discussed in thenext section. For the cases of Ta as a diffusion barrier at the via bottom, both Al/Ta/Al and Cu/Ta/Custructures show significantly lower via resistance for Ta relative to TaN. This significant reduction invia resistance for Cu/Ta/Cu relative to Cu/TaN/Cu has also been reported recently in the literature.14,55

However, when comparing Al to Cu as an interconnect conductor, it is apparent that even for the caseof Ta as a diffusion barrier, the via resistance is still larger for Al/Ta/Al than for Cu/Al/Cu, for theinterfaces and orientations we studied. In light of these results, it indicates that via resistance is likelyto be larger for Al interconnects than for Cu interconnects for choices of either TaN or Ta diffusionbarriers.

In order to better understand the seemingly large difference in via resistance between Al/TaN/Aland Cu/TaN/Cu stack structures, we consider the two-dimensional k-resolved transmission spectracalculated at the Fermi Energy. In this scheme, the transmission at the Fermi Energy is calculatedthroughout the 2D Brillouin Zone extending from − 1

2 < kA <12 and − 1

2 < kB <12 where kA and kB are

the reciprocal space vectors corresponding to lattice parameters a and b, respectively. These plots areshown in Figure 5.

The Fermi surfaces of both Al and Cu can be approximated as spherical, and this sphericalsymmetry is manifest as circular symmetry in the two-dimensional plots in Fig. 5(a) and Fig. 5(c).The eight octahedral “necks” on the Fermi surfaces are represented in the corners by the four high-transmission regions. Both bulk Cu and Al show very low transmission at the Γ-point of the BrillouinZone. The introduction of finite TaN layers dramatically changes the transmission spectra, althoughfor the case of Cu/TaN/Cu, the circular symmetry is still largely present in Fig. 5(d). The Cu/TaN/Cuinterface also shows some preservation of the high-transmission channels in the corners of the plot,corresponding to the octahedral necks in Cu. For the case of Al/TaN/Al, the circular symmetry islargely gone, and there is effectively no transmission along the octahedral necks. This indicates that

TABLE II. The lattice parameters perpendicular to the direction of transport (a × b, the calculated values of electron trans-mission at the Fermi level (T @ EF ) and the normalized values of resistance (× 1012Ωcm2. For the interface structures, theballistic value γ for the Al/Cu electrodes is subtracted.

Structure Lattice Parameters (a × b) T @ EF γ (×1012Ωcm2)

Al(100) 2.86 × 2.86Å2 1.37 7.74Al(100)/TaN(110)/Al(100) 2.86 × 2.86Å2 0.17 56.63Al(100)/Ta(100)/Al(100) 2.86 × 8.59Å2 1.33 16.13Cu(100) 2.56 × 2.56Å2 0.75 11.33Cu(100)/TaN(110)/Cu(100) 5.72 × 5.72Å2 1.06 28.03Cu(100)/Ta(100)/Cu(100) 2.56 × 7.67Å2 1.15 10.82

Page 7: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-7 Zhou et al. AIP Advances 8, 055127 (2018)

FIG. 5. The k-space-resolved transmission spectra for (a) Al(100) (b) Al(100)/TaN(110)/Al(100) (c) Cu(100) and (d)Cu(100)/TaN(110)/Cu(100).

the Fermi surface of Al is severely distorted when interfaced with TaN, resulting in poor electrontransmission across the interface. The Fermi surface of Cu, on the other hand, is largely preservedwhen interfaced with TaN, allowing moderate electron transmission across the barrier.

These results indicate that if a refractory metal nitride like TaN is to be used as a diffusionbarrier in next-generation interconnect technology, then vertical resistance can be expected to begreater for Al interconnects than for Cu interconnects. The factor of two difference between viaresistance for Al vs. Cu interconnects suggests that Al may not be a suitable Cu-replacement metal,especially in applications that require very low via resistance. While using Ta as a diffusion barrieroffers lower line resistance for both Cu and Al interconnects, the Cu via resistance is still ≈ 33%lower than the corresponding Al via resistance, indicating that Cu metallization would be favorableto Al metallization for a given choice of barrier material.

VI. ELECTROMIGRATION

In addition to the nature of electron scattering at surfaces, grain boundaries and metal interfaces,it is worth briefly discussing the major limitations with respect to electromigration in Al interconnects.An empirical model for the mean time-to-fail (MTF) of an interconnect due to electromigration wasdeveloped by J. R. Black in 1969 and is given by the expression:

MTTF =1

AJ2exp(

Ea

kT) (3)

where A is a cross-sectional area-based constant, J is the current density, Ea is the activation energy, kis Boltzmann’s constant and T is temperature.56 Given the exponential dependence on the activationenergy for electromigration, the MTF is especially sensitive any variations. Recent electromigrationexperiments on dual-damascene Cu interconnects with a Co capping layer indicate that the activationenergy for Cu is in the range of 1.2 to 1.7 eV,57 while representative values for polycrystalline Allie in the range of 0.5 to 0.8 eV.58–61 An estimate made by Shingubara et al. projects four ordersof magnitude difference between polycrystalline Al and Cu time-to-fail at 150C.59 If one assumesactivation energies of 1.2 eV for Cu and 0.8 eV for Al, then in order for the MTF of Al to match that ofCu at 150C, the current density of Cu would be nearly 300x smaller than in Al. Thus, Al interconnects

Page 8: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-8 Zhou et al. AIP Advances 8, 055127 (2018)

would be unable to support the projected current densities required in advanced technology nodes,which according to the 2013 ITRS roadmap, will be in excess of several MA/cm2 beyond the year2020.62 In addition to the serious concerns related to electromigration in aluminum interconnects,the polycrystalline aluminum with the higher activation energies are generally alloyed with Cu orother elements, which increases the resistivity and further minimizes any potential benefit of Al froma performance perspective.

VII. CONCLUSION

In this work, we have systematically evaluated several electron transport properties of Al inter-connects using first-principles calculations. In particular, the effects of quantum confinement andsurface oxidation on the ballistic conductance of Al nano-sized wires were evaluated using a combi-nation of DFT and NEGF. In addition, several representative twin grain boundaries were constructedin Al and the values of specific resistivity (γGB) and reflection coefficients (r) were calculated andcompared with the corresponding values for Cu. Lastly, the vertical resistance at several representativeinterconnect via structures including Al(Cu)/Ta(N)/Al(Cu) was calculated. In general, we find thatdespite the high ballistic conductance of Al relative to Cu, the impacts of grain boundary scatteringand interfacial scattering at vertical interfaces are likely to be more detrimental toward efforts at low-ering resistance than in Cu. These results suggest that the resistance of Al interconnect structures atfine dimensions is likely larger than that of Cu interconnects, despite semiclassical models predictinga smaller size effect in Al than in Cu. In addition to the well-known electromigration challengesassociated with polycrystalline Al, these results indicate that it is unlikely Al will overtake Cu foruse in high-volume manufacturing of advanced BEOL interconnects.

ACKNOWLEDGMENTS

This work was performed at various IBM research and development facilities, with computationalresources provided by the Rensselaer Polytechnic Institute (RPI) Computational Center for Innovation(CCI). The authors acknowledge the NSF under Grant No. 1740271. The authors would also like tothank Daniel Edelstein for many helpful discussions and suggestions.

1 D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T. McDevitt, W. Motsiff, A. Simon et al.,International Electron Device Meeting Technical Digest pp. 773–776 (1997).

2 T. Nitta, T. Ohmi, T. Hoshi, S. Sakai, K. Sakaibara, S. Imai, and T. Shibata, Journal of the Electrochemical Society 140(1993).

3 J. Tao, N. Cheung, and C. Hu, IEEE Electron Device Letters 14 (1993).4 G. Hegde, R. Bowen, and M. Rodder, Applied Physics Letters 109, 193106 (2016).5 E. Sondheimer, Advances in Physics 1, 1 (1952).6 K. Fuchs, Proceedings of the Cambridge Philosophical Society 34, 100 (1938).7 A. Mayadas and M. Shatzkes, Physical Review B 1, 1382 (1970).8 D. Josell, S. Brongersma, and Z. Tokei, Annual Review of Materials Research 39, 231 (2009).9 W. Steinhogl, G. Schindler, G. Steinlesberger, and M. Engelhardt, Physical Review B 66, 075414 (2002).

10 W. Steinhogl, G. Schindler, M. Steinlesberger, G. Traving, and M. Engelhardt, Journal of Applied Physics 97, 023706(2005).

11 J. Roberts, A. Kaushik, and J. Clarke, IEEE International Interconnect Technology Conference p. 341 (2015).12 M. Tsai, C. Sun, C. Tsai, S. Chuang, and H. Chiu, Journal of Applied Physics 79 (1996).13 H. Kim, C. Detavenier, O. van der Straten, S. Rossnagel, A. Kellock, and D.-G. Park, Journal of Applied Physics 98 (2005).14 C.-C. Yang, T. Spooner, P. McLaughlin, R. Quon, T. Standaert, and D. Edelstein, IEEE Electron Device Letters 38, 115

(2017).15 D. Gall, Journal of Applied Physics 119, 085101 (2016).16 P. Zheng, T. Zhou, B. Engler, J. Chawla, R. Hull, and D. Gall, Journal of Applied Physics 122, 095304 (2017).17 X. Zhang, H. Huang, R. Patlolla, F. Mont, X. Lin, M. Raymond, C. Labelle, E. Ryan, D. Canaperi, T. Standaert et al., IEEE

IITC (2017).18 J. Chawla, S. Sung, S. Bojarski, C. Carver, M. Chandhok, R. Chebiam, J. Clarke, M. Harmes, C. Jezewski, M. Kobrinski

et al., IEEE IITC (2016).19 P. Zheng and D. Gall, Journal of Applied Physics 122, 135301 (2017).20 Y. Zhou, S. Sreekala, P. Ajayan, and S. Nayak, Journal of Physics: Condensed Matter 20, 095209 (2008).21 Y. Ke, F. Zahid, V. Timoshevskii, K. Xia, D. Gall, and H. Guo, Physical Review B 79, 155406 (2009).22 N. Kharche, S. Manjari, Y. Zhou, R. Geer, and S. Nayak, Journal of Physics Condensed Matter 23, 085501 (2011).23 M. Cesar, D. Liu, D. Gall, and H. Guo, Physical Review Applied 2, 044007 (2014).24 M. Cesar, D. Gall, and H. Guo, Physical Review Applied 5, 054018 (2016).

Page 9: A first-principles analysis of ballistic conductance ...homepages.rpi.edu/~galld/publications/PDF-files/Gall-133.pdf · Second, we consider four representative twin grain boundaries

055127-9 Zhou et al. AIP Advances 8, 055127 (2018)

25 A. Simbeck, N. Lanzillo, N. Kharche, M. Verstraete, and S. Nayak, ACS Nano 6, 10449 (2012).26 N. Lanzillo, J. Thomas, B. Watson, M. Washington, and S. Nayak, Proceedings of the National Academy of the Sciences

111, 8712 (2014).27 B. Feldman, S. Park, M. Haverty, S. Shankar, and S. Dunham, Physica Status Solidi B 247, 1791 (2010).28 S. Jones, A. Sanchez-Soares, J. Plombon, A. Kaushik, R. Nagle, J. Clarke, and J. Greer, Physical Review B 92, 115413

(2015).29 P. Zheng, R. Deng, and D. Gall, Applied Physics Letters 105, 131603 (2014).30 T. Zhou and D. Gall, Physical Review B 97, 165406 (2018).31 T. Zhou, P. Zheng, S. Pandey, R. Sundararaman, and D. Gall, Journal of Applied Physics 123, 155107 (2018).32 P. Zheng, T. Zhou, and D. Gall, Semiconductor Science and Technology 31, 055005 (2016).33 J. Chawla, F. Zahid, H. Guo, and D. Gall, Applied Physics Letters 97, 132106 (2010).34 F. Zahid, Y. Ke, D. Gall, and H. Guo, Physical Review B 81, 045406 (2010).35 J. Purswani and D. Gall, Thin Solid Films 516, 465 (2007).36 M. Verstraete and X. Gonze, Physical Review B 74, 153408 (2006).37 K. Sankaran, S. Clima, M. Mees, and G. Pourtois, ECS Journal of Solid State Science and Technology 4, N3137 (2015).38 N. Lanzillo, Journal of Applied Physics 121, 175104 (2017).39 N. Lanzillo, T. Standaert, and C. Lavoie, Journal of Applied Physics 121, 194301 (2017).40 J. Chawla and D. Gall, Applied Physics Letters 94, 252101 (2009).41 J. Chawla, F. Gstrein, K. O’Brien, J. Clarke, and D. Gall, Physical Review B 84, 235423 (2011).42 S. Rossnagel and T. Kuan, Journal of Vacuum Science and Technology B 22, 240 (2004).43 J. Plombon, E. Andideh, V. Dubin, and J. Maiz, Applied Physics Letters 89, 113124 (2006).44 Atomistix Toolkit version 2016.0, QuantumWise A/S, URL www.quantumwise.com.45 J. Soler, E. Artache, J. Gale, A. Garcia, J. Junquera, P. Ordejon, and D. Sanchez-Portal, Journal of Physics: Condensed

Matter 14, 2745 (2002).46 M. Brandbyge, J. Mozos, P. Ordejon, J. Taylor, and K. Stokbro, Physical Review B 65, 165401 (2002).47 N. Lanzillo and S. Nayak, Physica E 66, 125 (2015).48 N. Lanzillo, S. Roy, and S. Nayak, Surface Science 636, 54 (2015).49 N. Lanzillo and C. Breneman, Journal of Physics: Condensed Matter 28, 325502 (2016).50 T. Kim, X. Zhang, D. Nicholson, B. Evans, N. Kulkarni, B. Radhakrishnan, E. Kenik, and A. Li, Nano Letters 10, 3096

(2010).51 N. Lanzillo, H. Dixit, E. Milosevic, C. Niu, A. Carr, P. Oldiges, M. Raymond, J. Cho, T. Standaert, and V. Kamineni, Journal

of Applied Physics 123, 154303 (2018).52 M. Tsai, S. Sun, C. Lee, H. Chiu, C. Tsai, S. Chuang, and S. Wu, Thin Solid Films 270 (1995).53 T. Oku, E. Kawakami, M. Uekubo, K. Takahiro, S. Yamaguchi, and M. Murakami, Applied Surface Science 99 (1996).54 M. Lane, R. Dauskardt, N. Krishna, and I. Hashim, Journal of Materials Research 15 (2000).55 N. Lanzillo, O. Restrepo, P. Bhosale, E. Cruz-Silva, C.-C. Yang, B. Kim, T. Spooner, T. Standaert, C. Child, G. Bonilla

et al., Applied Physics Letters 112, 163107 (2018).56 J. Black, IEEE Transactions on Electronic Devices 16 (1969).57 C.-K. Hu, J. Kelly, J.-C. Chen, H. Huang, Y. Ostrovski, R. Patlolla, B. Peethala, P. Adusumilli, T. Spooner, L. Gignac et al.,

IEEE International Interconnect Technology Conference, 1 (2017).58 A. Mogro-Campero, Journal of Applied Physics 53, 1224 (1982).59 S. Shingubara, Y. Nakasaki, and H. Kaneko, Applied Physics Letters 58 (1991).60 M. Dreyer and C. Varker, Applied Physics Letters 60 (1992).61 Y.-C. Joo and C. Thompson, Journal of Applied Physics 81 (1997).62 International Technology Roadmap for Semiconductors (ITRS), Interconnects Section, Semiconductor Industry Associate

(2013).