advanced technologies based on wave and beam generated plasmas

580

Upload: others

Post on 11-Sep-2021

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Advanced Technologies Based on Wave and Beam Generated Plasmas
Page 2: Advanced Technologies Based on Wave and Beam Generated Plasmas

Advanced Technologies Based on Wave and Beam Generated Plasmas

Page 3: Advanced Technologies Based on Wave and Beam Generated Plasmas

NATO ASI Series Advanced Science Institute Series

A Series presenting the results of activities sponsored by the NATO Science Committee, which aims at the dissemination of advanced scientific and technological knowledge, with a view to strengthening links between scientific communities.

The Series is published by an international board of publishers in conjunction with the NATO Scientific Affairs Division

A Life Sciences B Physics

C Mathematical and Physical Sciences D Behavioural and Social Sciences E Applied Sciences

F Computer and Systems Sciences G Ecological Sciences H Cell Biology I Global Environment Change

PARTNERSHIP SUB-SERIES 1. Disarmament Technologies 2. Environment 3. High Technology 4. Science and Technology Polley 5. Computer Networking

Plenum Publishing Corporation London and New York

Kluwer Academic Publishers Dordrecht, Boston and London

Springer-Verlag Berlin, Heidelberg, New York, London, Paris and Tokyo

Kluwer Academic Publishers Springer-Verlag I Kluwer Academic Publishers Kluwer Academic Publishers Kluwer Academic Publishers Kluwer Academic Publishers

The Partnership Sub-Series incorporates activities undertaken in collaboration with NATO's Cooperation Partners, the countries of the CIS and Central and Eastern Europe, in Priority Areas of concern to those countries.

NATO.PCO.DATA BASE

The electronic index to the NATO ASI Series provides full bibliographical references (with keywords and/or abstracts) to about 50,000 contributions from international scientists published in all sections of the NATO ASI Series. Access to the NATO-PCO-DATA BASE is possible via a CD-ROM "NATO Science and Technology Disk" with user-friendly retrieval software in English, French, and German (©WTV GmbH and DATAWARE Technologies, Inc. 1989). The CD-ROM contains the AGARD Aerospace Data­base.

The CD-ROM can be ordered through any member of the Board of Publishers or through NATO-PCO, Overijse, Belgium.

3. High Technology- Vol. 67

Page 4: Advanced Technologies Based on Wave and Beam Generated Plasmas

Advanced Technologies Based on Wave and Beam Generated Plasmas edited by

H. Schluter Ruhr-University Bochum, Institute of Experimental Physics II, Bochum, Germany

and

A. Shivarova Sofia University, Faculty of Physics, Sofia, Bulgary

Springer-Science+Business Media, B.V.

Page 5: Advanced Technologies Based on Wave and Beam Generated Plasmas

Proceedings of the NATO Advanced Study Series on Advanced Technologies Based on Wave and Beam Generated Plasmas Sozopol, Bulgaria May 22-June 1 , 1998

Library of Congress Cataloging-in-Publication Data

ISBN 978-90-48 5191-2 1- ISBN 978-94-017-0633-9 (eBook) DOI 10.1007/978-94-017-0633-9

Printed on acid-free paper

Ali Rights Reserved © 1999 Springer Science+Business Media Dordrecht Originally published by Kluwer Academic Publishers in 1999 Softcover re print of the hardcover 1 st edition 1999 No part of the material protected by this copyright notice may be reproduced or utilized in any form or by any means, electronic or mechanical, including photo­copying, recording or by any information storage and retrieval system, without written permission from the copyright owner.

Page 6: Advanced Technologies Based on Wave and Beam Generated Plasmas

TABLE OF CONTENTS

Preface 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ••• 0 ••• 0 • 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 • 0 xi

Acknowledgements .... o 0 0 ••••••••••••••• xiii

Part 1: Lectures

1.1 Gas Discharges: Applications

Plasma Discharges for Materials Processing and Display Applications M.A. Lieberman . ....... 0 •••••• • 0 0 0 0 •• • •• • 0 ••••• 0 0 ••• •• •• 1

The Development and Use of Surface-Wave Sustained Discharges for Applications Mo Moisan, J. Hubert, J. Margot and Z. Zakrzewski ... 0 • 0 0 • 0 • • • • 0 •• 23

Electrodeless Gas Discharges for Lighting G. G. Lister ............. . 0 • •••• • 0 0 0 0 0 •• 0 65

Plasma Production above Multipolar Magnetic Field Structures: from DC Magnetrons to Distributed ECR Jo Pelletier, To Lagarde and Y. Arnal ... 0 • • • 0 0 •• • • • • 0 0 0 • ••• • •• • 97

ECR Plasmas for Thin- Film Deposition R. Wilhelm . . . 0 ••••••••••• • ••• . ... . . 111

Deposition Properties and Applications of Carbon-Based Coatings Ro Wilhelm . . . . .. 0 • 0 0 0 •• 0 0 •••••••••••• 0 0 0 0 0 0 0 0 • •

Substrate Biasing during Plasma Processing: Interest, Methods and Limitations

. . 123

J. Pelletier . ...... . . . .. . .. o • • 0 •• • ••••• •• • 0 •• • • • •• • 0 ••• 137

Ion Energy Distributions G.M. W. Kroesen, M. van der Grift, R.J.M.M. Snijkers and F.Jo de Hoog . 149

Dusty Plasmas: Fundamental Aspects and Industrial Applications G.M. W. Kroesen, E. Stoffels, W. W. Stoffels, G.H.P.M. Swinkels, A. Bouchoule, Ch. Hollenstein, P. Roca di Cabarrocas, J.-C. B ertolini, G.S. Selwyn and F.J. de Hoog . .... . ..... . . . . . . . . .. . . ... . ... 175

1.2 Ion Implantation

Plasma Based Ion Implantation W. Moller .. . 0 • 0 ••••• 0 0 0 0 0 • • 0 ••••••••• •• ••• • •• • •• • ••• 191

Page 7: Advanced Technologies Based on Wave and Beam Generated Plasmas

vi

1.3 Wave Generated Plasmas

Waveguide Stationary and Nonstationary Discharges: Modelling and Experiments D. Grozev, K. Kirov, I. Kaleva, K. Makasheva and A. Shivamva .. .. . . . 245

Nonuniformity Aspects in Modelling and Noncollisional Heating of HF Discharges H. SchlUter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

Travelling Wave Discharges in Nitrogen: Modelling and Experiment C.M. Ferr·eir·a, F.M. Dias and E. Tatamva ....... . ....... . . . .... 311

Atmospheric Pressure Discharges: Travelling Wave Plasma Sources Z. Zakrzewski and M. Moisan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335

Modelling of Atmospheric Pressure Microwave Sustained Discharges Z. Zakrzewski, J. Stanco and M. Moisan .... .... . ... . ... ... .... 343

Long Microwave Discharges Z. Zakrzewski and M. Moisan . . . . . . .. .. . ...... ... .... 353

Waves in Bounded Magnetized Plasmas S. T . Ivanov ... . .. . ... . . . .. .... .... ..... .. . .... . .... .. 367

1.4 Beam Generated Plasmas

Electron Beam Generated Plasmas: Theory, Experiments, Applications M. V. Kuzelev, G.P. Mkheidze, A .A. Rnkhadze, P.S. Strelkov and A . G. Shkvamnets ... .. .... ... . . .. . .. .. ... . . ..... . .... .. 391

EBIT: An Electron Beam Source for t he Production and Confinement of Highly Ionized Atoms G. Fuj]mann, C. Biederrnann and R. Radtke ...... . .. .. .. ... ..... 429

Part 2: Posters

The Anisotropic Etching of Silicon m CF4 , CF4 + Hz and CF4 - x Cix Plasma Z. Ruth.iniene, A . Gr·igonis and R . Knizikevicins . . .. 469

CF 2 Production by CF4 Electron Impact Dissociation in Gas Discharge V. V. Ivanov, K.S . Klopovskiy, D. V. Lopaev, 0 . V. Pmshina, A . T . Rakhimov and T.V. Rakhimova . . . . . . . . . . . . . ....... . . ... 471

Page 8: Advanced Technologies Based on Wave and Beam Generated Plasmas

On Negative Ions Langmuir Probe Measurements in an Ar + 4% CF4

Currentless Plasma T. Popov, D. Ivanova and M. Tchernookov ... .... . .

Plasma Treatment of Polymer Surfaces in Different Gases

vii

.. 473

D.M. Svirachev and N.A. Tabaliov . . . . . . . . . . . . . . ........... 475

Plasma Treatment of Polymer Surfaces in Gas Mixture D.M. Svirachev and N.A . Tabaliov ..... . .... . . . . . .. . ... 477

Field Emission Characteristics of Thin MPCVD Diamond Films J. Engemann, G. Fedosenko, V. Raiko and D. Theirich . . . . . . . ... . 479

Technological Method of Substratum Metallization by Plasma- Arc Deposition A. V. Kostrov, V.I. Gundorin and A . V. Strikovski . . . . . . . . . . . . .... 481

Hydrodynamic and Electrical Characterization of Corona Discharge Plasma Reactor S. Robert, E. Francke and J. A mouroux . . . . . . . . . . . . . . . . . . .

Study by Mass Spectrometry and Gas Chromatography of Fluorocarbon Waste Destruction in a Low-Pressure Plasma Reactor

. . 483

F. Genet, S. Cavadias, K. Coulibaly, M.F. Gonnord and J. Amouroux .. 485

CARS Applied to Plasmas for NO- Reduction T. Doerk, A. Dogan, A. Pott, J. Uhlenbusch, J. Hoschele, J. Steinwandel and J. Ehlbeck ....... ... . .. ...... . .. .

Heating and Melting of the Dust Crystal in a RF Discharge. Non-Linear Analysis

. 487

I. V. Schweigert, V.A. Schweigert, A. Melzer, A. Homann and A. Piel . .. 489

Intense Plasma Pulses in Doping, Coating and Glazing the Surface of Solid Materials J. Langner, J. Piekoszewski and J. Stanislawski . . ...... . . ....... . 491

Monoatomic Ion Rich DECR Plasmas for Ion Implantation by Plasma Immersion Using a New High Voltage- High Current Pulse Generator F. Le Creur, Y. Arnal, J. Pelletier, 0. Lesaint, 0. Maulat and M. Roche . . 493

Spatial Distribution and Kinetics of Negative Ions in Glow DC Discharge in Pure 02 and H2 V. V. Ivanov, K.S. Klopovskiy, D. V. Lopaev, A . T. Rakhimov and T. V. Rakhimova . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .... . 495

Diffusion and Wall Loss of Magnesium in Decaying Plasma I. Rusinov, A . Blagoev, M. Pentcheva and V. Yordanov . . . . ......... 497

Page 9: Advanced Technologies Based on Wave and Beam Generated Plasmas

viii

Diffusion and Depopulation of the Metastable Cd3 P 0 2 States in Collisions with Neon Atoms A .I. Ogoyski and A.B. Blagoev . . . . . . . . . . . . . . . . . . . . . . . . . 499

Non·-Local Regime of Self-Consistency in Stationary Waveguided Discharges Kh. Tamev . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501

Symmetric Mode in a Planar Plasma Waveguide: Numerical Study of Nonlinear Effects M.N. Gcorgieva- Grosse and S. Grosse . . . .. . . . .. 503

Modulation Instabilities of Surface· Wave Sustained Discharges

M. Bake, D. Grozev, G. Himmel, K. Kirov, H. Schliiter· and A. Shivamva . 505

Correlation and Spectrum Analysis of Instabilties in Waveguided

Discharges N. Djermanova and K. Kirov . . . . . . . . . . . . . . . .. . ...... . .. .... 507

Pulsed Surface Wave Sustained Discharges: Modelling K. Kirov ............................ . .

Pulsed Discharges Produced by Surface Waves in 3-cm Wavelength Band

in the Air

. . 509

O.A. Ivanov and V.A. Koldanov. . . . . . . . . . . . . . . . . . . . . . . . . . 511

Pulsed Microwave Discharge in Nitrogen: Diagnostics and Modelling

M. Baeva, X. Luo, B. Pfelzer and J. Uhlenbusch . . ... .... ... ... . .. 513

The Turning Back and the Turning Forward of the Wave Number in

Surface Wave Propagation J. Henriques and F.M. Dias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515

Experimental Indications for the Existence of Plasma Resonances in

Surface Wave Discharges S. Gmsse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ... 517

Test Surface Waves as a Diagnostics Tool for SWSD

.!. Berndt, D. Grozev, H. Schluter and A. Shivarova . . . ...... 519

Optical Spectroscopy Diagnostics of Waveguided Discharges in a

Non- Stationary Regime A. Assenova, V. Gagov, K. Kirov, I. Koleva and M. Mihova ..

A New Passive Compensation Technique for Probes in Oscillating Electric

Fields S . Grosse and M.N. Georgieva-Grosse ..... . .. . ...... .

Modelling of Radio Frequency Capacitively Coupled Plasma at

Intermediate Pressures

. . 521

. . 523

S. Berezhnoi, I. Kaganovich, A . Bogaerts and R. Gijbels .... ... .... 525

Page 10: Advanced Technologies Based on Wave and Beam Generated Plasmas

ix

Two- Dimensional Mapping of the Electron Energy Distribution Function B . G. Heiland U. Kortshagen . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527

Modelling of the Equivalent Circuit of Inductively Coupled Plasma Sources P. Colpo and F. Rossi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529

Optical Measurements of Gas Temperature in Microwave Discharge Plasmas in Hydrogen R.A . Akhmedzhanov and D.B. Radishev . . . . . . . . . . . . . . . . . . . . 531

Gas Temperature Influence on the Particle Kinetics in a Surface -Wave­Sustained Nitrogen Discharge E. K. Stoykova . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533

Excited Atoms and Charged Particles Axial Distributions in Argon Microwave Plasmas at Various Gas Pressures E. Benova . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535

A Study of Excitation Mechanisms in Atmospheric Microwave Induced Argon Plasmas E.A.H. Timmermans, I.A.J. Thomas, J. Jonkers and J.A.M. van der Mullen. . . . . . . . . . . . . . . . . . . . . . . ....... 537

2D Model for a Microwave Sustained Discharge in Nitrogen at Atmospheric Pressure J. Staiico . . ..... . .. . . . .. . . .. ... . ... .. . . . . ..... . . . . ... 539

Influence of Ionization Effects on Drift-Dissipative Instability Zh. Kiss 'ovski . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . 541

Investigation of the Electron Distribution Functions in Low Pressure Electron Cyclotron Resonance Discharges I. K aganovich, M. Misina, A. Bogaerts and R . Gijbels . .. . . . . . .. . . .. 543

Electromagnetic Waves in a Magnetized Plasma Column S. T . Ivanov, E. T. Benova and K. V. Avramov ...... .

Magnetoplasmons Guided by a Gyrotropic Plasma Layer on a Metal Substrate

. ... . 545

S. T. Ivanov and N.J. Nikolaev .. . ........ ... . . . . .. . . .. . . . . .. 549

Wave Propagation in a Free Gyrotropic Plasma Layer S. T . Ivanov and N.J. Nikolaev . . . . . . . . . . . . . .. .. . . . . .... , 553

Source of an Annular Controlled Radius Plasma D.K. Ulyanov, 0. T. Loza, A. V. Ponomarev, P.S . Strelkov and A. G. Shkvar·unets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555

Page 11: Advanced Technologies Based on Wave and Beam Generated Plasmas

X

Beam-Plasma Instability Effects Supporting Capacitive Low Pressure RF Discharges A .F. Alexandrov, V.P. Savinov and I.F. Singaevski . . . . ... ..... . ... 557

Author Index . .. .. . .. ...... . .. . . .. .. . . ... . . . . .. ... .. . . 559

Subject Index ..... ...... ... . .... . .. .. ........ .. ... ... 561

Page 12: Advanced Technologies Based on Wave and Beam Generated Plasmas

PREFACE

This volume is based on the lectures at the NATO Advanced Study Institute, entitled "Advanced Technologies Based on Wave and Beam Generated Plasmas", held at Sozopol, Bulgaria, from May 22 till June 1, 1998. It attracted almost 100 participants from 16 different countries.

The meeting combined different types of scientists from advanced experts to aspiring young researchers. It aimed at stimulating future development by providing-across borders- cross-fertilization and exchanges between previously unconnected groups. This is reflected in the contents of the volume which covers the lectures given. The book also contains in a second part 43 poster presentations mostly from younger participants with valuable complementations and specifica­tions to the lectures.

With its topics the Advanced Study Institute constitutes the first attempt to bring together in an organized manner three areas of work on plasma technologies: advanced efforts based on wave generated---high frequency-····plasmas, on plasma assisted ion implantation and on electron beam generated plasmas .

The book encompasses all the branches linked in the progress from fundamen­tals to applications: from description and modelling of different plasma sources to technological use, from general diagnostics to methods related to technologi­cal control and operation of plasma reactors. In this way the basis is shown in the application of sources in industry (for high technology, electronics, microelec­tronics, integrated circuit fabrication, plasma chemistry, lighting, new materials, optics, biomedical industry, aerospace industry, etc.), in detoxifcation of gases (or of environment, in general) and also in astrophysics, atomic physics, laser physics, accelerator physics and physics of microwave devices.

The first two reviews of plasma based new technologies presented here empha­size recent developments in the sector of plasma imaging and acute and important applications of surface wave sustained discharges. Three lectures on the develop­ment of radio frequency light sources are linked to a detailed article on this topic. By a number of lectures great weight is also given to recent work concerning the treatment of surfaces by deposition, coating and thin film production. Current etching work is presented and discussed by several contributions to this volume. The book reviews progress on different plasma sources, including cases with static magnetic fields . Important aspects (like substrate biasing) are treated with view to problems in applications. Specific reporting is also included on resulting ion energy properties and behaviour of dusty plasmas.

Wave generated discharges are dealt with as to the present level of modelling. The discharges are treated as nonlinear systems of selfconsistent interaction wave H plasma. The regime of pulsed operation is touched upon . These reviews take into account aspects such as the role of noncollisional effects and important influ­ences of atomic and molecular physics. The volume gives two articles on current atmospheric pressure high frequency discharges, with a subsequent review on cur-

xi

Page 13: Advanced Technologies Based on Wave and Beam Generated Plasmas

xii

rent work with large diameter discharges. Several lectures on the present status and progress in the field of plasma assisted

ion implantation are combined in this volume to an extensive review. The six lectures on the progress in use and application of electron beam gener­

ated plasmas are combined to two longer reviews, each centered around a different type of approach and aims.

The mentioned complementary poster texts are given essentially ordered with view to the sequence of lecture articles before.

Page 14: Advanced Technologies Based on Wave and Beam Generated Plasmas

ACKNOWLEDGEMENTS

It is a pleasure to acknowledge with gratitude the award from the NATO Assistant Secretary General for Scientific and Environmental Affairs which made possible this Advanced Study Institute within a partnership programme on high techno­logy. We are grateful to the NATO Science Committee and the Scientific and Environmental Affairs Division for their interest and helpful attitude to arrange the meeting.

Additional financial support received from the Ministerium fiir Wissenschaft und Technologic des Landes Nordrhein-Westfalen and from Arbeitsgemeinschaft Plasmaphysik, Ruhr-Universitiit Bochum, Germany, is also gratefully acknow­ledged. Our thanks for financial and organisational support are also directed to the Institut des Hautes Etudes pour le Developpement de la Culture, de la Science et de la Technologic en Bulgarie, Paris, and its director Prof. Dr. M. Balkanski (Universite Pierre et Marie Curie, Paris) .

We are indebted to the members of the Organizing Committee Prof. Dr. M. Moisan, Prof. Dr. C.M. Ferreira and Prof. Dr. S. Ivanov for their valuable ad­vice and help fundamental to set up the scientific programme and realizing this Advanced Study Institute.

Last not least we wish to express our awareness of the energy and enthusiam devoted to preparing and carrying through this enterprise to Mr. F .B. Lehnhoff, University Bochum, to Mrs. K. Makasheva, Dr. I. Koleva, Dr. N. Djermanova, Mr. K. Marinov, Mr. D. Grozev , Dr. Zh. Kiss'ovski, Mr. K. Kirov, Mr. V. Gagov, Mr. V. Tumbev, Prof. Dr. V. Dimitrova, Mr. L. Stoev, all Sofia University, to Mr. Kh. Tarnev, Military Academy Veliko Tarnovo, and to Mrs. M. Khristova, Technical University Sofia, and wish to convey our thanks to everyone of them.

H. Schluter

A. Shivarova

xiii

Page 15: Advanced Technologies Based on Wave and Beam Generated Plasmas

PLASMA DISCHARGES FOR MATERIALS PROCESSING

AND DISPLAY APPLICATIONS

MICHAEL A. LIEBERMAN Department of Electrical Engineering and Computer Sciences University of California, Berkeley CA 94 720

Industrial applications of low temperature plasma discharges have become increas­

ingly important in the last decade and represent a new and expanding frontier of

plasma physics. Such applications are strongly interdisciplinary, combining ele­

ments of plasma science and technology, gas phase chemistry, surface science, and

atomic and molecular physics to create new industrial products. Two applications

are discussed in this review: (1) plasma-assisted materials processing for semi­

conducting device fabrication and (2) plasma display panels for wide screen high

definition television.

1. Plasma-Assisted Materials Processing

Chemically reactive plasma discharges are widely used to modify the surface prop­

erties of materials. Plasma processing technology is vitally important to many of the largest manufacturing industries in the world, such as the aerospace, automo­

tive, steel, biomedical and toxic waste management industries, and it is indispens­

able for manufacturing the very large scale integrated circuits (IC's) used by the

electronics industry [1-3]. With plasma processing, materials and surface struc­

tures can be fabricated that are · not attainable by any other commercial method,

and the surface properties of materials can be modified in unique ways. For exam­

ple, 0.2 J,tm wide, 4 J,tffi deep trenches can be etched into silicon films or substrates.

A human hair is 50-100 J,tm in diameter, so hundreds of these trenches would fit endwise within a human hair. Unique materials such as diamond films and amor­

phous silicon for solar cells can also be produced, and plasma-based hardening

of surgically implanted hip joints and machine tools has extended their working

lifetimes many-fold. It is instructive to look closer at integrated circuit (IC) fabrication, which is a

key application for materials processing. Argon or oxygen discharges are used to

sputter-deposit aluminum, tungsten, or high temperature superconducting films;

oxygen discharges can be used to grow Si02 films on silicon; SiH2Cl2/NH3 and

Si(OCzHs)4/0z discharges are used for the plasma-enhanced chemical vapor de­

position of Si3N4 and Si02 films, respectively; BF3 discharges can be used to

H. Schluter and A. Shivarova (eds.), Advanced Technolngies Based on Wave and Beam Generated P/nsmas, 1-·22.

© 1999 Kluwer Academic Publishers.

Page 16: Advanced Technologies Based on Wave and Beam Generated Plasmas

2

implant dopant (B) atoms into silicon; CF 4 /Ciz/02 discharges are used to selec­tively remove silicon films; and oxygen discharges are used to remove photoresist or polymer films. These types of steps (deposit or grow, dope or modify, etch or remove) are repeated again and again in the manufacture of a modern integrated circuit; they are the equivalent, on a f.Lm-size scale, of em-size manufacture using metal and components, bolts and solder, and drill press and lathe. For micro­fabrication of an IC, one-third of the hundreds of fabrication steps are typically plasma-based.

Figure 1 shows a typical set of steps to create a metal film patterned with sub-micron features on a large area (200 mm diameter) wafer substrate. In (a), the film is deposited; in (b) , a photoresist layer is deposited over the film; in (c), the resist is selectively exposed to light through a pattern; and in (d), the resist is developed, removing the exposed resist regions and leaving behind a patterned resist mask. In (e), this pattern is transferred into the film by an etch process; the mask protects the underlying film from being etched. In (f), the remaining resist mask is removed. Of these six steps, plasma processing is generally used for film deposition (a) and etch (e) , and may also be used for resist development (d) and removal (f) .

Substrate

(a) (d)

(b) (e)

Figur·e 1. Deposition and pattern transfer in manufacturing an integrated circuit; (a) metal deposition ; (b) photoresist deposition; (c) optical exposure through a pattern; (d) photoresist development; (e) anisotropic. plasma etch ; (f) remaining photoresist removal (after [2]).

The etch process in (e) is illustrated as leading to vertical sidewalls aligned with the resist mask; i.e., the mask pattern has been faithfully transferred into the

Page 17: Advanced Technologies Based on Wave and Beam Generated Plasmas

3

metal film. This can be accomplished by an etch process that removes material in the vertical direction only. The horizontal etch rate is zero. Such anisotropic etches are easily produced by plasma processing. On the other hand, one might imagine that exposing the masked film (d) to a liquid (or vapor phase) etchant will lead to the undercut isotropic profile shown in Fig. 2a (compare tole), which is produced by equal vertical and horizontal etch rates. Many years ago, feature spacings (e.g. , between trenches) were tens of microns, much exceeding required film thicknesses. Undercutting was then acceptable. This is no longer true with sub-micron feature spacings. The reduction in feature sizes and spacings makes anisotropic etch processes essential. In fact, strictly vertical etches are sometimes not desired; one wants controlled sidewall angles. Plasma processing is the only commercial technology capable of such control. Anisotropy is a critical process pa­rameter in IC manufacture and has been a major force in driving the development of plasma processing technology.

(a}

(b)

Ions

Film removed (d)

Figure 2. Plasma etching in integrated circuit manufacture; (a) example of isotropic etch ; (b) sidewall etching of the resist mask leads to a loss of anisotropy in film etch ; (c) the role of bombarding ions in anisotropic etch; (d) the role of sidewall passivating films in anisotropic etch (after [2]).

The etch process applied to remove the film in Fig. ld is shown in Fig. le as not removing either the photoresist or the underlying substrate. This selectivity is another critical process parameter for IC manufacture. \Vhereas wet etches have been developed having essentially infinite selectivity, highly selective plasma etch processes are not easily designed. Selectivity and anisotropy often compete in the design of a plasma etch process, with results as shown in Fig. 2b. Compare this to the idealized result shown in Fig. l e. Assuming that film-to-substrate selectivity is a critical issue, one might imagine simply turning off the plasma after the film has been etched through . This requires a good endpoint detection system. Even then,

Page 18: Advanced Technologies Based on Wave and Beam Generated Plasmas

4

variations in film thickness and etch rate across the area of the wafer imply that the etch cannot be stopped at the right moment everywhere. Hence, depending on the process uniformity, there is a need for some selectivity.

Here is a simple recipe for etching silicon using a plasma discharge: Start with an inert molecular gas, such as CF4. Excite the discharge to sustain a plasma by electron-neutral dissociative ionization,

e + CF4 ~ 2e + CF 3 + + F,

and to create reactive species by electron-neutral dissociation,

e +CF4~ e + F + CF3

~ e + 2F+CF2.

The etchant F atoms react with the silicon substrate, yielding the volatile etch product SiF4:

Si(s) + 4F(g) ~ SiF4(g) .

Here, s and g indicate solid and gaseous forms, respectively. Finally, the product is pumped away. It is important that CF4 does not react with silicon, and that the etch product SiF4 is volatile, so that it can be removed. This process etches silicon isotropically. For an anisotropic etch, there must be high energy ion ( CF 3 +) bombardment of the substrate. As illustrated in Figs. 2c and d, energetic ions leaving the discharge during the etch bombard the bottom of the trench but do not bombard the sidewalls, leading to anisotropic etching by one of two mechanisms. Either the ion bombardment increases the reaction rate at the surface (Fig. 2c), or it exposes the surface to the etchant by removing passivating films that cover the surface (Fig. 2d).

Other characteristics motivate the use of plasma processing for deposition, surface modification, and isotropic etch requirements. For example, a central fea­ture of low pressure plasma processing is that the plasma itself, as well as the plasma-substrate system, is not in thermal equilibrium. This enables substrate temperatures to be relatively low, compared to those required in conventional thermal processes, while maintaining adequate deposition or implantation rates. In other words, plasma processing rates are greatly enhanced over thermal pro­cessing rates at the same substrate temperature. For example, Si3N4 films can be deposited over aluminum films by plasma-enhanced chemical vapor deposition (PECVD) , whereas adequate deposition rates cannot be achieved by conventional chemical vapor deposition (CVD) without melting the aluminum film. Another application is the use of plasma immersion ion implantation (Pill) to implant ions into near-room temperature materials at dose rates that are tens to hundreds of times larger than those achievable with conventional (beam-based) ion implan­tation systems. In Pill , a series of negative high voltage pulses are applied to a substrate that is immersed directly into a discharge, thus accelerating plasma ions into the substrate. The development of Pill has opened a new implantation

Page 19: Advanced Technologies Based on Wave and Beam Generated Plasmas

5

regime characterized by very high dose rates, even at very low energies, and by the capability to implant both large area and irregularly shaped substrates, such as fiat panel displays or machine tools and dies.

Plasmas. A plasma is a collection of free charged particles moving in random directions that is, on the average, electrically neutral (see Fig. 3a). Discharges are plasmas having the following features: (1) they are driven electrically; (2) charged particle collisions with neutral gas molecules are important; (3) there are boundaries at which surface losses are important; and (4) ionization of neutrals sustains the plasma in the steady state. A simple dis.charge, shown schematically in Fig. 3b, consists of a voltage source that drives current through a low pressure gas between two parallel conducting plates or electrodes. The gas "breaks down" to form a plasma, usually weakly ionized, i.e., the density of charged particles (electrons, ions) is only a small fraction of the neutral gas density.

~--Gas

(a) {b)

Figure 3. Schematic view of (a) a plasma and (b) a discharge (after [2].

Plasmas are often called a fourth state of matter. As we know, a solid sub­stance in thermal equilibrium generally passes into a liquid state as the tempera­ture is increased at a fixed pressure. The liquid passes into a gas as the temperature is further increased. At a sufficiently high temperature, the molecules in the gas decompose to form a gas of atoms that move freely in random directions, except for infrequent collisions between atoms. If the temperature is further increased, then the atoms decompose into freely moving charged particles (electrons and positive ions), and the substance enters the plasma state. This state is characterized by a common charged particle density ne ~ n; ~ n particles/m3 and, in equilibrium, a temperature Te = T; = T. The temperatures required to form plasmas from pure substances in thermal equilibrium range from roughly 4000 K for easy-to-ionize elements like cesium to 20000 K for hard-to-ionize elements like helium.

Much of the matter in the universe is in the plasma state. This is true be­cause stars, as well as most interstellar matter, are plasmas. Although stars are plasmas in thermal equilibrium, the light and heavy charged particles in low pres­sure processing discharges are almost never in thermal equilibrium, either among themselves or with their surroundings. Because these discharges are electrically driven and are weakly ionized, the applied power preferentially heats the mobile

Page 20: Advanced Technologies Based on Wave and Beam Generated Plasmas

6

electrons, while the heavy ions efficiently exchange energy by collisions with the background gas. Hence, Te » 1i for these plasmas.

Figure 4 identifies different kinds of plasmas on a log n versus log 1~ diagram. There is an enormous range of densities and temperatures for both laboratory and space plasmas. Two important types of processing discharges are indicated on the figure. Low pressure glow discharges are characterized by Te :::::: 1··· 10 V, Ti « Te, and n:::::: 108-1013 cm- 3 . These discharge.s are used as miniature chemical factories in which feedstock gases are broken into positive ions and chemically reactive etchants, deposition precursors, etc., which then flow to and physically or chemically react at the substrate surface. While energy is delivered to the substrate also, e.g., in the form of bombarding ions, the energy flux is there to promote the chemistry at the surface, and not to heat the surface. The gas pressures for these discharges are low: pd:::::: 10- 2- 100 Torr-em, where dis a characteristic discharge size.

Solid Si at room temperature

if .!nn.<3 < 1 3 De

JQ-1

High pressure

arcs

0

Shock tubes

Theta pinches

Fusion experiments

5

Figure 4. Space and laboratory plasmas on a log n versus log Te diagram.

Page 21: Advanced Technologies Based on Wave and Beam Generated Plasmas

7

High pressure arc discharges are also used for processing. These discharges have Te ~ 0.5- 2 V and n ~ 1014- 1019 cm- 3 , and the light and heavy particles are more nearly in thermal equilibrium, with Ti ~ Te. These plasmas are used mainly to deliver heat to the substrate, e.g., to increase surface reaction rates, to melt, sinter or evaporate materials, or to weld or cut refractory materials. Operating pressures are typically near atmospheric pressure (760 Torr) . High pressure plasmas of this type are beyond the scope of this review.

Figure 5 shows the densities and temperatures (or average energies) for vari­ous species in a typical high density low pressure nitrogen discharge used for Pill. We see that the feedstock gas, its dissociation products, and plasma ions have roughly the same temperature, which does not exceed a few times room tempera­ture (0 .026 V) . The dissociation product (N atom) density is a significant fraction of the N2 density, but the fractional ionization is usually less than 10% and often less than 1%. The electron temperature Te is two orders of magnitude larger than the ion temperature Ti· However, we note that the energy of ions bombarding the substrate can be 1100 k V, much exceeding Te. The acceleration of low temper­ature ions across a sheath region where the plasma and substrate meet is central to all processing discharges .

10"2

Temperature or energy (V)

Figure 5. Densities and energies for various species in a low pressure high density discharge used for plasma immersion ion implantation.

Although ni and ne may be much smaller than n9 , the charged particles play central roles in sustaining the discharge and in etching, deposition, or implantation. Because Te » Ti , it is the electrons that dissociate the feedstock gas to create, for example, active etchant species. Electrons also ionize the gas to create the positive ions that subsequently bombard the substrate to produce an enhanced etch rate and anisotropic etching. Energetic ions can also physically sputter materials from the surface or produce secondary emission of electrons from the surface.

Te is generally less than the threshold energies £diss or £;z for dissociation and ionization of the feedstock gas molecules. Nevertheless, dissociation and ionization occur because electrons have a distribution of energies. Letting 9e(£) d£ be the

Page 22: Advanced Technologies Based on Wave and Beam Generated Plasmas

8

number of electrons per unit volume with energies lying between £ and £ + d£ , then the distribution function 9e(£) is sketched in Fig. 6. Electrons having energies below £diss or £iz cannot dissociate or ionize the gas. We see that dissociation and ionization are produced by the high energy tail of the distribution. Although the distribution is sketched in the figure as if it was Maxwellian at the bulk electron temperature Te, this may not be the case. The tail distribution might be depressed below or enhanced above a Maxwellian by electron heating and electron-neutral collision processes. Two temperature distributions are sometimes observed, with Te for the bulk electrons lower than Th for the hot electron tail.

Figure 6. Electron distribution function in a weakly ionized discharge.

Sheaths. Plasmas, which are quasineutral (n; :=.::: ne), are joined to floating or low voltage (with respect to the plasma) wall surfaces across thin positively charged layers called sheaths. To see why, first note that the electron thermal velocity ( eTe / m) 112 is at least 100 times the ion thermal velocity ( e'Iif M) 112 because m/M « 1 and Te ~ T;. (Here, Te and T; are given in units of volts.) Con­sider a plasma of width l with ne = n; initially confined between two grounded (<I>= 0) absorbing walls (Fig. 7a). Because the net charge density p = e(n;- ne) is zero, the electric potential <I> and the electric field Ex is zero everywhere. Hence, the fast-moving electrons are not confined and , on a very short timescale, some electrons near the walls are lost, leading to the situation shown in Fig. 7b. Thin (s « l) positive ion sheaths form near each wall in which n; » ne. The net pos­itive charge p within the sheaths leads to a potential profile <I>(x) that is positive within the plasma and falls sharply to zero near both walls. This acts as a confin­ing potential "valley" for electrons and a "hill" for ions because the electric fields within the sheaths point from the plasma to the wall. Thus the force -eEx acting on electrons is directed into the plasma; this reflects electrons traveling toward the walls back into the plasma. Conversely, ions from the plasma that enter the sheaths are accelerated into the walls . If the plasma potential (with respect to the walls) is Vp, then we expect that Vp "' a few Te in order to confine most of the electrons. The energy of ions bombarding the walls is then £; "' a few Te.

Page 23: Advanced Technologies Based on Wave and Beam Generated Plasmas

(a) (b)

Figure 7. Illustrating the formation of plasma sheaths; (a) initial ion and elec­tron densities and potential; (b) densities, electric field and potential after formation of the sheath (after [2]) .

9

The separation of discharges into bulk plasma and sheath regions applies to all discharges. The bulk region is quasineutral, and both instantaneous and time­averaged fields are low. The bulk plasma dynamics are described by diffusive ion loss at high pressures and by free-fall ion loss at low pressures. In the positive space charge sheaths, high fields exist, leading to dynamics that are described by various ion space charge sheath laws, including low voltage sheaths and various high voltage sheath models, such as collisionless and collisional Child law or matrix sheaths and their modifications. The plasma and sheath dynamics must be joined at their interface. The usual joining condition is to require that the mean ion velocity at the plasma-sheath edge be equal to the ion-sound (Bohm) velocity: UB = (eTe/M) 112 , where e and Mare the charge and mass of the ion and Te is the electron temperature in volts.

Capacitive Discharges. Capacitively driven radio frequency (rf) discharges- so­called rf diodes--are commonly used for materials processing. An idealized dis­charge in plane parallel geometry, shown in Fig. 8, consists of a vacuum chamber containing two planar electrodes separated by a spacing l and driven by an rf power source. The substrates are placed on one electrode, feedstock gases are admitted to flow through the discharge, and effluent gases are removed by the vacuum pump. Typical parameters are shown in Table 1. The typical rf driving voltage is v;.f = 100-1000 V, and the plate separation is l = 2- 10 em. When operated at low pressure, with a substrate mounted on the powered electrode, and used to remove material, such reactors are commonly called reactive ion etchers

Page 24: Advanced Technologies Based on Wave and Beam Generated Plasmas

10

(RIE's)--a misnomer, since the etching is a chemical process enhanced by ener­getic ion bombardment of the substrate, rather than a removal process due to reactive ions alone.

Gas feed

~

Vacuum pump

Rf source

Figure 8. Capacitive rf discharge in plane parallel geometry (after [2]).

For anisotropic etching, typically pressures are in the range 10-100 mTorr , power densities are 0.1- 1 W jcm2 , the driving frequency is 13.56 MHz, and multiple wafer systems are common. Typical plasma densities are relatively low, 109-

1011 cm- 3 , and the electron temperature is of order 3 V. Ion acceleration energies (sheath voltages) are high, greater than 200 V, and fractional ionization is low. The degree of dissociation of the molecules into reactive species is seldom measured but can range widely from less than 0.1% to nearly 100% depending on gas composition and plasma conditions. For deposition and isotropic etch applications, pressures tend to be higher, ion bombarding energies are lower, and frequencies can be lower than the commonly used standard of 13.56 MHz.

The operation of capacitively driven discharges is reasonably well understood . As shown in Fig. 9 for a symmetrically driven discharge, the mobile plasma elec­trons , responding to the instantaneous electric fields produced by the rf driving voltage, oscillate back-and-forth within the positive space charge cloud of the ions. The massive ions respond only to the time-averaged electric fields. Oscillation of the electron cloud creates sheath regions near each electrode that contain net positive charge when averaged over an oscillation period ; i.e., the positive charge exceeds the negative charge in the system, with the excess appearing within the sheaths. This excess produces a strong time-averaged electric field within each sheath directed from the plasma to the electrode. Ions flowing out of the bulk plasma near the center of the discharge can be accelerated by the sheath fields to high energies as they flow to the substrate, leading to energetic-ion enhanced processes. Typical ion bombarding energies [i can be as high as V..r / 2 for symmet­ric systems (Fig. 9) and as high as Vrr at the powered electrode for asymmetric systems.

Page 25: Advanced Technologies Based on Wave and Beam Generated Plasmas

11

Parameter RF Diode High Density Source

Pressure p ( mTorr) 10-1000 0.5-50

Power P (W) 50-2000 100-5000

Frequency f (MHz) 0.05-13.56 (}2450

Volume V (liters) 1- 10 2- 50

Cross Sectional Area A (cm2 ) 300-2000 300-500

Magnetic Field B (kG) 0 0-1

Plasma Density n (cm- 3 ) 109 -1011 1010_1012

Electron Temperature Te (V) 1-5 2-7

Ion Acceleration Energy £i (V) 200-1000 20-500

J:<ractional Ionization Xiz w-6-10-3 w-4-w-l

Table 1. Range of parameters for rf diode and high density discharges.

Sheath a Sheath b

Figure 9. Illustrating the physical model of a capacitive rf discharge (after (2]).

Page 26: Advanced Technologies Based on Wave and Beam Generated Plasmas

12

We note that the positive ions continuously bombard the electrode over an rf cycle. In contrast, electrons are lost to the electrode only when the oscillating cloud closely approaches the electrode. During that time, the instantaneous sheath potential collapses to near-zero, allowing sufficient electrons to escape to balance the ion charge delivered to the electrode. Except for such brief moments, the instantaneous potential of the discharge must always be positive with respect to any large electrode and wall surface; otherwise the mobile electrons would quickly leak out. Electron confinement is ensured by the presence of positive space charge sheaths near all surfaces.

A crucial limiting feature of rf diodes is that the ion bombarding flux r i = nuB and bombarding energy Ei can not be varied independently. The situation is analogous to the lack of independent voltage and current control in diode vacuum tubes or semiconductor pn junctions. For a reasonable (but relatively low) ion flux, as well as a reasonable dissociation of the feedstock gas, sheath voltages are high. This can result in undesirable damage or loss of linewidth control. Furthermore, the combination of low ion flux and high ion energy leads to a relatively narrow process window for many applications. The low process rates resulting from the limited ion flux in rf diodes often mandates multisubstrate or batch processing, with consequent loss of substrate-to-substrate reproducibility. Higher ion and neutral fluxes are generally required for single substrate processing.

High Density Discharges. The limitations of rf diodes have led to the development of a new generation of low pressure, high density plasma sources. A few examples are shown schematically in Fig. 10, and typical source and plasma parameters are given in Table 1. In addition to high density and low pressure, a common feature is that the rf or microwave power is coupled to the plasma across a dielectric window, rather than by direct connection to an electrode in the plasma, as for an rf diode. This non-capacitive power transfer is the key to achieving low voltages across all plasma sheaths at electrode and wall surfaces. De voltages, and hence ion acceleration energies, are then typically 20-30 V at all surfaces. To control the ion energy, the electrode on which the substrate is placed can be independently driven by a capacitively coupled rf source. Hence independent control of the ion/ radical fluxes (through the source power) and the ion bombarding energy (through the substrate electrode power) is possible.

The common features of power transfer across dielectric windows and separate bias supply at the substrate electrode are illustrated in Fig. 10. However, sources differ significant ly in the means by which power is coupled to the plasma. For the electron cyclotron resonance (ECR) source shown in Fig. lOa, one or more electromagnet coils surrounding the cylindrical source chamber generate an axially varying de magnetic field. Microwave power is injected axially through a dielectric window into the source plasma, where it excites a right hand circularly polarized wave that propagates to an electron cyclotron resonance zone, where the wave is absorbed. For the typical microwave frequency used, f = 2450 MHz, the resonant magnetic field is B ~ 875 G. The plasma streams out of the source into the process chamber in which the substrate is located.

Page 27: Advanced Technologies Based on Wave and Beam Generated Plasmas

13

Microwaves

L..------ Rf bias

ECR Helicon

Rf

Helical resonator Inductive

Figure 10. Some high density "remote" sources (after [2]).

A helicon source is shown in Fig. lOb. A weak (5G-200 G) de axial magnetic field together with an rf-driven antenna placed around the dielectric cylinder that forms the source chamber allows excitation of a helicon wave within the source plasma. Resonant wave-particle interaction is believed to transfer the wave energy to the plasma. For the helical resonator source shown in Fig. lOc, the external helix and conducting cylinder surrounding the dielectric discharge chamber form a slow wave structure, i.e ., supporting an electromagnetic wave with phase velocity much less than the velocity of light. Efficient coupling of the rf power to the plasma is achieved by excitation of a resonant axial mode. An inductive (or transformer) coupled source is shown in Fig. lOd. Here the plasma acts as a single-turn, lossy conductor that is coupled to a multiturn non-resonant rf coil across the dielectric discharge chamber; rf power is inductively coupled to the plasma by transformer action. In contrast to ECR and helicon sources, a de magnetic field is not required for efficient power coupling in helical resonator or inductive sources.

Figure 10 also illustrates the use of high density sources to feed plasma into a relatively distinct, separate process chamber in which the wafer is located . As

Page 28: Advanced Technologies Based on Wave and Beam Generated Plasmas

14

shown in the figure , the process chamber can be surrounded by de multidipole magnetic fields to enhance plasma confinement near the process chamber surfaces, while providing a magnetic near-field-free plasma environment at the substrate. Such configurations are often called "remote" sources, a misnomer since at low pressures considerable plasma and free radical production occurs within the pro­cess chamber near the substrate. Sometimes, the source and process chambers are more integral, e.g., the substrate is placed very near to the source exit, to obtain increased ion and radical fluxes , reduced spread in ion energy, and improved pro­cess uniformity. But, the substrate is then exposed to higher levels of damaging radiation.

Although the need for low pressures, high fluxes and controllable ion energies has motivated high density source development, there are many issues that need to be resolved. A critical issue is achieving the required process uniformity over the substrate area. In contrast to the nearly one dimensional geometry of typical rf diodes (two closely spaced parallel electrodes), high density cylindrical sources can have length-to-diameter ratios of order or exceeding unity. Plasma formation and transport in such geometries are inherently radially nonuniform. Another critical issue is efficient power transfer ( cou piing) across dielectric windows over a wide operating range of plasma parameters. Degradation of and deposition on the window can also lead to irreproducible source behavior and the need for frequent, costly cleaning cycles. Low pressure operation leads to severe pumping requirements for high deposition, implantation, or etching rates and hence to the need for large, expensive vacuum pumps. Furthermore, plasma and dissociation product concentrations become strongly sensitive to reactor surface conditions, leading to problems of reactor aging and process irreproducibility. Finally, de magnetic fields are required for some source concepts. These can lead to magnetic field induced process non-uniformities and damage.

Given the control parameters for the electrical power source (frequency w , driving voltage V..r or absorbed power Pabs), the feedstock gas (pressure p, flow rate, and chemical composition), and the geometry (simplified here to the dis­charge length l), then the central problem of discharge analysis is to find the plasma parameters, including the ion and neutral densities, the ion and neutral fluxes hitting the substrate, the electron and ion temperatures, the ion bombard­ing energy, and the sheath thickness. The control parameters are the "knobs" that can be "turned" in order to "tune" the properties of the discharge.

The tuning range for a given discharge is generally limited. Sometimes one type of discharge will not do the job no matter how it is tuned, so another type must be selected. As suggested in Figs. 8 and 10, a bewildering variety of discharges are used for processing. Some are driven by rf, some by de, and some by microwave power sources. Some use magnetic fields to increase the plasma confinement or the efficiency of power absorption.

Page 29: Advanced Technologies Based on Wave and Beam Generated Plasmas

15

2. Plasma Display Panels

Plasma display panels (PDP's) were invented in 1964 by Bitzer and Slottow [4] . By 1971 a 30 em diagonal measure 512 x 512 pixel monochrome display had been developed. In the late 1980's, a 25 em diagonal measure 640 x 480 pixel monochrome display was produced for the emerging laptop computer market, and 500,000 units/yr were shipped. This later dropped to 250,000 units/yr due to penetration of the laptop market by liquid crystal displays (LCD's) . In the 1990's, research and development has concentrated on the 90- 180 em diagonal measure market for color displays for flat panel high definition television (HDTV) . There has been an explosive growth of investments in this area in the last five years, mainly in Asia. Screens with diagonal measures of 53 em and 105 em are now in production, but the prices are still not competitive with cathode ray tubes. The major challenge is the manufacture of large color displays at high volume and low cost.

Plasma displays are attractive for flat panel HDTV for many reasons [4] . Due to the V-I characteristic of the plasma discharge at each pixel, there is a very strong nonlinearity: for a pixel voltage less than some threshold voltage, there is no light output. This implies that one can matrix address the pixels in very large size arrays; e.g., a 105 em diagonal measure screen with 2048 x 2048 pixels. Plasma display pixels can also have the important property of bi-stable (memory) operation. A pixel turned on stays on until commanded to turn off, and vice-versa. This leads to high brightness, flicker-free displays. A lifetime exceeding 10,000 hours has been demonstrated for color PDP's. Also, PDP's have wide viewing angles; the display can even be designed to be brighter off-axis than on-axis. PDP pixels can be switched at high speeds, typically only microseconds, as required for a high quality video display. The luminous efficiency (lumens/watt) is currently acceptable, although this is one area that could be further improved. A decisive advantage is the fabrication technology for PDP displays. Most of the structures and films required to fabricate a display are screen-printed on inexpensive (glass) substrates, rather than using (expensive) photolithography on expensive substrate materials. Displays up to 105 em diagonal measure and with 0.66 mm/pixel are manufactured commercially.

The plasma discharge in an AC-driven PDP pixel is typically excited by a series of alternating polarity rectangular voltage pulses (9Q--100 V, 5- 10 J.LS pulses at 50 kHz) applied to a pair of metallic electrodes embedded in insulating dielectric layers (glass) . Hence the discharge current is limited by the capacitive coupling, and not by the use of external resistors. The discharge operates in the abnormal glow regime and is short enough that only the negative glow region is present; the positive column seen in most quasi-DC discharges is absent. The sandwich structure of an AC-driven PDP pixel having two opposed electrodes is shown in Fig. 11. Typically one of the "metal" electrodes that drives the pixel is fabricated using indium tin oxide (ITO), a transparent conducting film . The discharge gap is typically 100 J.Lm and the gas fill is typically neon at a pressure of around 50()--700 Torr. For color displays, each pixel is divided into three sub-pixel cells. A

Page 30: Advanced Technologies Based on Wave and Beam Generated Plasmas

16

small admixture (1- 5%) of xenon is added to produce ultraviolet (UV) radiation, which excites the red, green or blue phosphor coating on the interior surface of each sub-pixel cell. Trace amounts of argon may be added to enhance the overall ionization; this can reduce the operating voltage and can enhance the memory effect. The interior surfaces of the glass dielectric layers , which are exposed to the plasma, are usually coated with a thin (200 nm) layer of MgO, which acts as a low sputtering, high secondary emission surface, increasing PDP operating lifetime and reducing further the driving voltage required .

Horizontal Electrode

251dft

_L.._~~~~~m~ T

GaaG8J) 100 ldft

j_ T~~--------~~ 25jdft

Figure 11. Schematic diagram of an opposed discharge, two-electrode, AC plasma display panel pixel (after [5]).

Plasma processes that are important in a PDP pixel discharge are illustrated in Fig. 12. Electron excitation of metastables (M), electron-neutral ionization (I) and excitation (E), and Penning ionization (P) all play important roles in the gas phase. Secondary electron ejection by ion, metastable, and photon bombardment are important surface processes at the cathode. Electron-neutral ionization plays the key role in sustaining the discharge, and electron-neutral excitation generates the UV radiation that excites the pixel phosphors. Metastable excitation and Penning ionization play important roles in optimizing PDP pixel performance.

As is well-known, a glow discharge exhibits a very strong nonlinearity at the firing voltage. When the voltage across the gap exceeds the firing voltage, then a discharge avalanche is formed (see Fig. 12) . Ions produced within the discharge fall across the cathode sheath, where each ion striking the cathode generates 'Y < 1 new electrons by secondary emission. These electrons accelerate across the sheath into the discharge, where they produce N new electron-ion pairs. If "(N > 1, then the number of new ions produced exceeds the number required to sustain a steady discharge, and the discharge current grows exponentially. The charac­teristic growth time is the transit time for ions to reach the cathode. Because the exponential growth must start from some initial value, there is a significant dependence of the "turn-on" time of the discharge on the past history of the dis­charge, and in particular, on the density of plasma "left over" from a previous discharge of the pixel or of a nearby pixel. This so-called priming current effect has a. significant influence on the design of PDP's.

Page 31: Advanced Technologies Based on Wave and Beam Generated Plasmas

0 ElEC:.,_

Ne fiiiiEUTIItAl. NOlN AroM - Olff"US£

~ • POSITIVE NEON ION - DRIFT LEFT

Ar ~AMON ATOM -DIFFUSE

A.r + IIO:SITIV£ .MGrJH toN - ORIFT LEFT

...... Elii:ITED NmH....,.,.. - DIFI'USE

.... Ill ME'IMTAIII.E NEDH ATOM - DIFFUSE

II PHOTON -PROPAGATE

(II IONIZATION

0-0-Ne/

!'0--N ••

lEI

Figure 12. Gas discharge reactions in a plasma display cell (after [6]).

17

Figure 13a shows schematically the method of driving a PDP pixel discharge, and Fig. 13b shows the corresponding circuit model. The pixel "sandwich" can be represented as the series combination of three capacitors, with the outer two capacitors representing the capacitive coupling of the electrodes to the discharge across the insulating dielectric layers, and the inner capacitor representing the vac­uum capacitance of the discharge gap itself in the "off" state. The current source in parallel with the inner capacitor represents the discharge current within the gap. Typically the two outer capacitors are large compared to the inner capacitor, such that almost all of the source voltage appears across the gap.

The operation of a PDP cell is most easily understood by decomposing the total time-varying voltage across the discharge gap into two components: the applied source voltage and the wall voltage. The applied voltage is that produced by the source (Vs in Fig. 13b), and the wall voltage is that produced by the surface charge on the two inner surfaces a and b facing the gap.

Page 32: Advanced Technologies Based on Wave and Beam Generated Plasmas

18

I --Vs

+

Vs

+

DIELECTRIC LAYERS

r------------------, I I 1 0 I

I : I Cd I I I I I I Cg I I I I MS I I DISCHARGE I Cd ' I I

I b : I I L-----------------~

Figure 13. Electrical model of an AC plasma display pixel (after [4]).

This decomposition is shown in Fig. 14 for the case where the source voltage (solid line) is a series of alternating polarity, rectangular sustain voltage pulses. The wall voltage is shown as the dot-dashed curve. For convenience, the negative of the wall voltage is plotted, such that the voltage across the gap is the difference between the source and wall voltages; i.e., Vtotal = V5 - Vwall , where Vwall is the voltage of surface b with respect to surface a. The sustain voltage pulse amplitude is chosen to be not large enough to fire the cell in the absence of the voltage produced by the charge stored on the wall surfaces. However, as shown in the upper frame, the difference between the sustain and wall voltages is large enough to fire the discharge, resulting in a brief pulse of current. This flow of current continues until the wall charge reverses polarity, such that the difference of the sustain and wall voltages is too low to maintain the discharge, and it extinguishes. The following sustain voltage pulse is inverted, again yielding a total gap voltage large enough to fire the discharge, repeating the cycle. Hence a pixel turned on (with charge on the wall) stays on.

Page 33: Advanced Technologies Based on Wave and Beam Generated Plasmas

LIGHT OUTPUT - ON STATE

1 SUSTAIN VOLTAGE r WALL VOLTAGE -OFF STATE

. fl_D_ll~_Il_

0~----------~----------------------LIGHT OUTPUT - OFF STATE

Figure 14 . Sustain voltage, wall voltage, and light output for pixels in the on and off states (after [ 4]) .

19

Consider now the lower frame in Fig. 14. Since the wall charge is zero, there is no wall voltage. Because the sustain voltage amplitude by itself is too low to fire the discharge, it remains in an off-state. Hence a pixel turned off (no wall charge) stays off.

The process of turning a pixel on or off is illustrated in Fig. 15. Pixels are turned on with a write signal , and are turned off with an erase signal. For no charge stored on the wall , the write pulse has a large enough amplitude to turn the pixel on, as shown in the top frame. Similarly, the erase pulse is timed to swing the wall voltage down to zero, such that subsequent sustain voltage pulses do not have the amplitude to fire the discharge.

There are two major structural designs for color AC plasma displays. For the opposed discharye type, as shown schematically in Fig 11, the two electrodes carrying the sustain voltage excitation are buried in the front and back faces of the glass panel. In the surface discharge type, the two sustain electrodes are buried side-by-side on the same face (front or back) of the glass panel. In some designs, a third address electrode is added to provide the write and erase signals for the pixel.

Page 34: Advanced Technologies Based on Wave and Beam Generated Plasmas

20

p£WRITE PULSE

I I

Figure 15. The addressing voltages needed to write and erase a pixel (after [4]) .

Figure 16 illustrates the construction of the Fujitsu 53 em display, which was the first PDP display brought to market. This display measures 490 mm x 440 mm x 60 mm, with 640 x 480 pixels with 0.66 mm separation between adjacent pixels. Each pixel consists of three individually addressable subpixels, coated with red, green and blue phosphor respecively. The discharge is a surface barrier rib type using a Ne/Xe gas mix. The two front sustain electrodes for each sub-pixel are each composed of an ITO film with a thin Cr/Cu/Cr bus to increase the conductivity. The rear address electrode is silver. This display provides a luminous intensity of 200 cd/m2 at an efficiency of 0. 7 lm/W. It has a 140° viewing angle with a 50:1 contrast ratio (in a dark room) . It displays six bit color, consumes 100 W, weighs 4.8 kG, and has a 10,000 hour life. The original price when first marketed was $5120. A TV set based on this display is now marketed by Hammacher Schlemmer for $15,000. The "next generation" display is now on the market. It is a 105 em diagonal measure with an HDTV aspect ratio (16:9) with 8 bit color and a luminous intensity of 300 cd/m2 . The price is $10,000, and the TV set based on this display is marketed for $25,000. A 105 em PDP HDTV set manufactured by NEC is now being marketed in Japan for $9,600.

Page 35: Advanced Technologies Based on Wave and Beam Generated Plasmas

Dielectric layer

MgO layer

(R) (G) (B) Phosphors

Front glass plate

Display electrodes (Transparent)

Bus electrodes

---r---Separators (Barrier rib)

--.___Rear glass plate Address electrodes

Figure 16. The 53 em Fujitsu plasma display panel (after [7]).

21

As shown in Fig 16, the FUjitsu structure is the surface discharge type in which the two sustain discharge electrodes are both located in the same (top) glass surface. This configuration leads to a minimal ion bombardment of the phosphor coatings. Dielectric barrier ribs are required to reduce the cross talk between the adjacent red , green, and blue sub-pixels; otherwise these pure colors could not be displayed. The barrier ribs reduce color contamination due to UV photons from neighboring cells, and also provide more surface area for the phosphor coating, yielding more light output. However, the ribs also reduce the density of "seed" electrons and UV photons available from the neighboring cells to "prime" the discharge, as discussed previously. The barrier ribs are typically 50-75% of the gap spacing, as a compromise between these competing effects.

Typically, the fabrication of PDP panels is a low technology endeavor. Lead borosilicate dielectric is typically screen-printed on glass substrates. The MgO film is sputtered using electron beam evaporation. The barrier ribs, typically 100-200 J.Lffi high and 55 J.Lffi wide, are screen-printed using five different thick film pastes. The phosphor deposition is more difficult than for CRT's due to the ribs, but these can also be screen-printed . The high voltage driver electronics is the single most expensive component for a PDP. New high voltage integrated circuits are under development that should reduce these costs considerably. A typical IC might have 32 parallel channels operating at a speed of 8 MHz , each with a driving capability of 190 Vat 200 rnA .

Page 36: Advanced Technologies Based on Wave and Beam Generated Plasmas

22

3. References

1. D.M. Manos and D.L. Flamm (1989) Plasma Etching- - An Introduction, Academic Press, New York.

2. M.A. Lieberman and A . .J. Lichtenberg (1994) Principles of Plasma Dis­charges and Materials Processing, John Wiley and Sons, New York.

3. M.A. Lieberman and R.A. Gottscho (1994) Design of High Density Plasma Sources for Materials Processing, in M. Francombe and J. Vossen (eds), Physics of Thin Films, vol. 18, Academic Press, New York, pp. 1- 119.

4. L.F. Weber (1985) Plasma Displays, in L.E. Tannas, Jr. (ed) , Flat-Panel Displays and CRTs, Van Nostrand Reinhold, New York, pp. 332- 414.

5. B.M. Penetrante (1995) Private communication. 6. L.F. Weber (1994) Plasma Displays, Seminar Notes M-8, The Society for

Information Display, Santa Ana, CA. 7. Shigeo Mikoshiba (1996) Color Plasma Displays: Where Are We Now? In­

formation Display 10, 21-·23.

Page 37: Advanced Technologies Based on Wave and Beam Generated Plasmas

THE DEVELOPMENT AND USE OF SURFACE-WAVE SUSTAINED DISCHARGES FOR APPLICATIONS

M. MOISAN, J. HUBERT, J. MARGOT AND Z. ZAKRZEWSKI Groupe de physique des plasmas, Universite de Montreal, Montreal H3C 317, Quebec

1. Introduction

Laboratory investigations as well as practical applications involving plasmas most generally call for a plasma source with specific characteristics. As a rule, this source should be stable, reproducible, quiescent and eventually free from contamination. Ideally, it should also be simple to build, easy to operate and inexpensive. Surface-wave sustained discharges (SWDs) have been developed along these guidelines since their inception in the early seventies [1-5). In addition, and this is an original feature ofSWDs, these discharges have also led to major and innovative contributions to the tmderstanding of high frequency (HF) discharges in general, facilitating their application in science and technology. As far as microwave plasma generation is specifically concerned, turning to SWDs was the occasion for major breakthroughs, which include increased plasma volume through long tubular discharges and, more recently, the advent of large-area configurations, mostly planar, which are particularly well suited for surface treatment.

Surface-wave discharges have been extensively modeled, probably more than any other HF discharge, and this modeling has furthermore been developed in a context where its conclusions could be extended to HF discharges in general, thereby bringing insight into many problems that could not be resolved or had escaped the attention of researchers till then. The unique flexibility of the operating conditions of SWDs has provided an tmprecedented large corpus of experimental data to verity modeling. It has also been the source of experimental achievements leading to new possibilities for applications. It is our firm belief that SWDs have had a pronotmced impact on the tmderstanding and, therefore, on the use of HF discharges, and that investigating them can still open new perspectives and bring about new applications.

We start, in section 2, with a review of the essential features of tubular SWDs aimed at tmderlining their tmique properties, which include their advantages as far as modeling is concerned and the broadness of their operating conditions. We conclude this section with a summary of the advances resulting from their modeling. In section 3, we review the new generation of flat, large-area SWDs and discuss their operating principles. In section 4, we examine the different applications reported for SWDs, seeking to distinguish between those that could be achieved with other HF discharges from those that are unique to SWDs. Section 5 is the conclusion to this review.

23

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 23---64. @ 1999 Kluwer Academic Publishers.

Page 38: Advanced Technologies Based on Wave and Beam Generated Plasmas

24

2. The Essential Features and Unique Properties of SWDs as Derived From the Study of the WeD Established Tubular Configuration

2.1. THE DISCHARGE IS SUSTAINED BY A WAVE, WHICH IS A GUIDED WAVE

2.1.1. Two Main Categories of HF Discharges In general, HF discharges can be divided into two main categories [6]. The first category concerns the most common types of HF discharges, which are those generally sustained within an HF field applicator. Examples of such applicators are, in the radio-frequency (RF) range, the few-turns inductor yielding the well known inductively coupled plasma (lCP) and, in the microwave domain (z 300 MHz), the cylindrical resonant cavity. The important points are that these plasmas are confined within the applicator and that there is no phase difference between the HF field at any two points within the discharge volume. These discharges are termed discharges with a localized active zone. In contrast, SWDs belong to the second category of discharges, discharges sustained by a wave, traveling or standing: the dimensions of the generated plasma are not negligible in comparison with the free-space wavelength and the time difference between field oscillations in various points in the discharge can be comparable with the wave period.

HF discharges of the second category can moreover be classified as being either of the antenna type or of the transmission-line type [7]. In systems of the first type, ideally the wave is carried within a propagating structure that leaks out energy like an antenna, i.e. independently from the characteristics of the discharge that it sustains. An example of this is the troughguide arrangement [8]. In the second type of these wave sustained discharges, power is being transferred to the discharge by the electric field of a guided wave. The wave properties are then dependent on the discharge properties and vice-versa. This is particularly evident in the case of SWDs where the plasma column is an essential part of the propagating structure. One can further consider two kinds of transmission-line discharges (Note that, in both these cases, the plasma can be sustained either by a traveling or a standing wave): 1) The wave propagates along a waveguiding structure external to the dielectric discharge tube and, owing to this, the plasma colunm does not extend beyond this structure; an example is the Large Microwave Plasma (LMP) system [9]. 2) The wave propagates using the plasma itself and the surrounding dielectrics (tube wall, air) as its sole waveguiding stmcture. The latter class is unique to SWDs. The wave power flows along this self-created guiding structure and it is essentially concentrated close to the plasma­dielectric interface, hence the name surface wave. The plasma column extends outside the HF field applicator structure (which is, in this case, a wave launcher) and can be remarkably long.

2.1.2. Long Tubular SWDs Surface-wave discharges are discharges sustained by surface waves guided along the plasma-dielectric interface. In the case of tubular discharges, this interface fully surrOLmds the plasma since the discharge vessel is a hollow (dielectric) tube (not necessarily a cylinder): it is a "closed geometry" configuration; section 3 will deal with some "open geometry" SWDs. The discharge is termed long when it extends in the axial direction over a distance much larger than its transverse dimensions; as a rule, the plasma column length

Page 39: Advanced Technologies Based on Wave and Beam Generated Plasmas

25

is not imposed by the discharge arrangement and it increases with the amo\IDt of HF power delivered at the la\IDcher aperture.

Section 2 mostly concerns long cylindrical discharges, which are the archetype of SWDs. We tum to them to review the essential features ofSWDs.

2.1. 3. Surface Wave Modes of Propagation Electromagnetic surface waves can propagate along the plasma column in different modes, which are characterized by the integer m appearing in the phase term exp{imtjl) of the wave field components in cylindrical coordinates. When it comes to sustaining a cylindrical plasma column, limitations are fo\IDd on the possible wave modes. When the product of the wave frequency ftimes the discharge tube inner radius R is less than approximately 2 GHz­cm and in the absence of a static magnetic field, the plasma column can only be sustained with a m=O (azimuthally symmetric) wave (10]. The system is then monomode, which facilitates data interpretation and modeling. With increasing fR values above 2 GHz-cm, successively the m=1 (dipolar) mode, the m=2 and the higher order modes can be used to sustain a SWD. In such cases, the SWD can sometimes be sustained simultaneously by waves in two or more modes; these modes then coexist independently, i.e. they do not exchange energy [11 ]. This contrasts with discharges sustained in resonant cavities where the electromagnetic system, \IDder minute variations in discharge conditions, can jump from one mode to another, usually leading to hysterisis on plasma density with HF power variation.

Working with non-resonant systems ensures stability and reproducibility of the plasma column \IDder given discharge conditions, namely nature and pressure of the gas, configuration, dimensions and material of the discharge tube, frequency and mode of the wave and, eventually, intensity of the static axial magnetic field. Moreover, with SWDs one can write down the exact and adequate field equations for this type of wave in every medium concerned and, when observing the condition fR ~ 2 GHz-cm, be sure that the system is fully in the m=O mode. This enables one to correlate theory and experiment with the greatest chances of properly modeling the discharge.

2.2. THE WAVE AND DISCHARGE PROPERTIES ARE FULLY INTERRELATED AND THEY DETERMINE THE AXIAL STRUCTURE OF THE PLASMA COLUMN

Consider a cylindrical plasma column sustained by a surface wave excited by a wave launcher. We use z as the coordinate directed along the tube axis, with the origin at the la\IDcher aperture. The wave power flow decreases as the wave moves away from the launcher since power is being transferred continuously to the discharge to sustain it. Under conditions to be specified below, the power absorbed in the discharge at z can be written as [12,13)

dP(z) 2 ---=2a(z)P(z)=eA n(z)1tR

dz (I)

where P(z) is the wave power flux (Poynting vector integrated over the whole transverse plane) at z, a(n) is the wave attenuation coefficient, eA represents the HF power absorbed

Page 40: Advanced Technologies Based on Wave and Beam Generated Plasmas

26

(on the average) per electron and n(z) is the cross sectional average electron density at z.

The value of a depends on z through the electron density. Equation (1) indicates that the wave and plasma characteristics at z are related through a local balance of power.

The conditions of validity of equation ( 1) are the following: 1) the energy diverted from

the wave between z and z + dz is spent in the discharge within the same axial interval; 2) all axial gradients of the plasma parameters are small in comparison with the

corresponding radial gradients, i.e. axial transport of particles and of their energy can be

neglected. This requires the discharge length L to be large with respect to R. The axial variation of the electron density follows directly [ 12] from ( 1) as

dn(z) _ 2a(n) - --- -- -n(z) dz 1 _ .E:_ da + ~ ~

(2)

adn Odn

This equation shows that the processes governing wave propagation (through a) and

discharge maintenance (through 9) are strongly interrelated, and that they determine n(z),

the axial structure of the discharge. In the common case of 9 independent of n, the value of n(z) is required to decrease

monotonically with z because of the stability criterion imposed on traveling-wave

discharges (TWDs) [14,13]:

da(n) < dn

a(n) n (3)

As a rule, n(z) decreases almost linearly with the axial distance from the launcher. This

can be seen from figure I which plots the measured electron density as a fimction of axial

distance from the end of the column, at various wave frequencies [ 15]. It shows how the

plasma column develops as a fimction of the HF power coming out from the launcher.

Consider, for example, the 100 MHz case. As the power is increased, say from 10 to 25 W,

the length of the plasma column increases but its axial density gradient remains

approximately the same. The figure also indicates that dn/dz increases with f. Further

experiments show that this gradient increases with increasing gas pressure and decreasing

tube diameter. These observed dependencies can be cast in an analytical expression (CGS

units) when posing the thin plasma cylinder approximation (J3R < 1 and skin depth larger

thanR) [16,17]:

dn = __!!.!.£._ VCO __ _

dz 4ne2 R < f o> s (4)

where 111e and e are the electron mass and charge, respectively. In this equation, the

discharge conditions come out through v, the electron-neutral collision frequency for

momentum transfer (related to pressure), and through co= 2nf and the tube inner radius R; the wave dispersion is represented by t,, a slowly varying fimction of z (through the wave

propagation coefficient J3). Finally, sis a coefficient depending on the charged particle loss

mechanism; it is equal to 2 in the case of ambipolar diffusion and varies between 2 and 3 in

Page 41: Advanced Technologies Based on Wave and Beam Generated Plasmas

27

the case of volume recombination. Equation (4) clearly separates the respective role, upon dn/dz, of the discharge regime, the discharge conditions (within a given discharge regime) and wave dispersion.

+ •ooMHr \ 2.0 • 200NHr o IOOMHz " SOMH1 c 27MHr \ -"1

~-E (,) 1.5 +

0

0 .... -~ 'iii ~ '· r::: 1.0 W ~Z7W Q) 'C

"o..._~2SW ""'· r::: 0 ... .....

"""-- ............. " (,) Q) 0 .5 --,.._,._ '(lOW \. iii

0 ......._ ' ---"-a._.,__ ................ ~ o-o-..o ..... ~ -o-0~ A._ 0

"'b-0--~

• 3 2 0

Axial distance from column end (m)

Figure 1 : Measured electron density as a function of axial distance from the end of the plasma column, at various wave frequencies. The argon gas pressure is 20 mTorr and the plasma diameter is 64 mm. The arrow

indicates the electron density at the gap for a given HF absorbed power, the corresponding plasma column extending from this value on toward z=O [ 15]

Although the axial inhomogeneity inherent to SWDs sustained with traveling waves can be a shortcoming for some applications, it is a real positive feature as far as comparing modeling results with experiments is concerned. This is because the consecutive plasma slices z, z + dz can be considered as discharges sustained under identical conditions, but with different absorbed power density. Then, through single recording of the plasma column properties, one has the opportunity of comparing results, in a continuous way, over a large range of a and n values. The axial decrease of plasma density in SWDs and its relation to the local power balance led to evidence the role played by the parameter 8A, the average power absorbed per electron from the HF field. Under steady-state conditions, we have

(5)

where 8L is the power lost, on the average, by an electron (For example, in the 0.1-10 Torr pressure range, it goes essentially to heavy particles through collisions and to energy transport). The then common value 8 can be thought of as the power required to maintain an electron in the discharge [ 18, 19].

The axial density profile can be made more uniform in various ways. An interesting scheme is to locate a wave launcher, preferably of the unidirectional type (e.g. waveguide­surfatron [20]), at each extremity of the discharge tube to excite a v.'ave in the direction of

Page 42: Advanced Technologies Based on Wave and Beam Generated Plasmas

28

the other laWlcher, the two waves not being coherent. One then adjusts the power levels to make the axial distribution as much uniform as possible. Another method is to rely on standing waves, instead of traveling waves, to sustain the discharge. Standing waves are obtained, for instance, by installing a reflecting plate at each end of the tube (the use of reentrant cavities of tunable length as reflectors [21] proves to be efficient) and using a wave laWlcher exciting surface waves of equal power flux in both directions (e.g. surfaguide [22]) from the middle of the tube. The uniformity, as a rule, can be improved by lowering the pressure to benefit from a larger mean free path.

2.3. THE WIDE RANGE OF DISCHARGE CONDITIONS AND THE CORRESPONDING POTENTIALITIES OF SWDs

SWDs are the HF discharges that can be sustained over the broadest domain of discharge conditions. This comes from their unique, extremely large, range of field frequency combined with the largest gas pressure and tube diameter ranges. The addition of an axially directed static magnetic field to SWDs enables one to operate down to sub-mTorr gas pressures even at the higher frequencies, thereby further extending the operating capabilities of SWDs.

2.3.1 . Frequency Range This is one of the most remarkable features of SWDs. Using various types of \WVe launchers, we were successful in sustaining SWDs from a few .MHz up to 10 GHz with perfect impedance matching. We moreover operated SWDs at frequencies as low as 150 kHz, but with impaired matching due to technical problems. The 10 GHz upper limit was recently lifted to 40 GHz by a Russian team [23].

Many physical processes can be influenced by varying the field frequency at which the discharge is sustained: 1) at low enough f values (typically below 20-30 MHz), the electron energy distribution ftmction (EEDF) varies with time, closely following the HF field intensity because of the large number of collisions occurring over a period of the field: therefore, the amount of energy transferred to electrons within a small fraction of the period can affect significantly the EEDF [24]. In contrast, at high enough frequencies, the EEDF is stationary. Going from the non-stationary to the stationary EEDF regime reflects on the kinetics resulting from electron impact, which influences, for example, the nature and concentration of species in a gas mixture: 2) under the stationary regime, the shape of the EEDF can vary with f because the v/w term appearing in the Boltzmann equation varies. For this effect to be observable, the electron-electron collision frequency has to be low (degree of ionization typically less than 10-4, otherwise the EEDF is Maxwelllian) and changes in frequency have to be such that the ratio vlw is swept across unity [25,26]; 3) the penetration depth of an electromagnetic field in a lossy or overdense plasma decreases with increasing f. This makes the radial distribution of excited species change, as f is increased, from a Bessel-type profile (maximum at the axis) to a flat one and finally to a profile with a maximum close to the tube wall [27]; 4) SWDs, as any TWD of the transmission-line type, require a minimum electron density for the plasma to be sustained [7]. For SWDs and for vlw « 1, this minimum density is [28]

Page 43: Advanced Technologies Based on Wave and Beam Generated Plasmas

29

Dmin::::: De (1 +e) (6)

where nc = (2ni f Il1e eo I e2 is the critical density and e, the electric permittivity of the dielectric vessel relative to the free space permittivity e.,. The value of 11min increases with f, but much less rapidly when v/ro is no longer much smaller than unity as gas pressure is raised [5]. Because of this minimum density requirement, increasing f leads to increasing the minimum plasma density. The loss mechanism of charged particles may then switch from ambipolar diffusion to volume recombination as n is increased. In summary, a true frequency dependence experiment requires keeping constant all discharge conditions but f and, also. either electron density or absorbed power density [25,26].

2. 3. 2. Pressure Range The minimum steady-state operating pressure for any HF discharge is a fimction of the tube diameter, field frequency and gas nature [30]. For a given pressure, in the case of collisional power transfer, the electric field intensity in the plasma increases as f is raised because v/ro, hence HF power transfer, then decreases. Past some point, the electric field intensity required becomes too large and the discharge carmot be sustained. Nonetheless, when v/ro « 1, the minimum pressure achievable under collisional power transfer can be lowered by turning to resonant power transfer as provided by electron cyclotron resonance (ECR). This requires adding a static magnetic field B0 , which is axially directed in the case of cylindrical discharges; ECR is possible because the surface wave has at least one E-field component perpendicular to Bo components (E,. and E,) [30]. As for the maximum pressure used with SWDs in our laboratory, it was approximately seven times the atmospheric pressure, not going higher to prevent breaking the discharge tube.

The point to be stressed is that, although the lower pressure limit observed with SWDs can most probably be obtained with other HF discharges, it is only with SWDs that, for example, one can switch, at the same gas pressure and in the same discharge vessel, from a pure ECR-type discharge (at 2.45 GHz or higher frequencies) to a fully collisionally sustained discharge (using low enough fvalues, e.g. 200 :MHz).

2.3.3. Tube Diameter, Configuration and Materials SWDs have been achieved in tubes with inner diameters as small as 0.5 mm [31] and as large as 660 mm [32]. SWDs can be sustained under conditions where the tube diameter is comparable with or larger than the HF free space wavelength whereas this would be very difficult to realize with resonant cavity systems.

Since the surface wave is guided by the plasma-discharge tube interface, SWDs can also be achieved in tubes of various configurations and varying shapes, e.g. aT-tube [13], a circularly bent tube [4], a tube ending as a sphere or pear-shaped [33]. Conical discharge vessels [34] have been used to determine, for example, the frequency bandpass over which the m=O and m=J modes can sustain SWDs [10] and to examine whether the WKB approximation is applicable or not.

Long tubular SWDs can be sustained also in non-cylindrical vessels. Nonaka [35] has reported experimental investigations of such discharges in tubes of half-circular, triangular and rectangular cross-sections, concluding that the cross-sectional geometry of the tube

Page 44: Advanced Technologies Based on Wave and Beam Generated Plasmas

30

may be arbitrarily chosen. Rectangular vessels appear particularly promising as they can provide large-area plasmas for various applications. We shall return to this subject in section 2.5.

The discharge vessel should be made of a low e and low loss tgo material since large values of both these parameters increase microwave power absorption into it. Fused silica withe= 3.78 and tg&:::; 2 x 104 , these values being almost independent of frequency and temperature, is one of the best material in this respect. Furthermore, it has a very low expansion coefficient. Various hard glasses have a tgo approximately 4-5 times higher and a lower melting point. Aluminum oxide (Al203), either monocrystalline (sapphire) or polycrystalline, withe close to 9, is more lossy and besides prone to thermal shocks.

2.4. THE RANGE OF IMPEDANCE MATCHING OF SWD SYSTEMS IS BROAD

The question raised is the influence of changes in the discharge conditions on the impedance matching between the plasma source and the HF power generator. Variations in impedance matching cause variations in the HF power absorbed in the plasma, sometimes to such an extent that the discharge goes off. The reflected power is wasted power, and the presence of standing wave in the system can lead to arcing and hot spots. The ideal HF plasma system would be one where impedance matching remains perfect (no reflected power) over a wide range of discharge conditions.

20 -Calc. -~ 0 0 Meas. - ~~ ~

15 w ~ 0 0 ~: -t$-i 9 i---0.. • '11'W'n•

0 w 0 10

w _, u.. w 0:

5

0 600 800 1000 1200

FREQUENCY (MHZ)

Figure 2 : Measured and calculated frequency charactensucs of a surfatron [36].

Page 45: Advanced Technologies Based on Wave and Beam Generated Plasmas

31

The impedance stability of SWD systems can be made high by combining two elements, the natural constancy of the wave impedance and a proper design of the wave lailllcher [13]: 1) The wave impedance of the surface wave at the lailllcher gap is almost constant provided the density ratio n(z)/Ilmin is at least a few times larger than Wlity at this position. This condition can be expressed in terms of a minimum plasma column length or a minimum HF power sent to the lailllcher. Then, variations in the incident HF power will not affect impedance matching. 2) The wave-la\lllcher, which acts as a field applicator and an impedance matching system, can be designed and optimized to minimize variations in the reflected power over a large range of t\llling conditions. Figure 2 shows the measured and calculated frequency characteristics of the surfatron [36]. This device has two tillling means (see insert in Fig. 2), a capacitive coupler and an axially movable plilllger. We see that, when operating it with the plilllger in a fixed position, less than I 0% reflected power can be achieved over a very wide frequency range (approximately 500 to 1100 .tviHz). This contrasts with the sharp t\llling behavior observed with resonant cavity systems.

Although HF produced plasmas are the same for given discharge conditions and absorbed power density, working with broad range impedance matching devices like the surfatron is much easier in terms of initial t\llling, stability and discharge reproducibility.

2.5 OTHER TUBULAR SWDs

2.5. I . Long Flat Dielectric Vessels for RF Sustained Large-area SWDs (Nonaka, 1994) Figure 3 is a schematical drawing of a long, large-area discharge arrangement investigated at the Toyota Technological Institute [37]. The discharge is operated at 28 .tviHz (14 MHz was also tested) in air at pressures in the 4-40 Pa (30-300 mTorr) range. The dielectric structure (W1Specified plastic with ~:~2-3) has a rectangular cross-section (figure 3a); separation (2a) between the two \\ide walls is 2.5 em, inside width is 73 em and length 1.72 m. A copper foil, 70 em wide (W), is laid on the outside surface of the wide walls. This line is fed at one extremity (z=O) from a coaxial line through an impedance matching system (figure 3b). At the other extremity (z=L), the line is either open or short-circuited.

a) < W > b)

' 2b

'

Figure 3 : Schematic drawing of a long large-area discharge arrangement [ 3 7] .

Plasma length, as it is characteristic of SWDs, increases with RF power when the system operates in the traveling wave mode. In the open-ended configuration, plasma starts

Page 46: Advanced Technologies Based on Wave and Beam Generated Plasmas

32

close to z=L and, as RF power is increased, extends toward the feed line. When the line is short-circuited at z=L, the plasma initiates on the feed-line side. Uniformity of the plasma was determined from the light emission intensity of the plasma. The axial gradient of density is small. The end boundary line of plasma at a given RF power is parallel to the y axis and seems to be quite uniform in that direction. Compared to SWDs sustained with microwaves, the plasma density is much lower here, in the range 1-10 x 107 cm"3; filling the discharge chamber with plasma at 4 Pais obtained with only 70 W. A variant examined by the author consists in using the same discharge chamber but launching the surface wave with two conducting straps set on the outside of the dielectric structure, perpendicular to its main axis.

2.5.2. Annular Discharge Around a Central Conductor (Zhang, Dias and Ferreira, 1997) The discharge tube comprises a central conducting cylinder coaxial to a dielectric tube, leading to an annular discharge [38]. This system was designed to make accurate field and electron density measurements without perturbing the plasma by the introduction of probes: the single electrostatic probe protrudes from the central cylinder which also serves as the reference electrode. This probe is also calibrated as an antenna in the absence of plasma, allowing to obtain the electric field intensity in the plasma. However, this structure makes the plasma and the wave properties significantly different from those without the central conductor. The experiments have been performed in argon at f=360 MHz. Electron density has been obtained through both field intensity and probe measurements. These results were correlated with measured EEDFs.

25.3. Annular Discharge Around a Central Dielectric Tube (Rauchle, 1995) The Universitiit Stuttgart group investigated and applied to surface treatment a plasma source called Duo-plasmaline [39,40]. The spatial structure of the discharge is shown in figure 4 [40]. Microwave power is supplied at both ends of the device from coaxial lines. Discharge is sustained in a vacuum chamber by two surface waves guided in opposite directions by the interface between the external side of the dielectric tube and the created plasma. Such configuration can be considered as a 1/r conformal transformation of the standard cylindrical device with an external conducting tube.

vacuum chamber fll•80 em

atmospheric pressure

low pressure

F1gure 4 : Schematic representation of the Duo-plasmaline discharge system [40].

Page 47: Advanced Technologies Based on Wave and Beam Generated Plasmas

33

The typical length of the Duo-plasmaline is one meter and it is operated at 2.45 GHz

with powers up to 2 x 1.2 kW in the pressure range of 0.05-10 mbar. Operation at atmospheric pressure is, in principle, also possible.

2.5.4. Millimeter Range Wave Launcher (Vikharev eta/., 1996).

This launcher has been designed to circumvent at f-= 40 GHz (f...o=8 mm) the dimension limitations of the surfaguide [22]. It comprises a rectangular to circular waveguide converter, the circular waveguide being oversize (16 mm diameter). The discharge tube (2R=4 or 7 mm, length 300 mm) is positioned along the circular waveguide axis and extends partially outside the guide. In one configuration, the tube passes through a

conducting diaphragm (70 lllil thick) closing the circular waveguide extremity. Plasma is sustained in the tube on both sides of this front plate. There is no localized launching gap,

the wave being excited "continuously" along the discharge tube as in the Kampmann arrangement [41]. Pulsed power between 30 and 60 kW was used with 0.5-10 jlS pulse lengths in the 0.1-60 Torr pressure range with argon. The value of Ilmin with a fused silica tube is close to 1014 cm.3!

2.6. SOME THEORETICAL ADVANCES RESULTING FROM THE MODELING OF SDWs

As mentioned above, the modeling of SWDs and its extensive experimental verification

have brought into relief the role played by the parameter e, the power required to maintain an electron in a steady-state discharge [42,18]. The important contribution stemming from

this aspect of modeling has been to show that e can serve as a unifying factor between HF discharges, including magnetized plasmas: for given discharge conditions (nature and pressure of the gas, axial and radial dimensions and material of the discharge tube,

frequency and mode of the wave and, eventually, intensity Bo of the static axial magnetic

field) and absorbed power density, the value of e is the same, whatever the type of HF discharge [6]. The intrinsic efficiency of all HF plasmas is indeed the same because

charged particle loss mechanisms dominate the power balance 8A = 8L [equation (5)]

through 8L, the power lost per electron, to which the absorbed power 8A adjusts exactly to compensate for losses [ 14, 18]. Many conclusions can be drawn from this: 1) since 8A can be expressed, under conditions of collisional power transfer, as

2 _ e v 2 eA=--2--2 Erms•

fie V + {t)

(7)

it means that the electric field intensity in the discharge sets according to losses and cannot be controlled externally! It is the cross sectional average field intensity that, to a first approximation, adjusts [43], which is consistent with the fact that e is essentially independent of the applicator field distribution imposed on the discharge vessel; 2) this

independence of e, on some occasions, allows to break self-consistency between Maxwell equations and discharge equations, for example, when modeling the discharge properties

related to charged particle losses (so-called simplified model ofHF discharges) [6]; 3) the fact that 8L depends primarily on the average electron energy, which is set by the charged

Page 48: Advanced Technologies Based on Wave and Beam Generated Plasmas

34

particle losses, explains why the value of e does not go through an extremum when sweeping across roc/ro=l (ECR frequency match condition) by varying the wave frequency or the magnetic field intensity ((l>c is the angular electron cyclotron frequency): losses are not higher or lower at ECR in contrast to some popular belief. However, the E-field intensity passes through a minimum when going across resonance since the plasma conductivity is then larger, provided v/ro is low enough; this increase in conductivity at ECR is related to a collisionless power transfer (30]. This further shows that it is 8, not the E-field intensity, which is the key parameter as far as characterizing discharges is concerned; 4) similarity laws such as 8/p versus pR were predicted [44] and verified experimentally [ 18,25]; 5) taking into account the influence of frequency on the stationary EEDF, it was shown that, as a rule, operating under a low degree of ionization at low frequencies is less efficient in terms of charged particle production per watt than using microwaves or than working with a high degree of ionization, in this case whatever the frequency f [25]. A large part of this theoretical work has been carried out by Professor Ferreira's group [ 45].

Recent modeling achievements further clarified the contribution to 8 from other phenomena. These include the discharge regime under v.hich the EEDF is not locally related to the HF field [e.g. 46,4 7] and the influence of collisionless damping [e.g. 48,49]. Much work on this subject has been done by a group including Professors Aliev, Schluter and Shivarova [e.g. 46,48].

Pulsed operation ofSWDs has been investigated by the Cordoba group [50]. The investigation of magnetized SWDs has also provided significant new results. For

instance, operation at f=200 MHz shows that the plasma can be ignited in the sub-mTorr regime in absence of magnetic field whereas, at 2450 MHz, it requires roc/~! [51]. This results from the larger v/ro value at 200 MHz, which thus allows for collisional absorption. The question of the dependence of 8 on the magnetic field intensity [52] and the reactor aspect ratio RIL [53,54] were also examined providing insight in the optimum reactor geometry and power transfer efficiency. The influence of collisionless damping on the wave absorption was also considered [55].

Finally, the modeling of the surface-wave plasma source with its wave launcher was the occasion of adapting the equivalent circuit approach to provide the required frequency and tuning characteristics (see, for example, figure 2) without having to solve a full set of electromagnetic field equations. In this approach, the power transfer phenomena are represented by various circuit elements, which correspond to electromagnetic energy storage or dissipation processes occurring in the various parts of the plasma source [ 13 ].

In summary, through section 2 we have emphasized the unique flexibility and large domain of operating conditions of the long tubular SWDs and the fact that these discharges provide an extremely fruitful ground for modeling and its verification. This has resulted in a better understanding of HF discharges in general. In fact, one can even surmise that the most important "application" of SWDs is modeling. The recent advent of large-area flat SWDs has however changed this perspective since these configurations (section 3) have been stimulated by industrial needs such as the uniform processing of flat and large size substrates.

Page 49: Advanced Technologies Based on Wave and Beam Generated Plasmas

35

An ample literature on long tubular SWDs has been accwnulating over three decades of theoretical and experimental investigations on this matter. Only part of this work has been quoted through section 2. The interested reader may find some of these references in reviews [6,7,13,29,43,45,56-59]. Also, there exists a rich collection of work (including reviews) presented in the NATO ASI Series [60,61], in a special issue of Journal of Plasma and Fusion Research [62] and in the 1998 proceedings from a Japanese meeting on plasma processing [63]. In these reviews, one can also find information about the SWDs described in section 3.

3. The Emerging Generation of SWDs: Large-area Structures

3.1. GENERAL FEATURES

The experimental work carried out on SWDs in the 1970's and 1980's was limited to cylindrical hollow dielectric tubes with lengths much larger than R. Such a tube configuration, even though convenient for basic investigations and modeling, was not adequate for some applications. Tubular discharges other than cylindrical were thus investigated later on, the main trend being to achieve flat, large-area plasmas for surface treatment (see section 2.5.1 ). At approximately the same time (1989), large-area configurations of a new kind began to be reported, all of them heavily oriented toward plasma processing. The principle of operation of these novel plasma sources is the same as that of the classical long tubular SWDs, although they have some distinct characteristics. A short review of such plasma sources is part of a paper by Sugai et al. [64].

In this section, we propose a general classification of SOWs that are not long tubular discharges, and then proceed to their description. These new discharges fall into two categories, according to the shape of the plasma-dielectric interface guiding the surface wave: 1) Planar discharges in which the wave is excited over a planar interface (open geometry SWDs) and 2) Short tubular discharges in which the wave is excited over a circumferential interface (the wave guiding interface closes on itself) whose diameter is large compared with the axial extension of the plasma .. Each category can then be divided ccording to various criteria.

The method used to determine whether the plasma source considered is a SWD or not is based on the following two criteria: 1) Power transfer. SWDs can be sustained only provided the real part of the Poynting vector S has a component parallel to the plasma­dielectric interface. Therefore, guiding of the wave has to be such that its E and H components yield a real valued S component parallel to the interface (this principle applies to the interfering waves in standing wave situations). Consider, as an example, the follo·wing two configurations: i) A cylindrical discharge vessel (r, cj> and z coordinates). In this case, to induce Sz we need to have E,. and f-4 components, alone for the m=O mode or in combination with H, and E$ components for higher order modes; ii) A planar structure of circular shape. The dielectric disk is in the r, cj> plane. To generate S~, we need Ez and H, alone or in combination with H, and E,.. In the frequent case of excitation from a rectangular waveguide (cartesian coordinates), the Poynting vector is not purely along cj>

and we thus get both S~ and S, components; 2) Minimum electron density for wave

Page 50: Advanced Technologies Based on Wave and Beam Generated Plasmas

36

propagation, 11min (equation (6)). All SWDs therefore yield overdense (n>11c) plasma. In most instances, these new large-area plasma sources can also be operated at low power levels with electron density lower than 11rnin· The plasma is then sustained by the microwave field entering directly the discharge vessel and not by surface waves. This is in contrast to the long tubular discharge systems where such non SWD behavior is seldom observed.

3.2. PLANAR DISCHARGES

3.2.1. Transfer of Microwave Power to The Plasma In tubular SWDs, the discharge tube walls are essentially made of a dielectric material (section 2.3.3) whereas, with planar SWDs, the discharge chamber walls are mostly metallic. In the latter case, HF power is brought into the chamber through a dielectric window. The surface wave then propagates either along a dielectric plate located within the chamber or along the plasma (ion) sheath adjacent to some part of the chamber wall. In both cases, the mechanism of surface wave propagation is the same: the real component of the plasma permittivity changes sign when going across the plasma-dielectric interface (or close it, in the realistic case of a transversally non-uniform plasma), which ensures the guiding of the surface wave. The electric field intensity within the plasma is the largest at the surface where the permittivity sign changes and decays exponentially away from it. The resulting discharge therefore forms a plasma layer adjacent to the waveguiding interface, with a thickness determined by the field intensity decay ("skin depth").

The wave guided by the interface gets reflected at the extremity of the dielectric plate or of the metal wall. Thus, achieving a SWD with a traveling wave would imply only a partial coverage of the interface region, hence the interest of using a standing wave mode. A further reason is the possibility of obtaining larger plasma densities than under traveling wave conditions.

3. 2. 2. Structures with Rectangular Dielectric Slabs

Rectangular Dielectric Slab Illuminated by an Antenna (Komachi and Kobayashi, 1989). Figure 5 is a schematic drawing of the system [65,66]. Microwave power at 2.45 GHz from a WR-430 rectangular waveguide feed (inside transverse dimensions 109.2 x 54.6 mm) excites a standing surface wave over a flat dielectric slab (PTFE, 200 mm wide, 484 mm long, 20 mm thick) resting on a conducting wall and terminated by a reflecting plane. The field leaking out from this antenna illuminates the top side of a "window" (Coming 7740, 20 mm thick), parallel to it. This window forms the vacuum seal for the reactor, which is otherwise made of a conducting metal. Also, being a dielectric, it lets the microwave field coming from the antenna into the reactor.

Measured plasma density at 6 mm from the window in argon gas in the 50-200 Pa (0.375-1.5 Torr) pressure range is 3.5-4.5 x 1011 cm·3: the plasma is not only overdense (llc = 7.4 x 1010 cm.3) but the minimum density for surface wave propagation, in the present case 3.3 11c (Teflon), is met. Considering the geometry of the system and the fact that the plasma is overdense suggest that the discharge is sustained by a standing surface wave, excited by the antenna field and guided along the interface between the dielectric window and the generated plasma.

Page 51: Advanced Technologies Based on Wave and Beam Generated Plasmas

37

Variants of this system have been designed by the Surface-wave Plasma Division at Sumitomo Metal in Japan. They include RF biasing for anisotropic oxide etching; the system is then operated in the tens ofmTorr range.

Figure 5 : Schematic representation of a planar plasma source with a dielectric slab illuminated by an antenna

[65,66].

The Planar SLAN System (Walter eta/., 1997). A planar SLAN (SLotted ANtenna) system has been recently proposed [67]. Microwave power is introduced into the discharge

chamber through a 40 x I 00 cm2 rectangular fused silica plate which is submitted to a rectangular waveguide with I 0 to l I slots cut in its wide wall and oriented perpendicularly to the axis. This waveguide field applicator is operated in a standing wave mode. The typical electron density, measured at 20 mm from the dielectric window in argon at 10 Pa

and 2-3 kW at 2.45 GHz, is 8-IO xl0 11 cm·3, these values of density being well above Ilmin

for surface wave propagation. This, despite the lack of a direct experimental evidence, supports the authors' claim that the discharge is sustained by a surface wave guided along the interface between the window and the created plasma.

3.2.3. Structures with Dielectric Disk Slabs

Dielectric Disk Center-fed from a Tapered Waveguide (Bernard and Friquet, 1991).

Figure 6 is a schematic drawing of a SWD system at 2.45 GHz proposed by a group from Toulouse [68,69]. The rectangular waveguide feed is tapered to form a launching aperture

(5 mm height, full width of the WR-340 rectangular waveguide feed). A flat dielectric plate of circular shape (fused silica, 100 mm diameter, I 0 mm thick) rests perpendicularly to the feed and is centred on the launching aperture. This dielectric plate is a vacuum seal to an otherwise conducting enclosure. Plasma is produced by a standing surface wave guided along the chamber side of the dielectric plate, and then diffuses toward the substrate holder on which it is uniform beyond 5 em from the plate. Measurements show that plasma density in argon at 20 mm from the window is 8 x 1011 cm·3: the condition for surface wave propagation, n :2: 4. 78 11c here, is well met.

Page 52: Advanced Technologies Based on Wave and Beam Generated Plasmas

38

Waveguide

X

~' y

Figure 6: Dielectric disk center-fed from a tapered wave-guide [69].

The authors claim that a surface wave is excited in both directions from the launching aperture and that the power Httx separates evenly as in a micrownve T -junction (Such a

pu~ver division \Vi.th surface waves vvas demonstrated earlier •vith cylindrical SWDs} The

standing wave pattem along the dielectric disk is shov.n in tlgure 7 [69]. This "slotted''

pattern, obtained through polymer deposition on the dielectric plate, has a linear, not a

circular symmetry. This means that S has one dominating Sx component in the \Vave·

guiding plane (XY}, most probably O\ving to the £1ct that there are no Ev and Hx components coming out :fi·om the launcher aperture. ·

} Rectangular waveguide

Dark region

Figure 7 - Standing wave pattern along the dielectric disk of figure 6, obtained through polymer deposition on

the disk surface [ 69l

Dielectric Disk Circumferentially-jed from a Radial Line (Kimura, Yoshida and

Mizuguchi, 1995; Yoshida, 1998). Figure 8 is a schematic drawing of this 2.45 GHz SWD system [70]. The TE10 mode from a rectangular waveguide feed is turned into the TEM coaxial mode through a mode and impedance transformer. The coaxial line is gradually

converted into a radial line enveloping a circular dielectric disk (Pyrex, 175 mm diameter,

20 mm thickness) and terminates at its circumference. This dielectric disk forms a vacuum­tight window to the reactor metal chamber. The microwave power enters the dielectric disk

with the E-field vector perpendicular to it. The electromagnetic field is concentrated in the form of a standing surface wave along the interface between the dielectric and the plasma

which is sustained on the chamber side of the disk. The substrate holder is located at 30

Page 53: Advanced Technologies Based on Wave and Beam Generated Plasmas

39

mm from the window. Varying the thickness and electric pennittivity of the disk is used to

achieve a radially unifonn density distribution at a given absorbed microwave power. The

operating pressure is in the 10-300 mTorr range in argon. The value of n at the substrate

location is 1.5-8 x 1011 cm-3: asswning E: = 4.9 for Pyrex, llmin = 4.4 x 1011 cm·3• There are

no comments from the authors as to the possibility of a non SWD operation with n<n.run at

low microwave powers

Coaxial Waveguide

Figure 8 : Planar discharge system with a dielectric disk circwnferentially-fed from a radial line [70].

Figure 9 : Variant of discharge system of figure 8 using a holey-plate [71 ].

Page 54: Advanced Technologies Based on Wave and Beam Generated Plasmas

40

A variant of the present system [71], shown in figure 9, has also been proposed to achieve an ion source. Note the conducting "corner rings" used at the end of the coaxial line structure to ensure that the E-field vector entering the dielectric disk has an axial component and, thus, that there is a component of S along the interface. Furthermore, by adding a conducting plane with holes immediately under the dielectric, it is possible to concentrate the electric field leaking out closer to it, thereby yielding at this location a higher ion current to be extracted immediately beneath.

Dielectric Disk Top-fed From Inclined Slots (Nagatsu, Xu, Yamage, Kanoh, Sugai, 1996) . Figure I 0 shows a schematic drawing of the 2.45 GHz system proposed by Professor Sugai's group at Nagoya University [72,73]. A circular dielectric slab (fused silica, 220 mm diameter, 17 mm thick) is captured at its periphery by a conducting enclosure. This window is illuminated by a microwave field leaking through two slots in the feeding waveguide wall. These slots are separated by half a waveguide wavelength and are inclined in opposite directions. The standing wave pattern within the waveguide is controlled by a movable plunger to achieve minima of electric field at slot locations. The plasma is produced on the other side of the dielectric disk by a standing surface wave guided at the plasma-dielectric interface. The plasma density measured at 30 mm from the disk in argon at 10 mTorr is 5 x 10t2 cm·3: the minimum density condition for surface wave propagation is satisfied since llmin = 2.8 x I ott cm·3 at 2.45 GHz with fused silica as the dielectric.

Slots inclined in opposite directions were used by Sauve eta!. [74] for making up a field applicator to sustain "linear" discharges for web coating because this ensured a broadside radiation pattern in the far field. The power flow is then normal to the field applicator and excitation of a surface wave in the dielectric plate is prevented (to avoid nonuniformities in this linear discharge). Slots inclined in the same direction provides phase opposition from one slot to the next one and could be a better configuration for surface wave launching. Therefore, it would be worth checking if the launching efficiency is not higher in the Nagoya system when using slots inclined in the same direction.

~ Waveguide Coupling slots Movable short

II "0

Plasma chamber

Figure I 0 : Planar discharge system with a dielectric disk top-fed from inclined slots [72].

Dielectric Disk Top-fed from a Circular Slot (Odrobina, Kudela, Kanda, 1998). Figure II shows the schematic drawing of the 2.45 GHz system proposed by Professor Kando's group at Shizuoka University [75]. A large dielectric disk (fused silica, approximately 300

Page 55: Advanced Technologies Based on Wave and Beam Generated Plasmas

41

mm in diameter, 15 mm thickness) is captured at its periphery by a conducting enclosure (312 mm in diameter, 350 mm in length). Microwaves from the generator are coupled first into a cylindrical cavity through a movable coupler. The length of this cavity is adjustable through a plunger located at one end while, at the other end, it is terminated by an annular slot through which HF power enters the discharge chamber. A third tuning means is provided by a movable conducting plate, parallel to the dielectric disk. The system is operated at pressures in the range 2-200 mTorr and the electron density measured at 10 em from the disk can reach up to 4.5 x 1012 cm·3•

The authors recognize that their plasma is probably sustained by a surface wave. Unfortunately, the paper does not contain information about the electric field and electron density variations with distance from the window: Nevertheless, there are strong indications that a standing surface wave is involved in the discharge process at large enough microwave power absorbed in the system. First, the electron density is definitely overcritical, which should prevent the existence of volume modes within the chamber. Second, the jumps in the measured values of electron density with varying incident power indicate a standing wave mode of operation. The pattern of standing surface waves at the plasma-disk interface is determined by the dielectric permittivity and geometry of the disk and by the electron density. The standing wave pattern cannot vary continuously because of the fixed boundary conditions and, therefore, plasma density cannot vary continuously with input power. It undergoes rapid changes ("jumps") simultaneously with changes in the standing wave mode. Such a behavior was recently studied in detail and explained by Sugai eta!. [64]

Coaxial Cable I. Movable Coupler

II. Cavity Ptunger

Ill. Ring Plunger

Langmuir plasma Probe

I I

I To Pump I T .,.

Viewport/~ Camera Figure 11 : Planar discharge system with a dielectric disk top-fed from a circular slot [75].

3. 2. 4. SWDs in Fully Metallic Structures Recently, Cooperberg and Birdsall [76,77] studied theoretically and simulated numerically SWDs sustained in metal bound volumes by standing surface waves guided along the plasma-sheath interface. They found that such discharges could be operated over a wide range of frequency and gas pressure.

Page 56: Advanced Technologies Based on Wave and Beam Generated Plasmas

42

Professor Sugai's team has experimentally proved the possibility of sustaining SWDs in an essentially fully metallic chamber (78). This has been achieved by replacing the large diameter disk of their initial system (see figure 10) by dielectric windows of a diameter limited to the slot dimensions; these windows recede from the internal conducting surface of the chamber, not to be in direct contact with the discharge. The electron density reaches up to 5.5 x I 011 cm·3 at 3 em perpendicularly from the window, at 10 mTorr in argon.

Practical realization of discharges in fully metallic structures would bring important advantages. 1) There is no undesired radiation associated with dielectric or partially dielectric systems. 2) The reactor is of a simpler design, cheaper to build and not sensitive to the action of the external pressure (elimination of thick large-area dielectric plates). 3) This method would, in principle, further solve the problems related with some plasma processes leading to the deposition of conducting films on dielectric windows.

3.3. SHORT TUBULAR DISCHARGES

These discharges are sustained in dielectric bound chambers of tubular shape but, as a rule, the power absorbed is low enough that the axial extent of the plasma column is small or comparable with their diameter. This way of operating the system does not imply that one could not get longer columns by using higher power levels. In contrast to planar discharges, HF power to these large diameter systems is supplied through a cylindrical surface enclosing the perimeter of the discharge at the launching aperture. However, as in the case of planar (open) geometry, two modes of operation are possible as functions of the HF power level : I) Power is low and plasma density is below llmon- Then, the discharge is sustained over the whole cross-section but limited to the axial extent of the field applicator; 2) Power is high and density exceeds the required minimum value for a SWD. Then, the wave sustaining the discharge is guided by the plasma-dielectric vessel interface and \Vith large enough HF power, plasma can extend axially beyond the applicator. The discharge extends radially inward the tube up to a distance determined by the field penetration depth . A ring shaped discharge is formed adjacent to the wall. Such a configuration clearly calls for the existence of an azimuthally directed standing wave.

3. 3.1 . The Circular SLAN System (Werner, Korzec, Engemann, 1994) Figure 12 [79) shows the SLAN (SLot ANtenna), a ring resonator field applicator introduced by Professor Engemann's group at the University of Wuppertal to sustain short tubular discharges (80). It is based on a circularly bent rectangular waveguide with inwardly directed radiating slots and it is coupled to a standard WR-340 rectangular waveguide feed through an adjustable capacitive coupler. The slots are half a wavelength in free space long and oriented perpendicularly to the waveguide axis. They are equally spaced at half a waveguide wavelength, which ensures equal power sharing between slots. The structure is operated as a resonant cavity with nodes of the electric field intensity at each slot, which is ensured by a movable plunger in the feed waveguide, as shown in figure 13. Tllis cavity operation requires an even number of slots, thereby setting the inside diameter of the annular structure, given the discharge tube diameter. The tube, usually made from fused silica, is placed coaxially within the applicator. No tuning stubs at the system input are needed, an indication of the stability ofimpedance matching.

Page 57: Advanced Technologies Based on Wave and Beam Generated Plasmas

annular waveguide

/

s lot antenna

R 26 waveguide

Figure 12: Design of the ring-resonator field applicator of the SLAN I plasma source [79].

quartz wa~ll ~~~~~~

adjustable coupling probe

ljf!'.tt--- coupling slot

movable plunger

43

Figure 13 : Front view representation of the SLAN I plasma source [79] showing the standing wave pattern and

the plasma density (undercritical density regime). In the surface-wave mode of operation, the plasma forms a ring

adjacent to the quartz wall.

In their leading article, the authors used a 160 mm diameter tube (SLAN I) to achieve

discharges in argon, helium and nitrogen in the range 10-250 Pa (0.075-1.9 Torr). Two

regimes of operation were identified: for electron densities below llmin. plasma was

sustained by direct radiation from the slots and restricted to the applicator region while, at

higher densities, plasma could extend laterally on both sides of the applicator, its length

growing with increasing absorbed power, a typical SWD behavior.

More recently, this research group has been developing a family of SLAN sources for

plasma diameters ranging from 40 ()lSLAN) to 660 mm (SLAN II) [81]. Up-scaling

Page 58: Advanced Technologies Based on Wave and Beam Generated Plasmas

44

procedures have been elaborated. Some of these systems have been fitted with permanent magnets to allow operation at lower gas pressures.

Operating with discharge diameters as large as 660 mm at 2.45 GHz extends considerably the usual range of discharge conditions of SWDs. For example, owing to a very large fR value of 80 GHz-cm, it is possible to observe the azimuthal pattern of a surface wave in the m=l5 mode. Furthermore, because the aspect ratio of these discharges tends to be small (short tubular discharges) and, therefore, axial diffusion cannot be neglected. In addition, the conditions of the thin cylinder approximation are not met: the penetration depth is close to 2 em in comparison with R=8-33 em. For these reasons, equation (4) cannot be used in this case. As a matter of fact, the axial density gradient is observed [82] to decrease with increasing gas pressure in contrast to the usual case of smaller diameter discharges. Finally, large values of the diffusion length could favor volume recombination with respect to diffusion for molecular gases.

3.3.2. Circularly Bent Rectangular Waveguide with Inward Slots (Moisan, Zakrzewski, Grenier, Sauve, 1995) This device was designed to achieve a short tubular plasma with a diameter comparable or larger than the free space wavelength at 2.45 GHz [83]. It was found that at low power levels an underdense plasma is generated while, above a certain threshold power, a SWD sets up, which extends laterally from both sides of the applicator.

The design of this device is as shown in figure 14. The circularly bent rectangular waveguide is arranged coaxially with the cylindrical discharge vessel. An array of slots is cut in its wide inward wall. Opposite the microwave input side, this waveguide is terminated with a movable plunger to create a standing wave pattern related adequately to the slot distribution. The discharge tube is made from fused silica with R=65 mm; argon and helium gases have been used in the 0.5-10 Torr pressure range.

FLANGE

PRISSURI QAUGI

STANDAID WAVICIUIDI wmtPLUNGII

Figure 14 : Short tubular discharge system using a slotted waveguide field applicator [83].

Page 59: Advanced Technologies Based on Wave and Beam Generated Plasmas

45

We fotmd that, under SWD conditions, this source is less efficient than either circular gap-type systems or SLAN. This can be attributed to the slots being alternately inclined, which assures in-phase field leakage. This feature is advantageous in the non SWD regime but prevents an efficient launching of surface waves.

3.3.3. Gap-type Systems In such systems, surface waves are excited by launchers with a circular gap surrounding the dielectric chamber.

The Groupe de physique des plasmas at Universite de Montreal has systematically developed a family of wave launchers of the gap-type (few mm width), essentially for long tubular discharges [13]. These devices have been later up-scaled to achieve large diameter plasmas. For example, oversize Ro-box and waveguide-surfatron have been used to sustain a 160 mm diameter plasma in the 0.1-10 mTorr pressure range at 200 and 2450 MHz, respectively. Operating at 2450 MHz in these conditions requires a static magnetic field close to ECR conditions while no magnetic field at all is needed at 200 MHz, thereby allowing interesting comparisons [51].

The group of Leprince and Marec at Universite Paris-Sud (Orsay) has designed an enlarged version of the surfaguide system [84]. It enables one to sustain efficiently a 120 mm diameter plasma at 2.45 GHz. They observe the propagation of a m=3 mode surface wave corresponding to a fR product of 14.7 GHz-cm.

Another variant in the family of gap-type launchers is the Okamoto cavity [85]. It has some similarities with a waveguide-surfatron. This robust device can be operated up to 1.5 kW at 2.45 GHz with tubes typically 10 mm in diameter. More recently, Okamoto and collaborators proposed a larger diameter version of this cavity with 2R=160 mm at 2.45 GHz [86]. This wave launcher, shown in figure 15, is made from a reduced height oversize width rectangular waveguide: 8 mm x 264 mm. This system, operated with argon, yields an electron density close to 1.5 x 1011 cm-3 at 5 mTorr at a distance of 15 em from the launcher. An important point is that operation at such a low pressure is achieved at 2.45 GHz without requiring a static magnetic field.

r - z~ C! Zp

Okamoto Cavity :

" Gas-

Gauge

l

Langmu i r Probe

Process Cha111ber (Metal)

: 0

Port

Figure 15 : Short tubular discharge system using Okamoto cavity and attached to a metal process chamber (after [86]).

Page 60: Advanced Technologies Based on Wave and Beam Generated Plasmas

46

Plasma with a Convex-shaped Boundary for Substrate Surface Matching (Borges, Moisan, Gicquel, 1995). Figure 16 is a schematic representation of another gap-type field applicator to achieve a SWD [87]. It was designed to compete with the so-called bell jar system for diamond deposition. It consists in using a discharge vessel made from two tubes of different diameter with an abrupt transition between them. A surface wave is excited at the wave-launching gap, essentially in one direction when using a launcher such as the waveguide-surfatron. The wave sustains plasma in the smaller diameter tube and travels toward the tube transition where it is reflected because of the abrupt change of impedance. An evanescent field is thus created at this transition, which penetrates the reactor chamber and sustain plasma there. This evanescent field is akin to that of an open-ended transmission line. Impedance tuning means, including tuning stubs at the applicator input, are employed to maximize the microwave power leaking out into the deposition chamber.

Microwaves

Microwave tuning means

Wave-launching gap

Fused silica tube I ~ Faraday cage I q

Figure 16: Waveguide-surfatron based plasma source for diamond deposition (after (87]).

Compared to conventional microwave plasma systems used for diamond chemical vapor deposition (CVD), the present sw-face-wave plasma reactor has some unique features. These stem essentially from the fact that microwave power is totally absorbed within the plasma. An important consequence of this is that there is no residual microwave power at the substrate in contrast to conventional bell-jar or cavity systems. With these conventional systems, plasma is generally oodercritical and results from a standing w-ave field where the susceptor acts as a reflecting plane. In the present SWD system, plasma is overcritical and the position of the convex-shaped plasma boundary surface in the reactor depends only on the tube configuration and microwave power, not on the substrate position (unless it penetrates the plasma), thereby facilitating the precise positioning of the plasma with respect to the substrate sw-face, a critical parameter in diamond deposition. The substrate temperature can further be controlled independently from microwave power.

Page 61: Advanced Technologies Based on Wave and Beam Generated Plasmas

47

4. Applications of SWDs

HF discharges can efficiently yield a wide variety of neutral, excited and ionized atoms and

molecules. They can also be used to generate both coherent and non-coherent radiation.

4.1. PARTICLE SOURCES

4.1 .1. Ion Sources This first SWD ion source, called SIPPI, was designed to provide heavy (krypton) ions for

a joint French-Soviet space mission [88,89]. It was part of a remote chemical analysis

experiment of the surface of the Martian moon, Phobos, to investigate its regolith through

long distance (50 m) secondary-ion mass spectroscopy. SIPPI was the primary ion launcher

and secondary ions from the Phobos surface would have been collected and analyzed by a

quadrupole mass spectrometer aboard the spacecraft. This part of the mission was never

realized because of a loss of control of the spacecraft. SIPPI was nonetheless operated

successfully during calibration sequences on its way to Mars. The source called for a

surfatron operated at 700 rvtHz (solid-state generator). The whole SIPPI system, composed

of the ion source, gas feed supply, power supplies for the discharge, extraction system,

neutralizing filaments, and control and telemetry electronics weighted less than 11 kg. This

surfatron ion source shares the usual advantages of microwave plasma ion sources: small

dimensions, low weight, few components, simplicity of operation and absence of limited

lifetime components such as filaments and cathode. Specific advantages of the SWD

system are its ability at efficiently coupling HF power to plasma and monomode operation,

preventing detuning problems. More recently, Yoshida and collaborators introduced a SWD ion source [90,91] based

on their holey-plate system (section 3.2.3). It yields an argon ion beam of 6 mA/cm2 (p = 1

Pa, f= 2450 rvrn:z, 1 kV extraction voltage) for less than 100 W compared to 4.5 mA/cm2

(p = 1.5 Pa, f = 900 rvrn:z, 1.2 kV extraction voltage) for 35 W with SIPPI, suggesting a

slightly higher efficiency of SIPPI.

4.1. 2. Nitrogen-atom Sources N2 discharges are often operated at pressures of the order of 1-10 Torr to provide nitrogen

atoms in the spatial afterglow of the discharge, where there is no electric field and little

charged particles. Remote plasma processing is possible because N atoms are long-lived

species, which can therefore be transported outside the plasma by the gas flow. These

species have a much higher reactivity than the ground state molecules and, thus, can induce

chemical surface reactions in the context of thin film deposition and surface modifications.

Remote plasmas are particularly interesting for two reasons: 1) they expand spatially,

filling volumes much larger than that of the discharge vessel itself, thus allowing the treatment of large substrates of complex geometries; 2) for fragile materials like polymers,

in contrast to plasma, the gas temperature is lower and there is no ion bombardment to damage their surfaces.

Although other applications have been reported, nitriding in a remote plasma is

probably the major application of N-atom sources. SWDs as well as many other types of

HF discharges can be used for the same purpose [92,93). Among the usual advantages of

Page 62: Advanced Technologies Based on Wave and Beam Generated Plasmas

48

SWDs, the distinctive contribution of SWDs to this application is related to their frequency agility, allowing for the optimization and modeling of N-atom sources [94]. Nitrogen atoms from SWDs have also been used for CVD deposition, for example, of nickel films [95] and employed, in combination with graphite laser ablation, to investigate the formation ofC3N4 [96,97], a carbon phase predicted to be harder than diamond.

4.1.3. Hydrogen-atom Source: A High-flow Hydrogen Dissociator This SWD hydrogen (deuterium) dissociator system was designed at CERN to provide ultimately (with an adequate magnetic field) spin polarized atomic hydrogen [98,99]. It uses a waveguide-surfatron launcher, but the launching gap extends outward with a A., long "nose" (A., is the free-space wavelength) to reduce the distance between the tip of the hydrogen plasma column of 15-20 em length and the nozzle of the dissociator chamber. The design of the dissociator allows for cooling of the hydrogen atom flow down to 80-100 K at the nozzle where it exits as a beam. The discharge tube is fused silica with 13 mm i.d. and 16 mm o.d .. Microwave power is in the range 100-1500 W at 2.45 GHz.

This system provides a dissociation degree between 80 and 90% up to the highest gas throughput likely to be used in this application. The maximum atomic hydrogen flux at the nozzle is more than 3 x 1020 atoms/s, approximately five times the value of the existing FIT.., TEX!HERMES system which runs at f=27 MHz with a conventional RF discharge. The SWD fits the dissociator configuration better than the RF discharge and no microwave power goes past the nozzle. The present SWD device favors the dissociation of deuterium compared to hydrogen since the same dissociation degrees are obtained with typically ~ less microwave power and double gas throughput.

4.1. 4. Metastable-Argon Beam Source Thermal beams of metastable rare gas atoms are used for Penning ionization electron spectrometry. The metastable-surface interaction provides information on the electronic structure of absorbed molecular layers and the outermost atomic layer of the metal since thermal metastable atoms cannot penetrate into subsurface layers. The beam of metastable argon atoms, developed at Princeton, is obtained by expanding a surfatron plasma through a simple converging nozzle and extracting a beam from the supersonic free-expansion jet [1 00]. The discharge is achieved in a 4/6 mm i.d./o.d. fused silica tube at 2.45 GHz with 200 Win the 6-225 Torr pressure range. The distance from the surfatron launching gap to the nozzle is 1 0.5 em. A skimmer is used to produce a well-collimated supersonic beam in the detector chamber where the operating pressure is 2 x 10-6 Torr.

The supersonic expansion begins in a continuum flow regime at the nozzle and proceeds to free-molecular flow regime farther out in the expansion. The production of metastables in the expansion results from interactions of plasma electrons with atoms and ions, and thus depends on the local electron density and temperature and the velocity distribution of the argon atoms and ions. Assuming n"" 1012 cm·3 and electron temperature

Te"" 0.5 eV, the plasma in the expansion is in a recombination regime and the dominant mechanism for the production of metastables is radiative recombination of Ar + ions rather than electron impact excitation of ground state atoms.

The two critical parameters for such beams are the absolute intensity and width of the velocity distribution characterized by the ratio of the full width at half maximum (FWHM)

Page 63: Advanced Technologies Based on Wave and Beam Generated Plasmas

49

of the metastable time-of-flight distribution to the mean time-of-flight. The present source yields the highest time-averaged intensity of all the argon metastable sources. Considering the narrowest FWHM it can yield (19%), the intensity is 9.5 x 1013 s'1 sr-1, which exceeds all other reported results.

4.1. 5. High Velocity Particle Beam for Spacecraft Materials Testing

Atomic Oxygen "Neutral" Beam Facility. Atomic oxygen seriously erodes many of the spacecraft materials. Although fluxes of 1014-1015 atoms/crn2 sat the space shuttle altitude are 4-5 orders of magnitude smaller than at the earth surface, the actual flux of atoms impinging on an orbiting vehicle is high because of the satellite velocity of - 8 krn/s, corresponding to an incident atomic oxygen energy of- 5 eV. To quality materials for spacecraft applications, one needs ground-based systems that can accurately simulate the neutral atomic oxygen environment in low earth orbit. Such a facility has been built at the University of Toronto Institute for Aerospace Studies in collaboration with our Group [101,102]. The system is comprised of three major components: a surfatron SWD, a sampler-skimmer interface, and a vacuum chamber with its support electronics. The system generates essentially a stream of oxygen atoms seeded in helium as the carrier gas. The heliwnloxygen plasma is discharged at atmospheric pressure and expands supersonically toward the sampler-skimmer system. The skimmer throughput is a largely mono-energetic, slightly divergent beam of helium, atomic oxygen and molecular oxygen with a mean free path in excess of 1 metre. The facility can produce atomic oxygen energies up to 2.2 eV, at flux levels as high as 8 x 1016 atornslcrn2 s. It operates continuously at 2.45 GHz with less than 200 W, providing target diameters up to 49 rnrn.

To assess the erosion effects of a given material, two parameters have been examined: 1) The recession rate. It is a measure of the depth material removed per unit time; 2) The sensitivity to beam energy. It determines to what extent the reaction efficiency depends upon the incident atomic beam energy. As a rule, reaction efficiency increases with the beam energy until it reaches a plateau. Maximum of degradation of the material occurs in the plateau region. Because of the present upper limit (2.2 eV) on energy of the system, it is necessary to determine whether the threshold energy value for this plateau is reached for the materials investigated. For Kapton, for example, this threshold is around 1.5 eV, which means that the facility then simulates correctly space energy conditions. This system, providing a higher flux level than in space, enables one to proceed to accelerated testing based on the assumption that for constant fluence (particle flux x time), the same material reaction efficiency is obtained.

Supersonic Plasma Jet System for Spacecraft Material Testing. To analyze the thermal degradation of materials, a rarefied plasma jet facility has been developed and qualified at the Laboratoire d'Aerotherrnique in Meudon (Paris) [103]. It is based on a surfaguide operated at 2.45 GHz with a 14 rnrn i.d. fused silica tube. The plasma, usually from argon and argon-nitrogen mixtures, expands into a vacuum chamber (wind tunnel) through a Laval nozzle, forming a stationary jet without interaction with the chamber walls. In most cases, a shock wave can be observed. The system simulates the local properties of the plasma surrounding a spacecraft. Since a variety of gases can be used, the entry into the

Page 64: Advanced Technologies Based on Wave and Beam Generated Plasmas

50

atmosphere of, for example, the Earth, Mars and Titan can be examined. The heat fluxes obtained, 30-100 W/cm2, are sufficiently high for testing spacecraft materials. Advantages of this system are the stability of the plasma flow, the possibility of obtaining a quasi tmiform plasma region and a long continuous nmning time.

4.2. LIGHT SOURCES

The interest in SWDs as a substitute for the DC positive column of standard fluorescent lamps was high among the major lamp companies in the late 1980's. It was motivated by the fact that, in contrast to DC plasmas, the radial density distribution of excited atoms in SWDs can show maxima off the discharge axis. One could then expect that, for example, in the UV range, more radiation could escape the surface-wave plasma, thereby increasing the radiation efficiency of the lamp. The results obtained by different research groups on this matter are rather negative but not fully conclusive. We summarize and comment these results: 1) Levy and Berman [104] from Lawrence Berkeley Laboratory reported (1986) a radiation efficiency improved by at least 40% with respect to a positive-column plasma [105]; 2) Rowley and Wharmby from GE Thorn Lamps (Leicester, UK) claimed (1990) that the peak value of column efficacy from a SWD was comparable to that in standard fluorescent lamps [I 06]; 3) Margot et al. investigated (1991) this matter in the 200-900 MHz frequency range in argon at pressures from 0.05 to I Torr, measuring the emitted light intensity from an optically thin line related to high lying levels to avoid radiation trapping. It was concluded that there exist operating conditions for which the optical radiation efficiency of the given radiative level in argon is higher than in a DC positive column [107]; 4) Beneking and Anderer from Philips Research Laboratory (Aachen, Germany) carried out (1992) radiation efficiency experiments in an Hg-Ar SWD from 200 to 1000 MHz. Argon and mercury pressures were in the 0.04-10 Torr and l-100 mTorr range, respectively. They found no sign of radiation trapping when probing the 185 and 254 nm resonance lines ofHg and the efficiencies were frequency independent [108]. They argued that Margot et a!. experiments, which call for high lying levels contributing very little to the lamp output, were not relevant for estimating its overall radiation efficiency. This is an interesting point: i) The frequency influence due to changes in the EEDF decreases with decreasing energy of the radiating levels; ii) The UV radiating levels are those of resonant atoms. These atoms can be considered to diffuse like metastable atoms do, which flattens their radial distribution profile compared to that from high lying level atoms, thereby yielding radial profiles not as much peaked as for high lying levels; iii) Other reports indicate higher saturated densities for metastable and resonant atoms in SWDs than in DC positive-columns: clearly, the question warrants further work. A last remark with respect to this controversy is that RF and microwave power sources have a limited electrical efficiency ( 10 to 15% minimum is lost in power conversion) and that these devices are not yet cost effective, although this situation could change. Longer lifetimes of SWD electrodeless lamps could then be a definite advantage to reduce maintenance cost, for example, in hard to reach places.

Gibson et al. at the University of Wisconsin-Madison have investigated the radiative efficiency of a Xe discharge sustained with a surface wave at 42 MHz using a simple two­rings launcher [I09]. These discharges are candidates for mercury-free lighting

Page 65: Advanced Technologies Based on Wave and Beam Generated Plasmas

51

applications. Absorption measurements provided the resonance level population. Absolute VlN discharge efficiency was also recorded, with values above 80(± 11)%. The conclusion is that Xe SWDs are potentially very useful in the lighting industry.

4.3. LASERS

Continuous Hydrogen Fluoride (FH) Chemical Laser. A SWD is achieved in a flowing mixture of SF6, He and 0 2. The fluorine atoms resulting from the dissociation of SF6 react, as they enter the Fabry-Perot cavity, with hydrogen molecules injected directly into this cavity. Excited FH molecules are formed and laser oscillation occurs on ro-vibrational transitions of this molecule. The presence of He favors the collisional dissociation of SF 6 by providing high average energy electrons. The discharge is obtained with a surfatron at 915 MHz in a fused silica or alumina tube at a maximum power of 700 W. Continuous operation of the laser did not produce any visible erosion of the ceramic tube whereas the fused silica tube perforated within 30 minutes. However, abrupt temperature changes can damage the Ah03 tube [11 0].

This laser system [111] was also operated, for comparison purposes, with the discharge from a 2.45 GHz LMP system [9], a slow-wave, ladder-type, field applicator. Electrical efficiency was higher with the surfatron (3.6 W laser power compared to 2.5 W), most probably because of higher microwave radiation losses with the LMP; however, the LMP applicator could be used at higher microwave powers (the waveguide-surfatron, a higher power wave launcher, was not available at that time). Using the surfatron provides a more compact system.

A HF Pumped He-Ne Laser. The He-Ne laser is classically operated with a DC discharge. In this study [112], it was pumped with a SWD in the 200-915 MHz range with a view to optimizing the laser gain as a function off. The discharge tube was in the form of aT, with a surfatron at its base; the wave power flow from the surfatron separated evenly in both arms of the T, yielding a SWD with a decreasing density on each side of the junction. This enabled one to achieve measurements over the whole plasma column length within the laser cavity, which would not be possible with the surfatron located along it.

Optimization of the laser gain required varying the He-Ne mixture composition. The average gain was found to decrease with f from 200 to 915 MHz, owing probably to an excessive electron density along the column: llmin increases with f (section 2.3.1 ). This work shows that varying the field frequency enables one to optimize gaseou..o:; lasers, which could be of particular interest with high power ion and excimer lasers.

An Argon Jon Laser. Standing surface waves are utilized to create a high plasma density (10 13-1014 cm'3) discharge in an Ar-He mixture at pressures in the 0.7-2 Torr range in fused silica tubes of typically 1.5 mm i.d. 4 mm o.d. [21]. The discharge tube is surrounded by another dielectric tube (10 mm i.d., 12 mm o.d.), which forms a cooling jacket circulating a low-loss dielectric liquid. These two tubes are coaxial to a slightly larger diameter conducting enclosure that has three circular, perpendicular to the axis, openings into it. The opening located approximately at mid axial position is actually the launching gap of a waveguide-surfatron. The other two gaps, situated at each extremity of the tube, are

Page 66: Advanced Technologies Based on Wave and Beam Generated Plasmas

52

interstices of re-entrant cavities of adjustable axial length. These cavities are used as tunable reflecting planes for setting the standing wave pattern for optimum laser operation. The laser cavity length is approximately 70 em. Microwave power at 2.45 GHz can be varied in the 0.2-1.5 kW range. Laser power of more than 25 mW (long pulse conditions) was measured at 0.85 Torr.

4.4. DETOXIFICATION OF GASES DETRIMENTAL TO THE ENVIRONMENT

Among the various methods that can be used to abate effluents detrimental to man and his environment, plasma remediation is in a good position for some specific tasks. SWDs are being used for the transformation of volatile organic compounds (VOCs), detrimental to health (e.g. causing cancers), and molecules utilized in the micro-electronics industries such as SF6 and CzF6, which strongly contribute to the green house effect. Partial results have been presented at conferences by our Group, awaiting patent issuance for full publication. Three articles on SWD abatement stem from the work done by the research team of Professor Bevan at Texas A&M University, initially in collaboration with us. It concerns reduced gas pressure remediation. The first article [ 113] deals with the conversion of acetone in an oxygen discharge in the 1-10 Torr pressure range. A Ro-box launcher was used to excite the surface wave at 40 MHz with powers between 220 and 340 W in Pyrex tubes of 10.5 and 22 mm i.d .. Conversion efficiencies approaching 100% were achieved at few tens of millilitre/min acetone flows with millisecond-range residence time. The process did not require the addition of expensive rare gases (e.g. argon or helium) to produce a low-power, stable plasma. The possibility of sustaining a long plasma column and adjusting its length by varying the HF power to the launcher is a feature unique to SWDs, crucial in these processes. The second article [114] describes the detoxification of chlorinated hydrocarbon pollutants (Trichloroethylene) in conditions similar to those of the first article. This time, however, discharges were achieved in either air/water or oxygen, showing that there is no inherent disadvantage in using air as the carrier gas. An important result is that trichloroethylene conversion was limited to light gases, primarily C02, CO, HCl and C]z. This is believed to result from the relatively short residence times involved, which are likely to limit the number of slow multistep mechanisms essential in the tormation of nonparent chlorohydrocarbon species, such as phosgene. This further demonstrates the interest in using SWDs where one can optimize the plasma column length and, thus, control residence time. The third article [ 115] describes an abatement system based on a surfaguide operated at 2.45 GHz in a 45 mm i.d. fused silica tube. The discharge tube is enclosed in a larger fused silica tube to achieve compressed air cooling. The gas to be abated is C2F6, a typical perfluoro-compound (PFC), which is introduced at a flow rate of 1 1/min under a total reactor gas flow of 10.6 1/min at pressures in the 11.3-43 .6 Torr range. The carrier gases were oxygen and natural gas, the latter providing hydrogen to minimize the formation of CF4- Destruction and removal efficiencies of up to 99.6% were achieved when utilizing 2 kW of microwave power. The process yields only simple, low molecular weight by-products that are, according to the authors, either harmless (C02, CO, H20) or easily scrubbed and neutralized products (HF and COFz). These characteristics make SWDs an attractive alternative to thermal incinerators for gases emanating from industrial processes such as semiconductor fabrication.

Page 67: Advanced Technologies Based on Wave and Beam Generated Plasmas

53

4.5. FILM DEPOSITION

4.5. 1. Amorphous Silicon Films Amorphous hydrogenated silicon (a-Si:H), such as for making low-cost solar cells, has been prepared in Ar-Si!L mixtures using two different deposition systems [116], a large­volume microwave plasma (LMP) apparatus [9] and a surface-wave (surfatron) system [36], both operated at 2.45 GHz in the 0.1-0.3 Torr range. The SWD deposition system is akin (actually its ancestor) to the arrangement described in section 3.3.3 for diamond coating. Deposition with the SWD gives rise to device-grade a-Si:H, as demonstrated by Schottky cell efficiencies exceeding 3%. In spite of nominally identical fabrication conditions, the films obtained with the LMP system generally display mediocre electro­optical properties. This is related with the film morphology: the LMP system yields a strongly-developed columnar growth structure perpendicular to the substrate surface whereas the SWD provides a much finer (:::::, 500-A diameter) column size. At least two explanations can be proposed for this phenomenon: the detrimental action of residual microwave energy on the film growth with the LMP system and the positive effect of the ponderomotive force, proportional to V I E 12, at the plasma hemisphere boundary in the SWD reactor chamber. The ponderomotive force results from the fact that microwave energy is fully absorbed within the plasma, inducing a very large gradient of electric field intensity across its boundary. This force is believed to accelerate ions (through a space charge effect with electrons), thereby yielding better quality films. This explanation would need to be further investigated owing to the actual distance from plasma to substrate, 20 mm, versus an ion mean free path of approximately 1 mm.

4.5.2. Diamond Films Diamond-film deposition is of great interest for optical applications. It provides freestanding films as windows in, for example, x-ray lithography, and ensures a protective coating of optical components against wear and chemical degradation. The essential requirements for such applications is high optical transparency and, additionally for coatings, a strong adhesion to the substrate.

Polycrystalline diamond films can be obtained in microwave sustained discharges in H2-CIL gas mixtures containing typically 1% CIL, at pressures in the 10-80 Torr range, through chemical vapor deposition (CVD). The SWD diamond deposition reactor described in section 3.3.3 [87] not only provides very high quality polycrystalline diamond films, but also enables one to proceed to parametric studies which could not be achieved with the other microwave plasma systems. This unique situation stems from the following facts [ 117, 118]: 1) the position of the substrate can be adjusted very precisely and independently with respect to the convex-shaped plasma boundary; 2) microwave absorption by plasma is complete, hence there is no residual microwave power to heat up the substrate, whose temperature can therefore be set independently (through a resistor) above a minimum temperature determined by plasma contact; for example, this minimum temperature is 580° Cat 1.8 kW (97 W/cm3) and 10 Torr; 3) power density higher than in classical diamond deposition systems can be achieved for given microwave power and gas conditions: for example, for I kW total power at 2.45 GHz, the bell-jar systems yields 30 W/cm3 while it is 54 W/cm3 for our SWD system.

Page 68: Advanced Technologies Based on Wave and Beam Generated Plasmas

54

This SWD configuration has enabled our Group to show that: 1) the average surface roughness R.. decreases with increasing density of power absorbed in the plasma, leading to the lowest R., value ever reported on Si (typically 5 run) [118]; 2) adhesion, under our conditions, increases with decreasing R., [119]; 3) the crystallographic orientation of the Si substrate influences adhesion, which increases with nucleation density, not with crystallite size, which in tum depends on H-atom etching during the first moments of diamond deposition [119]. In addition, we have deposited diamond films with high optical transparency and good adhesion on fused silica windows [120). Finally, we have built an up-scaled version of the reactor by lowering its operating frequency from 2450 to 915 MHz [121].

4. 5. 3. Polymer and Other Films The surface-wave plasma technology has been used to investigate effects of varying f over the 12-2450 MHz range when depositing hydrocarbon and fluorocarbon polymer films [122,123). The experiment allowed to quantity the plasma volume and thus determine the density of power absorbed into the plasma. The argon and monomer flow rates were 10 and 3 seem, respectively, the monomer being introduced from behind the substrate surface. By raising the gas pressure from 50 to 200 mTorr and decreasing ffrom 400 to 12 MHz, it was possible to vary v/ro from 0.1 to 4 to affect the EEDF, provided it is not Maxwellian. Plot of the deposition rate of isobutylene normalized to power, RIP, as a function off displays two plateaus, one at low frequency (~ 30 MHz), the other at high frequency (2 100 MHz), with a factor of 5 between them. In the case of pertluorocyclobutane, there is a

plateau for f2 100 MHz but RIP still appears to be decreasing at the lowest frequency (12 MHz) investigated. The ratio of the plateau value to the 12-MHz value is approximately 3. This phenomenon is attributed to changes in the EEDF with f. General practical conclusions can be formulated from these results: the best deposition efficiency being obtained already at f= 100 MHz, raising fto 2450 MHz would provide a higher deposition rate but under higher power density conditions (recall that llmin increases with f), which means a higher gas temperature and often powder formation in the gaseous phase. With these results at hand, one can build a more appropriate (in terms of substrate configuration) HF plasma reactor, not necessarily a SWD, knowing that it should be operated at f2 100 MHz for the monomers examined.

The SLAN system has been employed for the deposition of scratch-resistant optical­grade films such as required on ophthalmic glasses, automobile reflectors and windshields [124]. The aim of this work was the characterization and selection of industrially oriented processes suitable for large-area deposition. Argon and oxygen are used as carrier gas and hexamethyldisiloxane (HMDSO) is the monomer; typical gas pressure is 0.8 mbar. It is not clear whether the system operates in the low density mode or as a SWD (section 3.3.1 ). An important point examined is whether one should use direct plasma polymerization (DPP) or remote plasma polymerization (RPP) [ 125). The difficulty with DPP is the eventual thermal damage to the substrate and the deposition of polymer on dielectric windows (decrease in power coupling, poor reproducibility) while RPP yields lower, sometimes much lower, deposition rates. For the DPP process, both the carrier gas and monomer are introduced into the plasma chamber through a common manifold while, for RPP, the monomer is introduced above the substrate holder. High quality films can be deposited

Page 69: Advanced Technologies Based on Wave and Beam Generated Plasmas

55

with DPP, provided the substrate is close (less than 20 mm) to the applicator. which limits

the microwave power that can be used. With RPP. deposition over a broad range of

substrate positioning (300 mm) is possible, and much higher microwave power can be and

must be used, not only to compensate for a lower deposition rate but to make sure enough

atomic oxygen takes part in the polymerization process for ensuring good quality films.

Substrates up to 15 em in diameter were coated with high-quality scratch resistant films at

deposition rates up to 0.5 ~min with 2 kW (maximum generator power). This system

possesses inherent flexibility and stability as well as a high power handling capability to

yield high deposition rates as required for the viability of industrial processes. Preliminary

results with DPP at atmospheric pressure have also been reported [126]. Deposition of silicon oxycarbide thin films has been realized [127] with the SWD

device of Bernard and Friquet (section 3.2.3) It was achieved with a mixture of

methyltrichlorosilane, helium and hydrogen at a pressure of 0.5 mbar with 500 W. The

substrate was in contact with plasma. Remote SWD plasma was also used for the deposition of silicon nitride films

[128,129].

4.6. ETCHING AND ASHING

4. 6.1 . Etching of Polymers The discharge tube is made of a small (30 mm o.d.) diameter tubular section, fitting the

launcher aperture and connecting smoothly, to avoid wave reflection, to a large (130 mm

o.d.) diameter section where the 76 mm (3") wafer to be processed is located

perpendicularly to the tube axis [130,25]. This SWD arrangement was utilized to

determine the influence, upon the etch rate of polyimide, of the plasma stimulating

frequency over the 13.56 to 2450 MHz range. A mixture of 0 2 and CF4 of variable

concentrations was used at 0.2 Torr. This experiment showed that the etching ofpolyimide,

under these tube diameter and pressure conditions, is the most efficient (etch rate per watt)

at 50 MHz, the worst efficiency being reached at 2450 MHz \\-here, additionally, the gas

temperature was the highest. It is believed that such a frequency optimization technique

could be used with various materials and applied to design more adequate HF discharge,

not necessarily SWD, etching reactors.

4. 6. 2. Etching ofMeta/s Over the last two decades, a variety of "high density" plasma reactors have been developed

for sub-micrometer patterning. They are operated at pressures typically in the 0.1-10 mTorr

range, thereby providing high ion-to-neutral density ratios as required for ion-assisted

plasma etching. The plasma sources of these reactors include ECR, TCP and helicon

discharges. SWDs can also be employed in the same context, as shown by the reactor that

we have designed and characterized [ 131]. In this arrangement, plasma is sustained in a

fused silica tube of 150 mm diameter and diffuses into a larger diameter, 280 mm,

stainless-steel chamber. The plasma of both the source region and the reactor chamber can

be confmed by a uniform, axially directed, static magnetic field. The plasma source,

initially designed to work at 2.45 GHz under ECR conditions (Bo = 875 G) with an

oversize waveguide-surfatron, was recently operated at 200 MHz with a Ro-box launcher

Page 70: Advanced Technologies Based on Wave and Beam Generated Plasmas

56

[51]. Because the ratio v/ro is much larger at 200 MHz, it is then possible to sustain a SWD with Bo = 0 even at pressures below 5 mTorr, in contrast to the case off= 2.45 GHz. By varying Bo over the 0-1000 G range, we were able to perform a parametric study of the influence ofB0 on the plasma characteristics and etching performance.

Tungsten anisotropic etching was investigated in this reactor with SF6 at 2.45 GHz under ECR conditions [132]. By lowering the substrate temperature T, down to -45° C, it was possible to achieve perfect anisotropy etching, under microscopic uniformity conditions, of 0.2 !-ll11 features without biasing the substrate. Anisotropy was found to depend both on T, and p: the higher the pressure, the lower the threshold T, for anisotropy. A surface diffusion model was proposed to account for these results.

Using the same reactor with argon at 200 MHz, the etching of platinum was investigated by Professor Chaker and collaborators. In this case, platinum is sputtered­etched by RF biasing the substrate to approximately -150 V. At p = 1 mTorr, the etch rate was found to be a function of both the ion density, whatever the way of obtaining it, and the RF self-biasing. Using bias voltage lower than -150 V and no plasma chemistry, fence­free 0.5 ~un Pt trenches, 0.6 !-ll11 thick features with etch rates (up to - 2500 A/min) ten times higher than in RIE reactors have been achieved, with a good selectivity over resist and good Pt sidewall angle (75°). These results, which have been obtained without any specific process optimization, are already superior to those reported using other HF systems.

Based on the SWD system of Komachi and Kobayashi (section 3.2.2), Surnitomo Metals is currently selling a Plasma etcher (SW 4000) for the anisotropic etching of features at the 0.12 !-ll111evel over silicon oxide films 12" in dian1eter.

4.6.3. Stripping (.4shing), Cleaning and Surface Modification A tubular SWD reactor based on a surfaguide launcher was used at 2.45 GHz for photoresist stripping [133]. The discharge was achieved in a 38 mm o.d. fused silica tube increasing conically to reach a diameter of I 00 mm at the substrate location. An Ar-02 gas mixture was used at an optinmm pressure of 20 mTorr. Oxidation of the resist molecules by oxygen atoms is reported to be the main stripping agent. Estimation of the electrical properties of CMOS capacitors submitted to this plasma stripping is also given.

The SWD system of Kimura, Yoshida and Mizuguchi (section 3.2.3) has been used for photoresist stripping directly in the oxygen plasma (50-I 00 mTorr) (70]. The distance between the dielectric flat surface and the wafer was 30 mm. The 0 2 gas t1ow rate was 100 seem and the 5" substrate was not heated externally. Uniforn1 and high rate ashing (0.6 ~min) is reported.

Resist ashing has been investigated with the Komachi and Kobayashi system (section 3.2.2) (134]. The discharge takes place at 2.45 GHz under pulsed mode, in pure oxygen at a pressure- 130 Pa (1 Torr). The process is achieved in the flowing afterglow to avoid device damages caused by charged particles, through ion bombardment and charging. A conducting shower head is placed inlmediately beneath the SWD dielectric line to prevent charged particles from leaving the discharge area. An ashing rate of 3.2 ~min is reported for a 5" wafer heated to 230° C. This arrangement is the basis of Sumitomo Metal SWD asher (helios series).

Page 71: Advanced Technologies Based on Wave and Beam Generated Plasmas

57

The SLAN II system, which calls for a 67 em diameter fused silica tube, is utilized for large area plasma cleaning, using a pure oxygen plasma sustained at 2.45 GHz with 4 kW [135, 136]. The aim is to remove lubricant films from substrates of glass and stainless steel (81 ). Removal rates up to 2.2 J.lffilmin were measured, decreasing asp is raised from 5 to 50 Pa. A variation in the removal rate of less than 20% can be achieved over a 40 em diameter surface. These variations seem connected with the standing wave pattern existing in the process chamber, not with the ion distribution. The presence of residual microwave field suggests that the discharge is sustained by direct radiation from the field applicator, and not as a SWD where HF power absorption is, as a rule, complete. Remote processing was therefore envisaged. Later on, a "remote cage" was added to separate the discharge from the afterglow plasma in the process chamber, suppressing the local heating of the substrates.

The SLAN I system ( 16 em tube diameter) is used with argon and a small admixture of 0 2 to modify the contact angle on PTFE samples [137). The gas pressure is varied from 0.5 mbar to I bar, the contact angle varying from I 0-25° to 60-80°. Processing time is approximately 20 seconds, whatever p. Processing at atmospheric pressure has a definite advantage owing to the reduction in processing time and cost.

4.7. ELEMENTAL ANALYSIS THROUGH ATOMIC SPECTROSCOPY

Plasma can be used etliciently as a detector for elemental analysis, the activated atomic species being monitored mainly tlu·ough optical emission and absorption spectroscopy [31, 138). Compared to thermal (L TE) plasmas, the possible use of microwave-sustained plasmas for this purpose is not as broad, owing to their lower enthalpy, and seems essentially limited to gas phase sample analysis, above all for gas chromatography (for recent reviews, see [139,140)). Given the discharge conditions, all microwave plasmas have the same properties whatever the means of applying the microwave field to the discharge. The advantages of S WDs over other microwave plasmas can be summarized as follows: 1) SWDs are the most flexible HF discharges. For example, varying their operating fi·equency and using various tube shapes and dimensions enables one to optimize the discharge parameters for the best analytical perfonnance under various practical conditions. 2) Impedance matching of SWDs can be made very little sensitive to discharge conditions, ensuring stability of operation and restm1ing reproducibility. This feature is not fmmd with most resonant cavities, unless they are operated in the SWD mode, which can be the case, for example, v.ith the TMo10 (Becnakker) cavity under large enough power density absorbed in the discharge [ 141, 142]. 3) The possibility of adjusting the length of the plasma colwm1, hence the residence time of samples, is a useful property of SWDs.

An exhaustive comparative study [143] shows that " the surfatron possesses a number of advantages over other kinds of microwave-induced plasma devices" \vhenever it comes to using microwave discharges, within dielectric tubes, for elemental analysis. Therefore, '·Everybody goes surfatron'' , as stated some years ago in a conference presentation by Professor G. Hieftje.

Page 72: Advanced Technologies Based on Wave and Beam Generated Plasmas

58

5. Conclusion

Surface-wave sustained discharges constitute a distinct class of HF discharges, having unique inherent properties, which are now attracting the attention of the scientific community. These discharges have been intensively investigated, modeled and applied in various fi elds. Over the last years, numerous new SWD based-devices, mostly originating from Japan, have come up, which are better suited to applications requiring plasma processing over large surfaces or within large volumes of plasma. Applications of SWDs have recently increased rapidly, covering a larger and larger range of activities. Finally, the better tmderstanding of HF discharges in general, thanks to the work done on SWDs, is a remarkable contribution to the growing use ofHF plasmas in science and industry. Clearly, there is still room tor ti.Irther im1ovative research on SWDs.

Acknowleczgments We are indebted to all those that provided useful infom1ation and helped us prepming this review, in pm1icular Professor A. Shivarova (Sofia University), Dr. I. Ghanashev (Nagoya University). Dr. D. Keroack (Universite de Montreal) and L-P Masse (Universite de Montreal).

6. References

[1] Tuma, Dl (1970) .A quiet uniform microwave gas discharge for lasers. Rev. Sci. lnstrum. 41, 1519· 1520 [2J Mo1san, r>l, Beaudry. C. and Leprinre. P (I 97~) t\ new Iff dev1ce for the production of long plasma columns at a h1gh electron dcnsny, Pln·s. 1.1!11. SOA, I 25-126

[3] Moisan, M., Leprince, l'h .. Be<Judry, C. and Bloyet E ( 1975) Dev1ccs <Jnd methods of using HF waves to energize a column of gas enc losed in an insulating casing, United States patent 4,043 ,940 (filed Oct. 30, 1975, issued Sept 20 1977).

[4] Mo1san, M., Beaudry, C, and Leprince, P, (1975) A small microwave plasma source for long plasma column production w1thou! magnetic field , IEEE Trans. Plasma Sci., PS-3, 55-59. [5] Zakrzewski, Z . Moisan, M, Glaude, V M M , Beaudry, C, and Leprince, P (1977) Attenuation of a surface wave in an unrnagnetized R F plasma column, Plasma l'hys. 19. 77-83.

[6) Moisan. M . and Zakrzewski. Z ( 1986) Plasmas sustained by surface waves at microwave and RF frequencies experimental investigation and applications, Radtattve Processes tn Discharge Plasma, J.M. Proud and LH Luessen (eds), NATO ASI Series B. vol 149,381-430. [7) Zakrzewsk i. Z and Moisan , 'VI ( 1905) Plasma sources using long linear field apphrators main features, dasstf1cation and modeling, f'las111a Sources Su li!chnol 4, :l79-35i . [8] Sauve, G. Moisan. \I . Zakrzewski . I. . and Bishop. CA ( 1995) Sustmning long linear umfom1 plasmas with microwaves usmg a leakv-wavc (troughguidc) field applicator. lf.:IOF Tram. Ant. Pmpag 43, 248-256.

[9] Bosisto, R. G. We1ss!loch, C F .. <Jnd Wertheimer. M.R . (I 072) The large volume microwave plasma generator (LMP) : a new tool for researd1 and industnal processing. J Microw l 'oll'er 7. 325-346. [I 0] Margot-Chaker, J. Moisan. M. , Chaker. l\l . Glaude, V M M . l.auquc, P . Paraszczak, .1. , and Sauve, G ( 1989) Tube diameter and wave frequency lnnllat1ons when us1 ng the electromagnetic surface wave in the m~ 1 (dipolar) mode to sustam a plasma column. J Appl. !'Ins. 66, 4134-4148. [II) Peres. I . Da ll mre, r\ , Jones. P. and \1argol , J ( 1997) Dependence of the emission charactenstics of magnenzed surface-wave plasmas on the azunuth<JI configuratiOn of the wave tiel d. J Appl. Phys. 82, 4211-~218

[ 12] Ferreira, C l\1 ( 19S')J A bas1c self-contained model of a plasma column sustamed by a weakly damped surface wave. J Phys. f)· Appl. Phys. 22 , 705 -708.

Page 73: Advanced Technologies Based on Wave and Beam Generated Plasmas

59

[131 Moisan, M. and Zakrzewskt, Z, ( 1991) Plasma sources based on the propagation of electromagnetic

surface waves. J Phys D · App/, Phys. 24, I 025-1048 [141 Zakrzewski , z (1983) Conditions of existence and axial structure of long microwave discharges sustained by traveltng waves, J f'hys . D. App/, Phys. 16, 171- I 80 11 5] Chaker, M. and Motsan, M (I 985) Largc-dtametcr plasma columns produced by surface waves at radio and microwave frequencies , J Appl. I'hys . 57, 91-95 . [16] Shivarova. A. ( 19'J2) Non-linear surface modes, 111 Spatwl !J1.,pers10n 111 Solids and Plasmas, P. Halevi

(ed), Elsevier, 559-616. [ 17] Aliev, Yu M., Ivanov a, K.M , Moisan, M . and Shivarova, A. P. ( 1993) Analytical expressions for the ax1al structure of surface wave sustamed plasmas under various regimes of charged part1cles loss, Plasma

Sources Sci. Techno/ 2, I 45-152 [ 18] Ferreira. C.M . and Mo1san, tvl. ( 1988) The similarity laws for the maintenance field and the absorbed power per electron in low-pressure surface wave produced plasmas and the1r extension to HF plasmas in general, Phys1ca Scnpta 38, 382-399 [ 19] Margot. J. Moisan, M , and Fortin, M. ( 19951 The power required to mamtain an electron in a discharge its use as a reference parameter in magnetized h1gh frequency plasmas, J. Vac . .'>'CI. Techno/. AlJ, 2890-2899. [20] Moisan, M , Chaker, M, Zakrzewski, Z., and Paraszczak. J. ( 1987) The waveguide-surfatron: a high power surface-wave launcher to sustain large-diameter dense plasma columns, J. Phys. E: Sci. lnstrum. 20,

1356-1361. [21] Lepnnce, P, Marec, J., Saada, S. , Bloyet , E, and Migne, J. (1985) Dispositif pour !'excitation par ondes hyperfrequences d'un plasma dans une colonne de gaz, pcnnettant notamment Ia realisation d'un laser

10ntque. European patent 0197843 [22[ Mo1san. !\.1 , Zakrzewski. Z .. Pantel. R, and Leprince. P t 1984) A waveguide-based launcher to sustain long plasma columns through the propagatiOn of an dectromagnetic surface wave, IEEE Trans . Plasma Sc1.

PS-12. 203-213. [23] Vikharev. AL . Ivanov, 0 A. and Kol1sko, A.L. ( l'l96) Effic1ent surface wave launcher in the m11111neter range, Tech. Ph,1:s . [,elf. 22 832-834 [24] Winkler. R (19'l3) Collis1on dominated electron k1netics 111 low and high frequency fields, in Microwave /J1scharges: Fundamentals and Applications. C.M . Ferre1ra and M. Moisan (eds), Plenum, Nr\TO AS! Series B Physics vol. 302 . 339-357 [25] Moisan, M, Barbeau, C., Ferreira. C.M., Margot, J, Paraszczak. J., Sa, A.B., Sauve, G, and Wertheimer, M.R (1991) Rad1o frequency or microwave plasma reactorsry Factors detem1ining the optimum frequency of operation. J. Vac. Sn B9, ll-25 . [26) Ferreira, C M and Moisan , l'vl ( 1992) Kinetic modelmg nf nuuowave discharges influence of the discharge stimulating frrequency, 111 M1crowaw ExCI!ed Plasmas. \l Moisan and J. Pelletier (eds), Elsevier, Chap. 2. [27] Margot, l . Moisan, M. , and Ricard, A. ( 1991) Optical radiation efficiency of surface-wave-produced

plasmas as compared to DC positive columns, Appl. Spec. 45, 260-271. [281 Trivelpiece, A.W , and Gould, R.W (1959) Space charge waves in cylindrical plasma columns, J. Appl.

l'hys. 30, 1784-1793 [29] Moisan. M., Margot, J., and Zakrzewski. Z. ( 1995) Surface wave plasma sources, m High Density

1'/asma Sources. Design. Phys1cs and l'eclormance, 0 A. Popov (ed), Noyes Publtcations, 191-250. [30] Margot, J and Motsan. M. ( 1992) Surface-wave-sustained plasmas in static magnetic fields for the study of ECR dtscharge mechanisms. 111 Microwave 1:\CIIed Plasmas. tvt. Moisan and J. Pelletier (eds), Elsevter. Chap 8. [31 ] Hubert . ./. Mo1san. M . and Ricard . A. ( 1979; A new nncrowave plasma at atmospheric pressure, Speclroclum. Acta 3JB. I -I 0. [32J Korzec . D . Werner. F, W111ter. R . ~nd f':ngcmann J 11996) Scaling of microwave slot antenna (SL\N): a concept for efficient plasma generation . 1'/osmu Sourc('s Sc1 . Jt'cllllol. 5. 216-23-1 [33] Moisan. M. and Zakrzewski , Z ( 1990) Surface wave launchers to produce plasma columns and means for producmg plasma of ditTerent shapes. Un1tcd states patent no : 4.906.898 [3-1 ] Moisan. M and Zakrzewski, Z. (I 986) Surface wave discharges 111 tapered tubes, m Swjace Waves m 1'/asmas and Sollds , S Vukov1c (ed), \Vorld Sc1entif1c. 605-608

Page 74: Advanced Technologies Based on Wave and Beam Generated Plasmas

60

[35] Nonaka, S ( 1994) Proposal of non-cylmdrical and large-area RF plasma production by surface wave, J.

Phys. Soc. Jap. 63, 3185-3186. [36] Moisan. M., Zakrzewski, Z, and Pantel, R. ( 1979) The theory and characteristics of an efficient surface

wave launcher (surfatron) producing long plasma columns, J. Phys. D: App!. Phys. 12, 219-237.

[37] Nonaka, S. (1994) Very long and large-area RF plasma production by odd surface waves for online mass

production of amorphous silicon solar cells or mirrors, Jpn. J. App/. Phys. 33, 4226-4231.

[38] Zhang, XL, Dias, F M, and Ferreira, C.M. (1997) A selt~contained modelling and experimental study of surface wave produced argon discharges in a coaxial seetup with a central metallic cylinder: ll Experiment, Plasma Sources Sci. Techno!. 6, I 01-1 I 0

(39] Muegge, H. Petasch, W., Rauchle, E. and Rauchle, F. (1995) Device for generating a plasma in low

pressure container, Gennan patent No 19503205

[40] Rauchle, E ( 1997) Duo-plasmaline, a surface wave sustained linearly extended discharge, Lecture at the

3'"" InternatiOnal Workshop on MlcroH·uv" D1scharges. l,.undamemals and Applicatwns, Abbaye de Fontevraud, France, 20-25 Apnl 1997

(41] Kampmann, B. ( 1979) Generation of a steady-state overdense plasma by microwaves near the dipole

resonance of a coaxial discharge device, Z Naturforsch 34a, 423-429.

(42] Glaude, V.M.M, Moisan, M, Pantel. R. , Leprince, P., and Marec, J ( 1980) Axial electron density and

wave power distributions along a plama column sustained by the propagation of a surface microwave, J.

Appl. Phys. 51, 5693-5698. (43] Moisan, M., Ferreira, C M. Hubert, J , Margot, J . and Zakrzewski, Z ( 1996) Surface-wave sustained

plasmas· toward a better understanding of RF and microwave dtschargcs, Ill AlP Conference Proceedings 363, K.H. Becker. W.E. Carr and EE 1\.unhardt (eds), Amertcan Institute of Physics, pp 25-40

[44] Ferreira. C.M. and Loureiro. J. ( 1983) Electron energy distributions and excitation rates in high­

frequency argon discharges, J Phys. D.· Appl. Phys. 16,2471-2483

[45] Sa, A.B., Ferreira, CM., Pasquiers. S .. Boisse-Lapone. C . Leprtnce, P, and Marec, J (1991) Self­

consistent modeling of surface wave produced discharges at low pressures, J App!. Phys. 70, 4147-4158.

[46) Kortshagen, U ( 1994) Experimental evidence on the nonlocality of the electron distribution function ,

Phys. Rev. E 49, 4369-4380. (4 7] Peres, I , Fortin, M , and Margot, J ( 1996) The radial structure of a magnetically confined surface-wave

plasma column, Phys. Plasmas 3, 1754- 1769.

[48] Altev, Yu M, Maximov, A V . Kortshagen. U, Schluter, I 1., and Shrvarova, A. ( 1995) Modeling of

microwave discharges 111 the presence of plasma resonance, Phys. Rev. F. 51 , 6091-6103

(49] Dengra A. and Ballesteros. J I 1992) Surface-wave-panide interactions in a cylindrical plasma, J.

1'/asma Phys. 47. 389-399 [50] Gamero. A . Cotrino, J . Sola, A , and Colomer. V ( 1988) The electron density stabilisation process in

pulsed surface wave plasmas, J Ph;.s IJ. Appi Phys 2! , 1275-1 28 1. [51] Margot, J., Chaker, M. St-Onge, L, Tabbal , M , Aliouchouche, A , PaLma, 0, Alinot, C, and Kliagine,

C, (1997) High-frequency magnetoplasmas in electronegative gases, J Phys. IV France Colloque C4,

Supplement au Journal de Physique Ill, C4-295-305

[52] Peres, I. and Margot, J ( 1996) The power balance of a magnetically confined surface-wave plasma

column, Plasma Sources Sc1. Techno/. 5. 653-661 [53] Margot. J., Aliouchouche A . Chaker, M . Tabbal. M , Delprat, S , PaLma· 0, Benhabib, D., Johnston,

T. W , and Vidal, F. ( 1998) Dependence of the discharge power balance on the aspect-ratio and the magnetic field intensity in htgh-dens ity plasmas, Submitted to J App!. Phys. (July 1998). [54] Vidal, F. Margot. J , Johnston, T.W , Chaker, M , and Pauna, 0 . ( 1998) A simple 2-d model of the

plasma structure and electron power balance in a high-density plasma. Submitted to J. Vac. Sci. Techno/. A

(August 1998) [55] Dengra, A. ( 1997) Surface-wave-particle interactions in a cylindrical plasma submitted to a static

magnetic field, Phys. Plasmas 4. 4195-4200. [56] Marec, J , Bloyer, E , Chaker, M, Leprince, P . and Nghiem, P ( 1983) Microwave discharges, in Flectrical Hreakdoun and D1scharges m Gases, E. E. Kunhardt and LH. Luessen (eds), Plenum, NATO AS!

Series B Physics, voL 89b, 347-382. [57] Ferreira, C. M. ( 1986) Plasmas sustained by surface waves at radio and microwve frequencies: basic

processes and modeling, m Radw!lve Processes m IJrsclwrge Plasmas, J.M. Proud and L.H. Luessen (eds),

Page 75: Advanced Technologies Based on Wave and Beam Generated Plasmas

61

Plenum, NATO AS! Series B: Physics, vol. 149, 431-466. [58] Zhelyazkov, I. and Atanassov, V (1995) Axial structure of low-pressure high-frequency discharges

sustained by travelling electromagnetic surface waves, Physics Repor1s 255, 82-201 . (59] Shinohara, S. (1997) Propagating wave characteristics for plasma production in plasma processing field,

Jpn J Appl. Phys. 36, 4695-4703. [60] Microwave Oischarges: Fundamentals and Appiicanom (1993), C.M. Ferreira and M. Moisan (eds),

Plenum, NATO AS! Series B Physics, vol. 302 [61] Plasma Processmg ofSemiconduclors (1997) P F Williams (ed), Kluwer, NATO ASI Series E. Applied

Sciences, vol. 336. [62] Special issue arranged by Professor H. Sugai ( 1996) J Plasma Fusion Research 72, 621-662. [63] Proceedings of the 151h Symposium on Plasma Processing (1998) S Miyake (ed, Osaka University).

(64] Sugai, H., Ghanashev, !., and Nagatsu, M. (1998) High-density flat plasma production based on surface

waves, Plasma Sources Sci. Techno/. 7, 192-205. [65] Komachi, K. and Kobayashi, S ( 1989) Generation of a microwave plasma using traveling waves, J

Microwave Power Electromagn. t'nergy 24, 140-149. (66] Komachi, K. (1994) Electric field in surface-wave-produced plasmas, J Vac. Sci. Techno/. Al2, 769-

771. (67] Walter, M., Korzec, D, Meier, A, Decker, A, Hlitten, H.-M., and Engemann, J. (1997) Electromagnetic

field simulation for planar microwave plasma source of slot antenna type, Reports of the Institute of Fluid

Science, 10, 205-216. [68] Bernard, J. (1994) Dispositif permettant de cn!er un plasma de grand volume a partir d'une excitation

microonde, french patent No 2694474. [69] Friquet, 0 (1991) Etude et caracterisation d'un dispositif it plasma microonde entretenu par des ondes

de surface excitees le long d'un dielectrique plan application au depot d'oxycarbure de silicium, these de doctoral, Ecole Nationale Superieure de I'Aeronautique et de l'Espace, Toulouse. [70] Kimura, T , Yoshida, Y, and M1zuguchi , S.-1. (1995) Generation of a surface-wave-enhanced plasma

using coaxial-type open-ended dielectric cavity, Jpn. J Appl. Phys. 34, L I 076-1078. [71] Yoshida, Y (1998) Disk plasma generation using a holey-plate surface-wave structure on a coaxial

waveguide, Rev. Sci. Instrum. 69, 2032-2036 [72] Nagatsu, M, Xu, G, Yamage, M, Kanoh, M., and Sugai, H. (1996) Opt1cal emission and microwave field intensity measurements in surface wave-excited planar plasma, Jpn. J Appl. Phys. 35, L341-344. [73] Nagatsu, M., Ganashev, I., and Sugai, H. (1997) Production and control of large diameter surface wave

plasmas, Plasma Sources Sci. Techno/. 7, 230-237 [74] Sauve, G, Moisan, M., and Zakrzewski, Z. (1993) Slotted waveguide field applicator for the generation

of long uniforn1 plasmas, J Microwave Power Eleclromagn Energy 28, 123-131 [75] Odrobina, I, Kudela, J, and Kando, M. ( 1998) Characteristics of the planar plasma source sustained by

microwave power, Plasma Sources Sci. Techno/. 7, 238-243. [76] Cooperberg, D. G. and Birdsall, CK (1998) Surface wave sustained plasmas in a metal bound plasma

slab, Plasma Sources Sci. Techno/. 7, 41-53. [77] Cooperberg, OJ. and Birdsall, CK. (1998) Plasma at the edge Part I, Memorandum No. UCB!ERL

M98/31, University of California at Berkeley [78] Morita, S , Nagatsu, M., Ghanashev, I , Toyoda, N., and Sugai, H. (1998) Production of low-pressure

planar non-magnetized plasmas sustained under a dielectric-free metal-plasma interface, Jpn. J. Appl. Phys. 37, L468-L470. [79] Technical note (1994), Microwave plasma source SLAN: Pnnciple of operation and technical description, JE PlasmaConsult GrnbH, Mungstener Str.l 0, D-42285 Wuppertal [80] Werner. F , Korzec, D , and Engemann, J ( 1994) Slot antenna 2.45 GHz microwave plasma source, Plasma Sources Sci. Techno/. 3, 4 73-481. [81] Winter, R., Korzec, D., and Engemann, J (1997) Large area plasma cleaning with 26" microwave slot antenna plasma source SLAN II, Surf Coat. Techno/. 93, 134-141 . [82] Werner. F., Korzec, D., and Engemann, J ( 1996) Surface wave operation mode of the slot antenna microwave plasma source SLAN, J Vac. Sci. Techno/. A14, 3065-3070. [83] Sauve, G , Moisan, M., Grenier, R., and Zakrzewski, Z. (1995) Large diameter plasma generation using

a waveguide-based field applicator at 2.45 GHz, J Microwave Power Elec/romagn. Energy 30, 58-65.

Page 76: Advanced Technologies Based on Wave and Beam Generated Plasmas

62

I ~-1) Hlucm, E, Bechu , S , Hoisse-L~pon e, C. l..eprince , P. and Marec, J. ( 1995) Spatial investigation of a large diameter microwave plasma, .I Fhys . f)· Appl. Phvs . 28, I 529-1533 [85) Okamoto, Y ( 1991) Annular-shaped microwave-induced nitrogen plasma at atmospheric pressure for emiSSIOn spectrometry of solutions, Analyucal Sciences 7. 283-288 [86] Kaneko. E . Okamoto, T, Watanabe, S . and Okamoto, Y ( 1998) Characteristics of a large-diameter surface-wave mode 1mcrowavc-induccd plasma, .!pn. .I Appl Phys. Pt 2 37, Ll70-173 . [87] Borges, CF M, Moisan. M , and Gicq uel , A. ( 1995 ) A novel techmque for diamond film deposition using surface wave discharges, Dwmond and Rei at. ,\;Jatt!r 4, 149-154. )8Xj Pomathiod, I. , Michau, J I. , and Hamelin , M ( 1988) Design and charactenstics of SIPPI, an ion source for a long-distance SIMS analysis of the Phobos surface, Nev SCI. Ins! rum . 59, 2409-2417. [89] HaJlaoui , Y , Pomathiod, L, Margot, J., and Mo1san, M. ( 1991 ) Charactenstics of a surfatron driven ion source, Rev. SCI. Ins/rum . 62, 26 71 -26 78 [90] Yoshida, Y ( 1992 ) Production of lOll S in open-ended region of coax1al-type microwave cavity, Rev. Scz fnslrum . 63, 25665-2567 [91 j Ganashev, !.., Nagatsu, M .. , Xu , G. and Suga1, H. ( 1997) Mode jumps and hysteresis in surface-wave sustamed microwave discharges, Jpn. J. Appl. Phys . 36, 4 704-4 710 [92] Ricard , A., Oseguera-Pena, J.E ., Falk , L., Michel, H., and Gantois, M. l]990) Active species in microwave postdischarge for steel-surface nitriding, IEEE Trans. Plasma Sci. 18, 940-944. [93] Malvos , H , Miche l, H., and Ricard , A. ( 1994) Correlations between act1ve species density and iron nitride layer growth 111 Ar-N:-H: microwave post-discharges. J 1-'/zys. D Appl Phvs . 27, 1328-1332. [94] Mere!, P. Tabbal , M, Chaker, M , R1card, A , and Moisan, M. ( 1998) A nitrogen atom source from aN, high frequenc y discharge intlucnce of the applied field frequen cy, to appear in Plasma So11rces Scz. !"eclmo/. j95] Brochencux . A , Dcssaux . 0 . ( ioudmand. I' . Gcngembrc. L , Grim blot, J. Brunei , M , and Lazzaroni , K. ( 1995 ) Characten zation of n1ckel films deposited by co ld remote 111trogen plasma on acrylonitrile­butadiene-styrene copolymer, Appl. Swf Sci . 90, 47-58. [96] Tabbal, M., Mere!, P , Chaker, M., Moisa , S , Chaker, 1\1 , Ricard, A., and Moisan , M. ( 1996) X-ray photoelectron spectroscopy of carbon nitride films deposited by graphite laser ablation in a nitrogen postdischarge Appl. Phvs. Lett., 69, 1698-1700. [97 j Mere I, P , Chaker, M , Tab bal. M., and !Vlo1san, M. ( 1997) The influence of atomic nitrogen flux on the composition of carbon nitride thin films Appl !'hys. Lei/., 71 381 4-3816 [98] Boero, G , Kubischta, W , and Leprincc. P ( 1997) :". high-flow hydrogen dissociator based on a surface­wave discharge, Nuclear fnstrllment s and Mel hods 111 Phys1cs Research, A398, 157-161 [99) Dalpiaz, P F, KubJschta. W, Masoli. F., Reali, A., and Slavich, P ( 1998) Companson of deuterium and hydrogen dissociation with a surface-wave discharge dissociator and a SiO, sputtered nozzle, Nuclear fnsmunents and Methods 111 Physzcs Research, A402, 171-176. [100] Bannister, M.E. and Cecchi , JL ( 1994) Metastable argon beam source using a surface wave sustained plasma, J. Vac. Scr ·rechnol. Al2, I 06-113 . f I 01] Morison, W.D. , Tennyson, R.C., French, J.B ., Braithwaite, T., Moisan, M., and Hubert, J. (1988) Atomic oxygen studies on polymers, Proc. Founh Int. Conf. on Spacecraft Materials in Space Environment, Toulouse , France (I 02 ) Morison . W D , Tcnn!·son. R C, French , J R , RraJthwaJte, T., IV1oisan, M. , and Huben, J. ( 1988) Atomic oxygen effects on materials , Proc. NASA I 5'h Space Simulation Conf NASA CP 3015 (I 03 ] Lago, V, Schonemann, A, Buuron, A. , Lasgorceix, P., and Dudeck, M. ( 1994) Supersonic plasma jets dev1ce for TesTin g space crati matenals . Second European Symposium on aerothermodynamics for space w hides, ESTEC, Noordwyk, I !olland. [1041 Levy, I) J and 13ennan , SM . ( 1986) U111ted States patent 4 792 725 . f I 05] Levy, D. ( 1990) Surt~~ce wave discharges as fluorescent lamps. 43'd Annual Gaseous Electronics Conference, Urbana-Champaign , p.87 [106] Rowley, A.T and Whannby, D.O. (1992) Power dissipation and light generation in surface wave discharges, 6th lntemauonal Symposium on the Science and Technology of Light Sources, Budapest­Hungary, Technical University Budapest, pp. 329-331 [I 07 1 Margot, J , Moisan, M., and Ricard, A. ( 1991) Optical radiation efficiency of surface-wave-produced plasmas as compared to DC posillve columns, Appl. Spec. 45, 260-271

Page 77: Advanced Technologies Based on Wave and Beam Generated Plasmas

63

[ 1 08] Beneking, C and Andercr. P ( 1992) Radiation efficiency of Hg-Ar surface wave discharges. J. Phys. n. zs. 1470-1482. [109] Gibson , N D . Kortshagen , W. and Lawler , J.E . (1997) Investigations of the 147 nm radiative effrcrency of Xe surface wave drscharges. J Appl. Phys. 81. 1087-1092 . 11101 Bertrand. L., Gagne. JM . Mongeau, B . Lapointe. B. Conturie, Y . and Moisan, M. (1977) A continuous HF chemical laser : produuion of fluorine atoms by a microwave discharge, J Appl. Phys. 48, 224-229. (Ill] Bertrand, L. , Gagne. JM , Bosisio. R.G., and Mo1san. M. (1978) Comparison of two new microwave plasma sources for HF chemical lasers. IEEE J Quantum t'lectron. QE-14, 8-11 [112] Moutoulas , C , Moisan, M. Bertrand. L., Hubert, J , Lachambre. J.L., and Ricard, A. (1985) A high­frequency surface wave pumped He-Ne laser, Appl Phys. Lett .. 46, 323-325 [ 113) Am6, J .. Bevan . .I W, and Moisan , M. ( 1995) Acetone converswn in a low-pressure oxygen surface wave plasma, Envtron .'\'ct. Techno/.. 29. 1961-1965 . [114] Arn6. J , Bevan, J.W ., and Morsan, M (1996) Detoxication of trichloroethylene in a low-pressure surface wave plasma reactor. Envtron Sa Techno/., 30, 2427-2431 [ 115] Hartz, C.L., Bevan, J W, Jackson , M W . and Wofford. B.A. (1998) Innovative surface wave plasma reactor technique for PFC abatement, Envtron Set . Tee/mol., 32, 682-687. [116] Paquin, L., Masson, D., Wertheimer, M.R, and Moisan, M. (1985) Amorphous silicon for photovoltaics produced by new plasma-deposition techniques, Can J 1-'hys. 63, 831-837. [ 117] Borges, C.F .M., St-Onge. L., Moisan , M., and Gicquel, A . ( 1996) Influence of process parameters on dramond film CVD in a surface-wave driven microwave plasma reactor, Tlun Solid Films 274, 3-17 . [118] Borges, CF.M., t\iroldi, V.T., Corat, E.J, Motsan, M., Schelz, S , and Guay, D. (1996) Very low­roughness diamond film deposition using a surface-wave-sustained plasma, J App/. Phys . 80, 6013-6020. [II 9] Borges, C F.M., Schelz, S , Martinu, L. , and Moisan, M. (1996) Adhesion of CVD diamond films on silicon substrates of different crystallographic orientations. nwmond Rei. iv!ater 5, 1402-1406. [120] Atroldl . V.T., Borges, CF M . Moisan, M., and Guay. D. ( !997i High op11cal transparency and good adhesion of diamond films deposited on fused silica windows with a surface-wave sustained plasma, Appl. OptiCS 36, 4400-4402. [ 121] Schelz, S, Campillo, C , and Moisan, M (I 998) Characterization of diamond films deposited with a 91 5 MHz scaled-up surface-wave-sustained plasma, Dtamond Rei Mater. (to appear). [122] Claude. R., Moisan , M , and Wertheimer. M.R. (1987) Comparison of microwave and lower frequency dtscharges for plasma polymenzation, Appl. Phys. Lett. 50, 1797-1799. [\23] Claude, R., Moisan. M, \Venhenner. M.R , and Zakrzewski , Z (1987) Companson of microwave and lower-frequency dtscbarges for plasma polymerization, Plasma ( 'hem. 1'/asma Proc. 7, 451-464 . [1211 Korzt~c . D .. Traub, K., Wemer, F , and Engemann, J ( 1996) Remote deposition of scratch resistant films by use of slot antenna microwave plasma source, Thin Solidjilms 281-282 , 143-145. [ 125] Korzec , D., Theirich, D., Werner, F., Traub, K., and En gem ann, J. ( 1995) Remote and direct mrcrowave plasma deposition of HMDSO films: comparative study, Surf Coat. Techno/. 74-75, 67-74. [ 126] Gahl, A., Korzec, D., En gem ann. J. , and Voigt, J. (1997) Microwave discharge induced deposition of thin films at atmosphenc pressure , Proc. of the XII Int. Conf on Gas Discharges and their Applications, VoL I, 312-315 .

r 127] Bemard, .I and Friquet, 0 . ( \991) Elaboration de couches minces oxycarbure de silicium par plasma n11croonde , I.e Vide , Les Couches Mmces . supplement No 256. [ 128] Bitrdos, L. ,Musil, J., and Taras, P ( 1982) Differences between mcirowave and RF activation of nttrogen for the PECVD process. J fJft '~' /) App/. Phys . 15, L79-82 [ 129 j Bardos, L , Baritnkovit. H . and l3crg. S. ( !996) Microwave surfatron system for plasma processing, J Vue SCI. Teclmul A\4,474-477 . [ 130] Sauve. G., Moisan. M .. Paraszczak , and 1\erdenreiclt. . .I ( 1988 ) lntluence of the applied field frequency (27-2450 MHz) in high-frequency sustained plasmas used to etch polyunide, Appl. Phvs. Lett 53, 470-472 [I:; IJ Bounasri , F., Moisan, M. , St-Onge, L , Margot, J. , Chaker, M , Pelletier, J., El Khakani , A., and Gat, E ( ! 995) Etch characterization of a large diameter ECR process reactor supplied by a surl:1ce-wave-sustained plasma source. J Appl. Phys. 77,4030-4038 ( 1995) 1132] Bounasn. F .. Gat, E., Chaker , M., Moisan , M . Margot . J. , and Ravel. M.F. (1995) High anisotropic

Page 78: Advanced Technologies Based on Wave and Beam Generated Plasmas

64

etching ofsubmicrometer features on tungsten, J Appl. l'hJ:~. 78. 6780-6783 [133] Charlet , B and Peccoud, L (1985) Microwave plasma photoresist stnpping, Electrochem. Soc. Proc., Plasma Processmg, 85-1. 227-234 [134] Komachi, K. and Kobayashi , S ( 1990) Resist ashing using surface-wave-produced plasmas, J. M1crowave Power Electromagn r.'nergy 25, 236-240. [135] Winter. R .. , Korzec, D. and Engemann, J. (1997) Remote and direct cleaning by use ofmiccrowave plasma source SLAN II : comparative study, Surf' Coat. Techno/. 91 , 101-106. f 136] Korzec, D .. and Engemann, J ( 1997) Large area lubricant removal by use of capacitively coupled RF and slot antenna microwave plasma source, Surf Coat. Techno/. 89, 165-176. [137] Winter. R. Korzec, D., Sprang, N., Theirich, D., and Engemann, J (1995) Broad pressure range PTFE surface modification w1th slot antenna microwave discharge, Surf Coat. Techno/. 74-75, 618-624 f 138] Selby. M . Rezaaiyaan. R .. and Hieftje, G M. ( 1987) Spat1al emission properties of a surface-wave sustained plasma (surfatron) in he!Jum. Appl. Spectrosc 41. 749-761 [139] Hubert , J . Bordeleau. S. Tran. K. C. Michaud. S. Milette. B., Sing, R , Jalbert , J , Boudreau, D, 'v!o1san. M. and Margot , J ( 1996) Atomic spectroscopy with surface wave plasmas. Fresenius .!. Anal. ('hem. 355, 494-500. f 140] Croslyn, A. E., Sm1th , B v,: . and Winefordner. 1 D. ( 1997) A review of microwave plasma sources in atomic em1ssion spectrometry: literature from 19~5 to the present , Cnncal Rev. Anal. Chern, 27, 199-255. [ 141] Webster, G. H .. Doggett, W 0, and Boss, C B. ( 1992) A new surface-wave launched plasma and its application as a gas-chromatography detector, Anal. Chim. Acta 257, 309-3 I 5 [142] Lebedev, Yu. A. (1997) Some properties of an atmospheric - pressure long plasma column generated by a TMo to cavity, J. MosC0\1' f'hys . Soc. 7, 267-271 [ 143] Selby, M. and Hieftje , G. M. ( 1987) Taming the surfatron, Spectrochim. Acta 428, 285-298.

Page 79: Advanced Technologies Based on Wave and Beam Generated Plasmas

ELECTRODELESS GAS DISCHARGES FOR LIGHTING

G.G.LISTER OSRAM SYLVANIA Development Inc. 71 Cherry Hill Drive, Beverly, MA 01915, USA

1. Introduction

Gas discharges are used for a variety of lighting applications which cover a wide range of plasma parameters. Examples can be found in each of the major classifications of industrial plasmas; low pressure, non-LTE discharges (eg. fluorescent lamps); thermal or LTE plasmas (eg. HID lamps); and "non-thermal" plasmas (barrier discharge lamps). In the last decade, considerable progress has been made in the development of new fluorescent and HID lamps in which the discharges are maintained by high frequency (250kHz to 2.45 GHz) electromagnetic fields produced outside the discharge - so-called "electrodeless" lamps [1-3]. These developments, and the underlying physics on which they are based, form the basis of this paper.

Fluorescent lamps are low pressure discharges, operating at gas temperatures of 300-700 K, with electron temperatures 1-2 e V. Conventional fluorescent lamps are filled with a rare gas, typically argon at around 3 torr pressure, with a minority of mercury (typically a few millitorr). Between 60-70% of electrical power in these discharges is converted to UV radiation by mercury atoms. A phosphor is then used to convert the UV to visible light, resulting in a total conversion efficiency of electrical power to visible light of about 25%. Today, fluorescent lamps light over 50% of the building floor space in North America [2] and it has been estimated that 80% of the world's artificial light is fluorescent [4].

HID lamps operate at a few atmospheres pressure, where the majority species, or buffer gas, is usually mercury. These discharges are close to local thermal equilibrium (LTE) with both gas and electron temperatures in the range 1000 K near the wall to 6000 K in the center of the discharge. HID lamps produce light in the visible spectrum, and since the radiation from mercury is predominantly green, metal halide salts are often added to improve color rendering and color temperature (see Section 2). Typical uses of HID lamps are for roadway, projector and high quality indoor lighting.

In conventional discharge lamps, the energy required to supply the light source is provided by direct or low frequency alternating electric currents, which require electrodes within the lamp to maintain the discharge. The presence of electrodes places severe restrictions on lamp design and is a major cause of failure, limiting lamp life. The prospect of developing "electrodeless" lamps has challenged the lighting industry for more than a century, since Tesla demonstrated in 1891 [5] that light could be

65

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 65-96. @ 1999 Kluwer Academic Publishers.

Page 80: Advanced Technologies Based on Wave and Beam Generated Plasmas

66

produced in the presence of a high frequency electromagnetic field. The introduction of lamps based on the electrodeless principle for general purpose lighting has been hampered by the lack of readily available, compact, cheap and reliable driving electronics. However, in the last decade, progress in semiconductor electronics and power switching technology have made this approach commercially feasible.

Recent developments in fluorescent lamp technology have led a number of companies to introduce electrodeless products, in which the power is introduced in the discharge by inductive coupling of radio frequency power. Electrodeless HID lamps have been used for some time for such purposes as ink curing, where a high intensity of UV radiation is required, or in spectroscopy, where well defined sources of high temperature are required for atomic absorption. Both of these applications are beyond the scope of this paper. but electrodeless HID lamps for general lighting at the microwave frequency of 2.45 GHz are available [6] or under development [7] and development of inductively coupled HID lamps operating at the industrial frequency 13.56 MHz has been reported [8].

The full potential of high frequency discharges for lighting applications has yet to be realized, and a number of challenges remain if this is to be achieved. The opportunities presented by the concept of electrodeless lighting, and some of the obstacles to large scale development, will be discussed below.

Before discussing the technical aspects of electrodeless lamps, it is useful to consider a number of aspects of conventional electroded lamps. In the next three sections, the important properties of light sources are summarized, and related to commercially available electrodeless lamps. The technical aspects of electrodeless fluorescent and HID lamps are then discussed separately, and each is preceded by a discussion of the fundamental physics of these lamps. The aim of developing new light sources is to increase radiation from the discharge, often while reducing its volume, both of which are contrary to developments in discharges for plasma processing. Consequently, although the physics of these discharges is essentially that of many other plasma sources, the emphasis on the important physical processes will be different. For a detailed description of the physics of discharge lamps, the reader is referred to the classic work by Waymouth [9]. while recent technological advances in lighting are reviewed in [ 10].

2. Light as a Product

The quality of a light source can only be defined in terms of the application for which it is designed. Clearly, different demands are made on light sources for lighting in the home, the street or in shops. To assist in this regard, the lighting industry has introduced a number of definitions, some of which are summarized below.

Luminous Efficacy is a measure of how efficiently a lamp converts electrical power to visible light. It is defined in terms of the photopic response (i.e. in bright viewing conditions) of the average eye to light over the visible spectra (400 nm (blue) to 780 (red)). This eye response is maximum for green light at wavelength 555 nm, and 1 watt of radiated power at this frequency is defined as 683 lumens. The spectra of any

Page 81: Advanced Technologies Based on Wave and Beam Generated Plasmas

67

light source may thus be measured and folded with the photopic response to provide a

total lumen output for the lamp. Efficacy is the number of lumens produced per watt

(lpw) of electrical power, and a distinction should be made between discharge efficacy

and system efficacy, which includes the electronic circuit (ballast) used to run the lamp.

Correlated Color Temperature (CCT) is the temperature of the black body

whose spectra most closely represents the spectra of the light source. The color

temperature thus tells us something about the appearance of the operating lamp. It is

important for lamps of the same type to have the same color temperature, and to

maintain this color temperature over life, in order to avoid light sources in a particular

installation, such as a supermarket or shopping center, from having a different

appearance. "Natural" light generally refers to sunlight, which has a CCT of 5100 K.

The Color Rendering Index (CRI) is a measure of how well the light source

reproduces the colors of any object in comparison to a black body radiating at the same

color temperature. The CRI of a lamp is obtained by measuring the fraction of light

reflected from each of a number of surfaces of specific colors covering the visible

spectrum. Average Rated Life can only be defined in terms of the ballast on which it is

run, and the way the lamp is operated. This is estimated by controlled life tests, and the

actual definition may differ between lamp types. The most common test for HID lamps

is made by burning the lamps on a cycle of 10 hours on and 2 hours off, and the average

rated life is the time at which 50% of the lamps have failed. The effective life of the

lamp may be somewhat shorter if the luminance, color temperature or CRI have

changed significantly during that time. Depending on their intended applications, commercial light sources will have

different ratings for the above characteristics. The particular application, and the

demands of the consumer are the principal driving forces in lighting research. In the

next section, we shall examine the move toward electrodeless lighting in this context.

3. Benefits of Electrodeless Discharges for Lighting

There are a number of potential benefits to lighting to be obtained from electrodeless

operation of lamps [ 1], and many of these have been realized in commercial products,

while others are the subject of active research.

3.1. LAMP LIFE

As noted above, a major limitation on the operating life of a lamp is the presence of electrodes. Fluorescent lamps operate with thermionic cathodes; that is to say, an electron emitting material (such as barium oxide) is coated onto the electrode. The

evaporation of emitter material during life causes unsightly darkening of the end of the

lamp and results eventually in lamp failure. Conventional fluorescent lamps have rated

life of around 5,000-20,000 hrs, while electrodeless fluorescent lamps such as the Philips QL (see Section 4.2) are rated at 100,000 hrs.

Page 82: Advanced Technologies Based on Wave and Beam Generated Plasmas

68

HID lamp electrodes are principally of tungsten. The glow to arc transition during the starting phase of the lamp is accompanied by a high cathode fall. The high cathode fall enhances sputtering and evaporation of tungsten, while diffusion and convection during steady state operation may transport tungsten evaporated at the electrode to other parts of the discharge, much of which reaches the walls causing wall blackening and reduction in light output. Further, overheating of the walls due to misalignment of electrodes and leaks at glass to metal seals can shorten lamp life

3.2. LAMP DESIGN

For many general lighting applications the source of light should be unobtrusive while providing optimum illumination and there is a premium for developing smaller lamps.

Very short florescent lamps are inefficient because the energy loss due to electrodes is significant compared to energy converted to light [4]. Compact fluorescent lamps are made by folding the discharge tube, with electronics which enable them to fit into a regular incandescent lamp socket, but they have limited aesthetic appeal. Inductively coupled fluorescent lamps have been developed with shapes resembling the incandescent lamp, which they may eventually replace.

HID lamps can be very compact, since they operate in the arc mode and buffer gas pressure is sufficiently high to minimize electron losses near electrodes. Lamps for projection or optical fiber applications require approximate point sources and the proximity of the electrodes causes wall blackening due to enhanced sputtering of electrode materials. Extremely small "white light" sources with very high efficacies have been produced using microwave excitation [6,7].

3.3. CHEMICAL DOSE

The chemicals which are introduced into a lamp to produce radiation are limited to those which attack neither the electrodes nor the envelope material.

In fluorescent lamps, mercury is introduced because of its relatively high vapor pressure at room temperature and the high efficiency of converting electrical power to UV radiation. Mercury emits resonance radiation principally at 254 nm and 185 nm, and the conversion of a UV photon to a single visible photon at the maximum luminous efficacy of 555 nm reduces the usable radiation by 50% or more. Multi-photon emitting phosphors are a goal for the future. Longer wavelength UV or direct white light emitters could bring enormous benefits, but many potential candidates are highly reactive with electrode materials.

Conventional HID lamps have a complex chemical composition, and condensation of molten salts in the crevices near electrodes can lead to color variations and corrosion. Metal halides used in current HID lamps are principally iodides, but use of more volatile chlorides and fluorides can lead to the formation of stable radicals at high temperatures, with enhanced broad band emission [1].

Page 83: Advanced Technologies Based on Wave and Beam Generated Plasmas

69

3.4. ELECTRONIC CONTROL

An attractive feature of electrodeless fluorescent lamps is instant starting and restarting, similar to incandescent lamps. Unlike either incandescent or conventional fluorescent lamps, however, they perform well under rapid switching. Many electrodeless lamps can also be dimmed, without significant loss of efficiency.

4. Operating Parameters of Electrodeless Lamps

4.1. ELECTRODELESS LAMP TYPES

There are four distinct types of excitation possible for electrodeless lamps [1]. All of these have found applications in other areas of industrial plasmas, examples of which are presented elsewhere in this course. Only two of these (inductive and microwave discharges) have been successfully applied to commercial light sources, for reasons which will be discussed in the following sections.

4.1.1. Inductive or H discharges The plasma in an H discharge forms a single turn secondary to an exciter coil which

may be placed in or around the discharge. Provided sufficient power is applied to maintain the H discharge, high coupling efficiencies may be achieved at low frequencies, with the benefits of reduced electromagnetic interference (EMf) and cheaper electronics.

4.1.2. Capacitive orE discharges The simplest E discharge consists of a gas filled vessel placed between the plates of a

capacitor. For electrodeless operation, these plates are situated outside the discharge vessel. Coupling of the applicator to the discharge is principally through the sheaths next

to the electrodes, resulting in a discharge current proportional to m2 • In contrast to H

discharges, higher powers are achieved only at higher frequencies, where cost of electronics and EM/ issues are less advantageous.

4.1.3. Microwave discharges In microwave discharges, the wavelength of the electromagnetic field is comparable to the dimensions of the exciting structure and vessel. Commercially available microwave discharges for lighting are currently limited to specialist high power applications [6].

4.1.4. Traveling wave discharges The plasma in a traveling wave discharge (such as a surface wave) is created in waveguide or slow wave structures. There was considerable interest in the potential of surface waves for application to fluorescent lighting in the late 1980s but these suffered from some of the problems of capacitive discharges.(cf. Section 6.2).

Page 84: Advanced Technologies Based on Wave and Beam Generated Plasmas

70

4.2. COMMERCIAL ELECTRODELESS LAMPS

The principal features of currently available electrodeless lamps are schematically illustrated in Figures 1-4 and the most important properties (system power, life, efficacy and color rendering index R. ) of these lamps are listed in Table I. Properties of a number of conventional lamps, including incandescent lamps and electroded discharge lamps, are also listed in Table 1 for comparison. The essential operating parameters and principal applications of electrodeless lamp products are discussed below.

4.2.1. QL (Philips) The QL lamp is an electrode less fluorescent lamp which was introduced in 1991 [II] and operates on the re-entrant cavity concept introduced by Bethenod et al [ 12], illustrated schematically in Figure I. The inductive coil is placed within the re-entrant cavity and consists of a number of turns on a ferrite core. Its appearance resembles a regular incandescent bulb, although somewhat larger (the 55 W lamp has a 8.4 em diameter and is 15 em tall). From Table 1 it may be seen that the main feature of the QL lamp is its exceptional life (100000 hrs) making it ideal for situations where maintenance is difficult. Separating lamp and electronics via a shielded coaxial cable reduces heating problems and a heat pipe is used to take excess heat from the ferrite . The lamp operates at a gas pressure of a few hundred millitorr of argon and the operating frequency is 2.65 MHz, a band allowed for lighting (see Section 4.4 below).

Re-entrant cavity

RF po'Mlr supply (Genura)

To RF power supply (Qt.)

Figure 1: Schematic of QL and Genura Lamps

4.2.2. Genura (GE Lighting) Genura [I] was introduced in 1994 and also follows the reentrant cavity concept (cf. Figure 1). It is the first compact electrodeless fluorescent lamp with integrated electronics, intended as a high-efficacy direct replacement for incandescent reflector lamps. As in QL, Genura operates at 2.65 MHz, but with a gas pressure of a few hundred millitorr of krypton. In view of the application, life is not the major issue for

Page 85: Advanced Technologies Based on Wave and Beam Generated Plasmas

71

Genura, but the lamp offers a fourfold improvement in efficacy compared to the incandescent reflector lamp it replaces (cf. Table 1).

4.2.3. Everlight (Matsushita) Everlight [13] is also an electrodeless fluorescent lamp with a 4.5 em diameter bulb, introduced in 1991 in the Japanese market only. The coil is wound on the outside of the lamp (cf. Figure 2) requiring increased electromagnetic screening compared to other inductively coupled lamps, provided by a mesh screen construction outside the lamp. The lamp operates at the industrial frequency of 13.56 MHz using neon as a buffer gas to provide visible light during starting and is an incandescent replacement.

Faraday cage

RF Power Supply

Figure 2: Schematic of Everlight lamp

4.2.4. ENDURA (OSRAM SYLVANIA and OSRAM Gmbh) ENDURA (ICETRON in Northern America) is based on a concept similar to Anderson [14] and has the lowest applied frequency (250 kHz) of all available electrodeless lamps. The design of the lamp is similar to that of a toroidal device used for fusion (cf. Figure 3). The lamp forms a closed tubular loop which passes through two toroidal ferrite cores, each wound with an induction coil. The voltage in the lamp is thus induced by a closed magnetic path. The Anderson lamp was unsuccessful due to high power losses in the ferrite, but recent investigations have shown that these power losses may be significantly reduced for sufficiently high discharge currents [15] . ENDURA is thus a high power, high brightness lamp with emphasis again on life and use in inaccessible areas. The lamp is 35 em long with a discharge path of 72 em. and operates at a few hundred millitorr pressure of krypton.

Page 86: Advanced Technologies Based on Wave and Beam Generated Plasmas

72

Figure 3: Schematic of the EN DURA lamp

4.2.5. Solar 1000 (Fusion Lighting) The Solar 1000 lamp [1 ,2,6] is operated by transmitting microwave power at 2.45 GHz from a magnetron through a waveguide to the lamp, which is contained in a resonant cavity (cf. Figure 4). The lamp contains argon and a small amount of sulfur providing a very "white" light, mainly from the sulfur molecules. The concept was originally used [16] in a 5900 watt lamp, the wall power loading of 250 Wcm·2 being a factor of 10 higher than conventional HID lamps. This lamp is used, together with light pipes, to illuminate large buildings such as the National Air and Space Museum in Washington, DC. The excess heat is removed by rotating the lamp and supplementary air cooling. Solar 1000 was developed for general lighting applications and operates at 1425 watts. Due to the greatly reduced wall loading (30 Wcm·\ air cooling is not required, but the lamp must still be rotated. The bulb diameter is Jess than 3 em, and the lamp life is long, but the system is limited by the life of the electronics, currently 15000 hrs.

waveguide

to power supply and magnetron

bulb

Figure 4: Schematic of the Solar 1000 lamp

axis of rotation

Page 87: Advanced Technologies Based on Wave and Beam Generated Plasmas

TABLE I: System power, life, efficacy and color rendering index R.

electrodeless lamps and some conventional lamps.

Lamp Power Life lpw (W) (Khrs)

Electrodeless lamps QL 55 100 70

Everlight 27 37 Genura 23 10 48

EN DURA 150 60 82

Solar 1000 1425 15. >100 Conventional lamps Incandescent (R30) 75 2 12 Compact fluorescent 25 10 60 Metal halide 70 10-15 75 • For the Solar 1000 lamp and QL, life is that of electronics

4.3. DISCHARGE PROPERTIES

73

for commercially available

R.

>80

82

79

>95 >80 70-90+

All high frequency discharges currently used for lighting are "over dense" [17]- that is

to say, the applied frequency m is smaller than the plasma frequency mP,, where

mP' = 56.4n!' 2 s·' (l)

and n,m.3is the electron density. In fluorescent lamps, typical electron densities are 1017-

1018m·3, with wpe ~ 2x1010 s·' (-3 GHz), while in HID lamps, electron densities are 1021-

1022 m·3 and wpe ~ 2x1012 s·' ( -300 GHz). Discharge lamps also operate with high

electron momentum transfer collision frequencies \1m s·'. In fluorescent lamps, de

values of \1m = \1 de are typically 108 to 109 s·' compared to 1012 to 1013 s·' in HID lamps.

For all currently available electrode less lamps \1m "'\1 de >>a; and therefore the plasma

physics of these discharges can be adequately treated by steady state de models,

modified only by the reduction in the local electric field due to the skin effect. The

collisional skin depth b, is [ 18]

(2)

In a discharge in ENDURA, b, - 10 em, while for a microwave excited mercury

discharge at 8 atmospheres, b, - 2 mm. In all discharges, there is a region near the wall

of low electron density, and therefore low conductivity, through which the field will penetrate before being attenuated by the plasma. The relation between skin depth and

discharge dimensions for different electrodeless lamps will be discussed in the appropriate sections.

Page 88: Advanced Technologies Based on Wave and Beam Generated Plasmas

74

4.4. INTERFERENCE REGULATIONS (EMf) AND SAFETY

Electrodeless lamps are required to be both safe and to avoid interference with radio communications [1]. Regulations for the control of EM! from lighting devices are set by the International Electrotechnical Commission and the main requirements for compatibility with these regulations are discussed below.

4.4.1 . Radiated Electromagnetic (EM) Disturbance Radiated electromagnetic fields from lamps are generated by plasma, coils and circuits. In principle, these fields can be screened by a conducting enclosure, but shielding of the lamp to allowable levels while maintaining acceptable light means, in practice, that operating frequencies are limited to the Industrial, Scientific and Medical (ISM) bands allocated for non communications use:

(i) 13.56, 27.12 and 40.68 MHz have the advantage that lamps operated at the industrial frequency of 13.56 MHz have the second and third harmonics also in the allowed bands. However, the permitted bandwidth is extremely small and the lamps operating at this frequency require expensive crystal control to achieve EM! compatibility.

(ii} 2.2-3.0 MHz is a band with reduced restrictions on EM/ allowed for lighting. This band has been selected by both QL and Genura, since the wide bandwidth allows relatively inexpensive electronics.

(iii} The 2.4-2.5 GHz band includes the frequency used by microwave ovens and the development of relatively low cost magnetrons for this purpose has assisted in the development of practical microwave light sources, which will be discussed in Section 8.

(iv) 915 MHz is a frequency available to lighting in the USA, but this has not been used in a commercially available light source.

4.4.2. Conducted Interference or Terminal Disturbance Voltage (TDV) Conducted interference is due to the presence of high frequency currents in the mains supply due to the rf potential of the lamp relative to ground and rf energy being fed back to the ac mains from the ballast. Currents which are induced in the lamp circuit itself (differential mode noise) are easily eliminated by including a blocking filter on the mains side of the power supply. In induction lamps, stray currents are induced by the coupling of the rf coils to the ground plane (common mode noise). This mode can be screened using a transparent conductive coating on the bulb (for re-entrant cavity lamps) or operating the lamps in a grounded screen fitting, as in Everlight, or using a bifilar winding.

4.4.3. Safety There are currently no international regulations covering safety to exposure of electromagnetic radiation, although attempts are being made to establish a European standard [1]. Guidelines issued by the International Non-Ionizing Radiation Committee [19] recommend that the level should not exceed 1 W/m2 in the range 10-400 MHz for

Page 89: Advanced Technologies Based on Wave and Beam Generated Plasmas

75

uncontrolled areas of public access, with higher limits for lower frequencies, as the wavelength becomes greater than the dimensions of the human body. All existing electrodeless lamps fall well within these limits.

5. The Physics of Fluorescent Lamps

5.1. THE GLOW DISCHARGE

The conventional (electroded) fluorescent lamp is essentially a glow discharge. Electrons emerging from the cathode are accelerated through the cathode fall into a region of relatively weak electric field, the negative glow. There is an over production of ions in the negative glow, which is compensated by a dark region of low ionization, the Faraday dark space. Following the Faraday dark space is a region of constant electric field, the positive column, which produces almost all the light in a fluorescent lamp. A bright anode glow is separated from the positive column by an anode dark space. All regions in the neighborhood of electrodes contribute to inefficient use of

power in the fluorescent lamp, but are relatively unimportant in the long lamps used for general lighting.

In Section 4.3 it was observed that the physics of high frequency discharges for lighting is adequately described by de plasma models in which the attenuation of the

electromagnetic fields into the plasma are taken into account. The absence of electrodes, however, enables fluorescent lamps to operate under conditions which would be impractical in conventional fluorescent lamps:

(i) Electrodeless lamps (cf ENDURA, QL) often operate at much higher current

densities and electrical power than would be possible in conventional lamps.

(ii) In electroded lamps, the buffer gas protects both the electrodes and the phosphor, the more severe constraint being on electrode maintenance. Electrodeless lamps can operate at lower gas pressures, giving better efficacy, particularly at high power loading. This in illustrated in Figure 5 for the case of argon buffer gas in a fluorescent lamp of internal diameter (ID=4.8 em) corresponding to a discharge in ENDURA under standard operating conditions. Results shown are from computer calculations using the models described below, but they are consistent with experimental observations for a standard fluorescent lamp. At low values of discharge current (<IA), the maximum efficacy is obtained for argon pressure between 0.5 and I torr, and the optimum pressure is even lower at higher discharge currents. Electrode maintenance in standard fluorescent lamps, however, requires a minimum buffer gas pressure of 2.5-3 torr, where efficacy is much poorer, especially for high discharge currents.

Much insight may be gained by applying the physics of the de positive column to discharges with operating parameters appropriate to the current generation of electrodeless fluorescent lamps. Numerical models of the positive column have been

Page 90: Advanced Technologies Based on Wave and Beam Generated Plasmas

76

developed over the past 40 years for lighting applications [20-25] and these have described the behavior of conventional fluorescent lamps quite well. The application of these models to electrodeless fluorescent lamps will be discussed in the following sections.

140

120

: .:.-:_-..:-.:.:.-: _-..:.: .:.:-_-:.:. : .:~ .... ~:.- -' ·

'·, 0.1 ·, ·,., 0.5

·, ·, 1.0

60 3.0

~--~--~~~~~r---~--~~~~~

0.1 10

Discharge Current (A)

Figure 5: Variation of lamp efficacy a~ a function of discharge current for argon gas pressures 0.1,0.5,1.0 and 3.0 torr (mercury pressure 7 millitorr, 10=4.8 em)

5.2. PHYSICS OF TilE POSITIVE COLUMN IN FLUORESCENT LAMPS

In the positive column, atoms and molecules are excited or ionized by collisions with electrons or other atoms and molecules and the energy absorbed in these processes is lost either by radiation (heat or light) or by diffusion of excited atoms and ions to the walls. The efficiency with which electrical power is converted to radiation depend s on a number of important processes, discussed in the following sections.

5.2.1. Collisional Processes Collisions of electrons with atoms and molecules are the dominant mechanism in fluorescent lamps. Mercury is an efficient radiator because the energy level of the first excited state for resonance radiation is 4.89 eV, about half the ionization energy of 10.4 eV. Excitation to the radiative state or neighboring metastable states thus provide channels for both radiation and two-step ionization to maintain the discharges.

Elastic collisions of electrons with atoms and ions couple the electric power to the discharge through the electrical conductivity (cf. Section 5.3) and provide gas heating, while electron-electron collisions re-distribute the electron energy and strongly influence the high energy tail of the electron energy distribution function (EEDF). Collisions between atoms and other atoms and molecules (such as chemi-ionization) can also play an important role in the energy balance.

Page 91: Advanced Technologies Based on Wave and Beam Generated Plasmas

77

5.2.2. Radiation Transport The major component of radiation in a fluorescent lamp is UV resonance radiation from

the 63P1 level with a wavelength of 254 nm. A second resonance state (61P1) emits UV

radiation at 185 nm, and there are a number of visible emissions (mainly in the blue and green) from higher excited states radiating down to lower levels. These additional lines

are particularly important in discharges at high power loading, such as QL and

ENDURA and attention must be given to the choice of phosphor to ensure optimum

efficacy and color temperature. Spectral lines emitted by atoms are broadened and shifted by three different

processes; pressure (or collisional) broadening, Doppler· broadening and natural broadening. The most important process in fluorescent lamps is Doppler broadening,

Radiation emitted at one point in the discharge may be absorbed and re-emitted many

times before reaching the walls, broadening the radial density profiles of radiating states and consequently influencing the spectral output and power balance of the lamp.

Further, the center and the wings of each spectral line are absorbed at different rates. A

detailed discussion of resonance radiation transport modeling is given in [26].

5.2.3. Ambipolar Diffusion Due to their higher mobility, electrons diffuse more rapidly to the wall than ions and the

am bipolar space charge field is established to maintain an equal radial flow of ions and

electrons. Ions are thus accelerated away from the center of the discharge, while the electron motion is retarded. In a fluorescent lamp, mercury is the minority species, but

also the most readily ionized. Consequently, the ambipolar diffusion process leads to a depletion of mercury at the center of the discharge, referred to as cataphoresis.

Modeling of ambipolar and metastable atom diffusion in fluorescent lamp models is discussed in [25].

5.3. ELECTRICAL CONDUCTIVITY

The electrical conductivity o, (Qm)"1 defines the relationship between the discharge

current and the maintenance electric field in the positive column, through the generalized Ohm's law

(3)

where j; Am-2 and E, vm-1 are the axial electron current density and maintenance

electric field and the f..le is the electron mobility

1 2e e df ( ll/2-

f..le =- 3N m, f q1 (e) ae de (4)

where N m-3 is the total atom number density, f(E) is the EEDF, normalized according

to J e 112 /(e)de =I, q, (E) is the total electron transport cross section

Page 92: Advanced Technologies Based on Wave and Beam Generated Plasmas

78

(5)

N, m·3 is the atom number density of species s, q, is the electron Coulomb scattering cross section [27]

q, = .!!._(_e_l2 ln A, In A= 23 - ..!..ln(!i.J

E 2 4KG: 2 £ 3 0 •

(6)

J E is a coefficient to include the influence of all Coulomb collisions (electron-ion and

electron-electron) on the electrical conductivity and t. is the effective electron

temperature.

2.5

2.0

1.5

0.2 0.4 0.6

p(torr)

0.8

10A

1.0

Figure 6: The ratio of electron mobility without ( J.l,o ) and with ( J.l, ) Coulomb collisions as a function of

argon gas pressure and discharge current (mercury pressure 7 mtorr, 10::4.8 em. )

The ratio of electrical conductivity in a fully ionized gas to that in a Lorentz gas was calculated from the Fokker-Planck equation by Spitzer and Harm [28], assuming the EEDF was a perturbed Maxwellian. By including the effects of diffusion across field lines due to electron-electron collisions, they obtained J E = 0.582 for a

singly ionized gas and this value was adopted in [23] and also in calculating the electrical conductivity in HID lamps [29]. The influence of Coulomb collisions on electron mobility as a function of gas pressure and discharge current is illustrated in Figure 6. For large discharge currents, Coulomb collisions are calculated to reduce the electron mobility by a factor of 2.

Page 93: Advanced Technologies Based on Wave and Beam Generated Plasmas

5.4. ELECTRON ENERGY BALANCE IN THE POSITIVE COLUMN

The electron energy balance in the positive column may be summarized by

fEz = ~od + p<l + pwall

79

(7)

where I A is the discharge current, P,oa wm·• is the power converted to radiation, P,, wm·• represents power losses due to elastic processes, which result in gas heating and Pwall wm·• represents the total power losses to the wall, including the diffusion of metastable atoms, ions and electrons to the wall.

1.0

0.8

~ 0.6 ., .t j 0.4 0 a.

0.2

radiation ·-- . .. .... .. .... __ _

wall

•••• • .. elastic

o.oL~~~--~---~---~~~==: 0.0 0.2 0.4 0.6 0.8 1.0

pressure (torr)

Figure 7: Energy balance in the positive column of a fluorescent lamp as a function of buffer gas pressure for discharge current 400 rnA (full lines) and 10 A (dashed lines), 10=3.6 em, mercury pressure 7 mtorr.

A major component of the wall losses is the energy acquired by ions as they cross the space charge sheath at the wall Vw V. For a Maxwell-Boltzmann distribution

(8)

which for discharges in Hg corresponds to Vw = 5.5t, V. However, since the EEDF has a reduced fraction of high energy electrons, equation (8) overestimates the sheath potential.

Figure 7 illustrates the difference in power balance between a conventionally operated fluorescent lamp at 400 rnA discharge current, and a discharge at 10 A, for argon pressure of 0.2 to 1 torr. In the low current discharge, the total fraction of power emitted as radiation remains fairly constant as a function of pressure, the increase in elastic losses at higher pressure being compensated by a reduction in wall losses. However, at higher current, Coulomb interactions increase significantly, due to the increased electron density and the fraction of power lost in elastic processes increases further. The fraction of power lost due to wall losses (chiefly ion flux) remains fairly

Page 94: Advanced Technologies Based on Wave and Beam Generated Plasmas

80

constant as pressure is increased, so total losses increase and efficacy is reduced. In conventional discharges, the maintenance electric field at constant current is almost independent of gas pressure, while at higher discharge currents, where two step ionization processes dominate, the maintenance field decreases and hence the total discharge power is reduced. The net result is that whilst total power lost to the wall decreases as pressure increases, the fraction of the total power remains constant.

5.5. THE ELECTRON ENERGY DISTRIBUTION FUNCTION (EEDF)

A number of positive column models [23-25] have used an approximation for the EEDF proposed by Lagushenko [23],

£

/(&)=eN exp(-J G(&')d&') 0

[ ll/2 I I c(&)

G(&)=-+ -+--2&, 4&; a(&)

where eN is a normalization constant for the EEDF.

( ) (E, I N)2 & 2 2 n, a&= +&t:q-

3q,(&) ' 'N

c(e) =fbt: ."L9oj [1 - n, l j 1

(9)

(10)

(II)

(12)

and n f "' (n0 g j I g 0 ~xp( -£ j) is the particle density for Hg atoms in electronic state j

for a Boltzmann distribution, where g i ,n1 and tie V are the statistical weight, particle

density and energy of state j (0 denoting the ground state) and q0 /E) m2 is the cross

section for electron impact excitation from the ground state to state j for electrons of energy t eV. This approximation is based on a number of assumptions [23] , the most important being

(i) Electron-electron collisions dominate all other collision processes for t ~ t 1 ,

where t 1 eV is the energy of the first excitation level, and the EEDF may be

approximated by a Maxwellian distribution in this range (ii) For t > t 1 , only excitation from the ground state and super-elastic collisions to

the ground state play a significant role in the EEDF.

The term c( t) I a( t) represents the depletion of the high energy tai I of the

EEDF. From equations (II) and (12), the deviation from the Boltzmann distribution by excited atoms reduces the high energy tail (in a typical fluorescent lamp positive

Page 95: Advanced Technologies Based on Wave and Beam Generated Plasmas

81

column, n: In i < 0.2) while an increase in the electron-electron collisions enhances the

tail. The electron density in highly loaded discharges such as ENDURA can be a few times l011 m·3 and the EEDF is approximately Maxwellian [30]. In conventional fluorescent lamps, however, the assumption that the EEDF is Maxwellian for electron energies below the first excited state is contradicted by experiments in Ar-Hg and Ne­Hg discharges [30].

An alternative approach to the Lagushenko approximation for the EEDF is the two electron group model, developed by Morgan and Vriens [31] and extended by Dakin [22]. This model assumes that the bulk electrons ( t $£1 ) may be described by an

electron temperature t:~'J , while the tail electrons ( t > £1 ) may be described by a

second electron temperature t:!2J •

An understanding of the EEDF is essential in determining the emitted radiation spectra, and the approximations used above were made because of the extra computational time required to solve the complete Boltzmann equation in conjunction with a detailed collisional-radiative model [22-25] . However, this argument no longer applies, since Boltzmann codes now run on desktop PCs in a few seconds or less. Further, the EEDF calculations to date have been based on the "local" approach, where the EEDF is assumed constant across the discharge. The influence of the local ambipolar electric field on the EEDF in low pressure discharges for lighting is not clear. The "local" theory is only strictly applicable if the electron energy relaxation length A.e << R, where

R is the discharge radius. In fluorescent lamp discharges, for elastic collisions, A.e >> R, and "non local" theory is applicable, while for inelastic collisions A.e "' R .

Non-local theory is of current interest in modeling for plasma processing (e.g. [32]), and calculations by Feokistov eta/ [33] indicate that non-local effects are significant if the product of pressure and diameter pd< 10 torr em, which is generally the case for fluorescent lamps.

5.6. NUMERICAL MODELS AND EXPERIMENTAL VALIDATION

The most thorough experimental analyses of fluorescent lamps were performed by Philips researchers [34-36] in the early 1960's. All experiments were conducted on discharges corresponding to a Tl2 lamp, so called because of its internal diameter (12/8"=3.6 em) which represented at that time the size of the conventional fluorescent lamp. Electric fields and electron temperatures and densities were measured using Langmuir probes [34], while detailed spectral analyses were used to determine the energy balance of emitted radiation [35] and the densities of the 63P metastable and radiative states [36]. Measurements were performed over a wide parameter range, for buffer gas pressures of 1-20 torr, discharge currents 0.2-1 A and mercury pressures of 0.6 to 90 mtorr, corresponding to a minimum temperature on the glass wall ("cold spot") of 10-80"C. Langmuir probe measurements were also conducted at lower buffer gas pressures, including pure mercury, at 7 mtorr mercury pressure, but unfortunately, there is no accompanying spectral data.

Page 96: Advanced Technologies Based on Wave and Beam Generated Plasmas

82

Numerical models [21-25] in which the full collisonal-radiative equations have been solved, together with the power balance outlined in section 5.4, have reproduced many of the experimental results (electric field, emitted radiation, electron density) despite some uncertainties regarding fundamental processes, particularly cross sections. Most models use the electron impact cross sections for mercury excitation and ionization obtained from swarm data by Rockwood [37]. This data is restricted to collisions with ground state atoms and must be augmented by realistic estimates of interaction with atoms in excited states. Associative ionization involving two 3P2 mercury atoms is also found to play an important role in the charged particle balance in these discharges, and there is some discussion regarding the correct choice of cross section. Vriens et al [38] estimated a value of 100 Nfrom published experimental data and this was used in most codes [22,23,25], whilst Sawada et al [39] obtained a value of 24 A2 from Boltzmann swarm calculations, and this value was adopted in [24]. Associative processes are important at low current densities [ 40] or high mercury pressures.

I : Expt I GLOMAC 16

Dakin 1.0

15 A .

o• . " . "' A

I . §13 .

A ~08 I . UJ . ~·12 . . . .

0 .7 A . 0 . 11 . . . . . •• 10

0 200 ""' 600 800 1000 0 200 ""' 600 800

l(mA) l(mA)

(a) (b)

Figure 8: Comparisons between experimental measurements and numerical calculations for Tl2 lamps as a function of discharge current a) electric field b) electron temperature

Comparisons of experimentally measured electric fields and electron temperatures with values computed by Dakin [22] and GLOMAC [25] are shown in Figure 8. The electric tield calculations agree well, but in common with other reported calculations [ e.g. 23], the computed electron temperature is consistently larger than the experimentally measured value. Results reported by Zissis et al [24] show much closer agreement to the measured values, but in that work the value for q, used in applying the Lagushenko approximation (cf. equation (II)) was a factor 4 times greater than that computed from equation (6), leading to an enhancement of the high energy tail of the EEDF [41]. This effect is compensated for in the calculation of electric field and electron density by the choice of the lower value of the associative ionization cross section. Experimental measurements of the EEDF in Hg-Ar discharges reported by Yousfi et al [42] indicate a greater depletion of the high energy tail than calculated in

Page 97: Advanced Technologies Based on Wave and Beam Generated Plasmas

83

[24]. A first approach to resolving this discrepancy is inclusion of a more accurate treatment of the EEDF in the models, as outlined in Section 5.5.

Discrepancies between experimental measurements and numerical computations of highly loaded lamps have been reported in the case of small diameter lamps [43] which exhibit many of the same properties. In that paper, there was a discrepancy of a factor 2 between the computed and measured electric fields at normal operating temperatures (40-50" C). More recent results [44] have shown reasonable agreement with experiment at these temperatures, but show strong discrepancies at lower mercury pressures.

The models described above assume the positive column to be long in comparison to the radius, and in the case of lCD fluorescent lamps, this is only appropriate for ENDURA. In other /CD lamps (QL, Genura, Everlight) geometric effects are important, but one dimensional models [45,46] have, been useful in identifying the important parameters [47]. Results of these models will be discussed in the next section.

6. Electrodeless Fluorescent Lamps

6.1. INDUCTIVELY COUPLED DISCHARGES (/CD)

/CD are finding increasing use in plasma processing applications in low pressure discharges, as is demonstrated elsewhere in this volume. The physics of these discharges is becoming much better understood and a number of numerical models have been developed for these applications (e.g. [48] and references therein). The present discussion is restricted to /CD for lighting applications.

6.1.1. Re-entrant cavity lamps In QL and Genura lamps, an insulated coil is wound several turns around a ferrite core and the application of an ac current at 2.65 MHz activates the discharge. In common with /CD for other industrial applications, the discharge starts as an E discharge, until sufficient poloidal electric field is present to create the high density H discharge required to provide adequate light output. The starting phase in these lamps lasts only a few milliseconds and is therefore effectively instant for the user [1].

The high permeability of the ferrite core increases the magnetic flux surrounding the discharge and leads to more efficient coupling, but power losses in the ferrite increase at high temperatures and electric fields. Thermal losses in QL are controlled by means of a heat pipe. The maintenance electric field can be reduced by using krypton in place of argon, but the choice of buffer gas must also be balanced by efficacy considerations.

The fundamental properties of /CD in a reentrant cavity lamp are illustrated in Figure 9a, obtained from a I D model [ 46]. The inductive coil is assumed to be infinitely long and the plasma forms the secondary loop of a transformer. The maintenance

Page 98: Advanced Technologies Based on Wave and Beam Generated Plasmas

84

electric field E8 = E0 exp(jr.a) is in the poloidal direction and the magnetic field H, is

parallel to the axis of the coil. In this case, Maxwell's equations reduce to

!!_[_!_!!_(rE0 )l - }OJf.l00",(r)E0 =0 dr r dr J (13)

Figure 9a was obtained by integrating equation (13) assuming a simple Schottky model for the electron diffusion , with zero electron density at both the reentrant and the outer wall. The skin depth corresponding to the average electron density in the discharge in

equation (2) was chosen such that 8c = oc I R =I . In contrast to conventional

fluorescent lamps, the electron density has a maximum near the center of the annular ring forming the discharge and the electrical power deposited in the discharge is concentrated near the re-entrant wall. Experimental measurements in QL lamps [49] show relatively high gas temperatures near the reentrant, leading to mercury depletion in this region.

.--.. w

~ ' i I \

I .J Ui/,'\'-··. 1 if '\,'',·.

0 f ·· ~<>::- -.. . ® • • ~ ~ w

r/R

(a)

00 Q:2 04 Q& Q8 LO r/R

(b)

Figure 9: Radial profiles of normalized electron density n,, current density j and power density W = }E,, in

lCD discharges calculated using a I D (infinite length coil) model [46] with a) imemal coils b) external coils. All quantities are normalized to their volume averaged value across the radius.

Results of a more complex I D model, including most of the physics described in Section 5, together with a solution of self consistent solution of Maxwell's equations, were presented at the Gaseous Electronics Conference in 1994 [47], but these results have yet to be published. The numerical calculations were shown to reproduce the current-voltage characteristics well over a wide range of input power, and predicted relative UV output well over a limited operating range.

Re-entrant cavity lamps typically have an electrically transparent coating (e.g. ITO) to reduce EM/, as well as the usual phosphor. Genura [I] has a further titania reflector coating on the re-entrant and neck of the bulb and the lamp has the appearance of an incandescent reflector bulb. QL has additional phosphor coating on the re-entrant, to enhance the production of visible light from UV transported to the inside of the lamp.

Page 99: Advanced Technologies Based on Wave and Beam Generated Plasmas

85

6.1 .2. Lamps with outer coils The fundamental properties of /CD in a lamp with an external coil such as Everlight are illustrated Figure 9b, obtained from a /D transformer model [45,46] similar to that described in Section 6.1.1. In this case, the electron density is peaked at the discharge axis, as in the positive column of conventional fluorescent lamps, but power deposition is now peaked towards the outer wall, due to the skin effect. Everlight is only marketed in Japan and performance data for this lamp is documented in [13] .

6.1.3. Toroidal lamps As noted earlier in this paper, low frequency operation of electrodeless lamps is attractive because of the low cost of electronics and easier restrictions on EM/. Anderson [14] was the first to demonstrate that a fluorescent lamp could be operated at low frequency (100-500 kHz), by designing a lamp based on the principle of a ring discharge, similar to that of a tokamak used in fusion research. The tube ring penetrated a ferrite core, wound with a primary winding to which rf power was applied, the ferrite ring providing the single turn secondary. The lamp required a large amount of ferrite and considerable power was dissipated in the ferrite ring, resulting in unacceptably low efficacy.

Recent research [50] has shown that ferrite losses could be minimized provided the power loading was sufficiently high. The reason for this is firstly the negative V-1 characteristic of the fluorescent lamp (Figure 8a), and secondly the scaling of ferrite losses as a steep function of core magnetic induction, and hence the discharge current /.

For a typical fluorescent lamp, V oc J-{)·3 and typical power losses in the low frequency

ferrite core Pc oc / 2·8 , such that the ratio of power in the discharge to core losses

Pd I Pc oc / 1.5 . Operation of the ENDURA lamp at 7.1 A, compared to 500 rnA in the

Anderson lamp, resulted in a factor of more than 50 gain of discharge efficiency [50]. The fundamental limit to efficiency in this lamp is the rapid increase in ferrite core losses with increasing temperature, which occurs somewhat beyond the ENDURA operating range. Since the high permeability closed magnetic core completely encloses the discharge current, coupling of rf power to the discharge is close to 100%.

6.2. SURFACE WA YES DISCHARGES (SWD)

The principles of SWD are treated extensively elsewhere in this volume [51). Considerable interest was shown by the lighting community in the late 1980s in the potential of SWD for general light sources, particularly after a patent claim [52], of a gain of 33% in efficiency compared to conventional fluorescent lamps. However, comprehensive experiments by later researchers [53,54], supported by numerical modeling [55), were unable to substantiate this claim. SWD were shown to have the same efficiency as the positive column of a fluorescent lamp, but the efficiency gain due to the absence of losses in the electrode region (2-3 W in a conventional fluorescent lamp) was offset by the losses in conversion of mains to rf power (-10-15%). VUV radiation efficiencies of -80% from SWD in Xe at low rf powers have also been reported [56].

Page 100: Advanced Technologies Based on Wave and Beam Generated Plasmas

86

The ability to dim an SWD lamp by lowering discharge power and consequently the discharge length, in direct analogue to turning down the wick of a kerosene lamp, was perceived as an attractive feature of these lamps. In practice, the axial non-uniformity of the discharge proved a disadvantage, leading to non-uniform light output. It was hoped that the non uniform radial profile of the maintenance electric field would lead to a concentration of radiating states close to the wall and a reduction in radiation trapping. However, for parameters appropriate to fluorescent lamps, the skin depth is generally large (cf. Section 4.3), leading to Bessel like density profiles [51].

A further difficulty is that the applied frequencies available in practice (excluding microwaves) are always such that v/w>l, since a minimum of electron collisionality is required in order to produce an efficient light source. SWD were considered as a potential replacement for compact fluorescent lamps and as such were required to be short. Some of the problems with non uniform light output along the discharge can be overcome by winding a coil around the discharge tube [54], or launching waves from each end of the discharge [57]. However, as discharge power was increased, the discharge reached the end of the tube and was reflected, establishing a standing wave. At this point, the discharge appeared to have a purely capacitive component, a bright glow appearing near the launcher [I]. Further attempts to increase power resulted either in increasing the capacitive losses or direct heating of the launcher itself.

Modeling of SWD is also discussed elsewhere in this volume [51]. Until recently only situations in which v/W<<I were considered, and in this case a WKB approximation is used in the wave equation to compute the self consistent axial density profile. However, this approach be-eomes invalid at higher values of v/w [58]. Some results of 2D modeling of SWD for \1 I aJ ~ 0.6 were published recently [59] showing the axial variation of the electric field, but a self consistent treatment of these discharges remains a formidable task.

6.3. CAPACITIVEL Y COUPLED DISCHARGES (CCD)

One of the first electrodeless fluorescent lamps was based on a capacitive discharge operating at 915 MHz [60], developed at GTE Sylvania and operating continuously ever since. However, commercial exploitation of this device is limited by low efficacy and the complicated microwave power supply required. Beneking [61] has analyzed the impedance and emission properties of CCD in Hg-Ar mixtures, for a range of frequencies, rf power and gas pressure. His conclusions, supported by results from a numerical model f 62], are that much of the non radiative power losses can be explained by the space-charge limited ion current across the sheath. Excitation of Ar at the sheath boundary and dielectric losses in the walls of the lamp provide additional loss mechanisms. Results confirm that CCD can compete with conventional fluorescent lamps only at relatively low power loading and high frequency (100 MHz and above).

Page 101: Advanced Technologies Based on Wave and Beam Generated Plasmas

87

7. The Physics of HID Lamps

7 .I. IMPORTANT PROCESSES IN HID LAMPS

The physics of high pressure lamps has been treated in detail in a number of texts [9,63] . A conventional electroded HID lamp operates in the arc mode. Radiation is emitted chiefly from region near the axis of the lamp, where gas temperatures are of order 5000-7000 K, depending on chemical composition. The electrical conductivity in a mercury arc reduces by more than two orders of magnitude between 6000 K and 4000 K and hence the current channel is confined to the region near the axis. The hot central region is surrounded by a colder region, the "mantle", which can act as a net absorber of radiation and plays a role in stabilizing the arc.

Whilst many of the important physical processes in HID lamps are similar to those in the positive column of a fluorescent lamp, they influence the discharge in different ways and there are also a number of additional processes to be considered. A number of numerical models have been developed to describe the energy and radiation balance in HID lamps, for which the most detailed overview is given by Dakin [64]. In the following discussion, only those processes not involving the presence of electrodes are considered.

7.1.1. Local Thermal Equilibrium (LTE) In the central region of the arc, the electron and gas temperatures are approximately equal. This does not strictly apply in the mantle, but since temperatures are too low there to provide substantial excitation, the discharge is generally considered to be in LTE with the atoms, ions and electrons conforming to a Maxwell-Boltzmann energy distribution. The distribution of the chemical components can be calculated from the thermodynamic properties of the constituents by minimizing the Gibbs free energy per unit volume [64] at each desired temperature T

(14)

where n; (T) and X ;(T) are the density and chemical potential of the i 'th species

respectively. Species densities may differ by several orders of magnitude at any given temperature, and in practice calculations are limited to the most important species in the discharge.

7.1.2. Radiation Transport In HID lamps, substantial radiation is emitted over a broad band of wavelengths, from the VUV to far infra-red, and since no phosphor is used the output of visible radiation determines the lamp efficacy. Spectral lines are strongly absorbed and broadened, principally by collisional (resonance, van der Waals, Stark) broadening and this plays a major role in the energy balance of the discharge (cf. Section 7.2 below). The principal visible mercury lines are green and the introduction of metal halides salts into the arc tube, which evaporate and dissociate to provide strong emission of other visible lines, has resulted in lamps producing high quality "white" light with good CR/. The

Page 102: Advanced Technologies Based on Wave and Beam Generated Plasmas

88

theory of radiation transport in HID lamps was reviewed by Cayless [65] and modeling and experiment has been reported inter alia by Stormberg [66].

7.1.3. Convection and Thermal Conduction Convection in HID lamps is generally small and the flow is laminar. For

sufficiently high pressure, however, flow may become turbulent [63], leading to instability and uneven light output. The balance between convection and thermal conduction near the wall of the arc tube determines the variation of surface temperature. The vapor pressure of the molten salts used to provide radiation is governed by the temperature of the "cold spot", while the maximum temperature on the wall must be sufficiently low to prevent degradation of the wall material, and hence a wall temperature which is close to isothermal is desirable. Convection flows in HID lamps have been modeled inter alia by Shyy and co-workers (e.g.[67]).

The balance of convection flows caused by thermal gradients and gravitational forces when the arc is burned horizontally causes a net upward buoyancy force at the center of the arc and bowing of the discharge, from which the arc received its name. Thus the orientation of a conventional HID lamp plays an important role in lamp performance. The electric field and discharge current in a conventional HID lamp are determined by the electrodes, whereas an extra degree of freedom is available in electrodeless operation. The design of applicators to tailor the electromagnetic fields and hence the shape of the arc provides the potential for universal operation.

7 .1.4. Ambipolar Diffusion In HID lamps, mercury is the majority species. Consequently, the ambipolar diffusion process leads to a depletion of minority species such as sodium and rare earth elements from the center of the discharge to the mantle, from whence they may be transported by convection to other parts of the lamp. This process is referred to as de-mixing, and in the case of vertically operating conventional HID lamps may result in accretion of lighter

ions such as sodium near the bottom electrode and heavy negative ions such as r near the upper electrode.

7.2. ENERGY BALANCE IN HID LAMPS

In the absence of convection, the energy balance in HID lamps is described by the Elenbaas-Heller equation

(15)

where K W/mK is the thermal conductivity of the gas and U,ad wm·1 is the net local contribution to the energy balance of the radiation (emission less absorption) or net emission coefficient. The intensity of radiation I R (v, s) of frequency \i along a line of

sights in the discharge follows the radiation transport equation [65]

d/R 1

- = c(v,s) -K (v,s)l R ds

(16)

Page 103: Advanced Technologies Based on Wave and Beam Generated Plasmas

89

where t:(l',s) and K'(l',s) are the emission and absorption coefficients respectively

of radiation at frequency v . A self consistent calculation of U,od can only be obtained

by integration of (16) for rays representing the complete spectrum of the lamp passing through each point in the discharge and over the complete solid angle. This approach was implemented in two dimensional calculations for metal halide lamps by Dakin [64], selecting the principal 200 lines. This approach is computationally intensive and a number of simplifications have been introduced to provide approximate solutions [68-70] . A major problem is the availability of spectral data - although the emission coefficient is known for many of the lines in these lamps, the absorption coefficients depend on an accurate knowledge of the spectral line shapes, which have yet to be accurately calculated for many cases of interest.

The simplest approach [68] is to assume that U,od may be replaced in

equation (15) by a simple function of temperature U rod (T) = U •mir (T)- U abs (T) ,

representing the difference between emitted and absorbed radiation. In a pure mercury arc at 2.7 bar, Zollweg et al [68] found that the absorption of radiation could be

represented by U Dhs (T) = 9.6xl07 IT wm·3, such that U(I) becomes negative (i.e is a

net source of energy) in the colder mantle. Figure 10 shows the result of a similar calculation, in which the computed temperature profile has been extended to the wall.

Temperatures profiles are compared for U abs = 0, 9.6xl07 IT and 1.8xl08 IT , i.e for

no absorption, absorption corresponding to the experimental measurements and an artificially enhanced absorption, for constant total discharge power. As absorption increases, the axial temperature is substantially reduced and the profiles become broader and radiation heating of the mantle is clearly demonstrated. The temperature profile

computed using the value Urad =9.6xl07 IT was shown to agree well with

experimental measurements to the lower temperature limit of the emission spectroscopy measurements ( 4500 K).

7000

6000 ____ _ ::.:.:: :.·!.·_

0.0 0.2 0.4 0.6 0 .8 1.0

r em

Figure 10: Computed temperature profiles for a 2.7 atmosphere Hg lamp with absorption tenns U,.,(n of

(I) 0 (2) 9.6x!O'and (3) 1.8xl0' wm·' respectively (cf. [68]).

Page 104: Advanced Technologies Based on Wave and Beam Generated Plasmas

90

8. Electrodeless HID Lamps

8.1. MICROWAVE HID LAMPS

8.1.1. Coupling of microwave power to HID lamps

Discharge vessel

6000

100 W .6 bar 5000

25 W 1 bar

I£, •ooo g f-

3000

2000

"----.. H•

""" 1000

cavity 0.0 02 o. 0.6 08 1.0

r/R

(a) (b)

Figure II: Model resonant cavity lamp [7 I] a) schematic of lamp and electromagnetic fields b) Temperature profile for 2 different microwave power and pressure conditions, ID=IO mm,.f-:2.3 GHz

The coupling of microwave power into a mercury lamp in a resonant cavity was studied theoretically and experimentally by Offremanns [71] . The system he considered is illustrated schematically in Figure II (a). In order to describe the system using a one dimensional model, he placed a lamp with large aspect ratio (length/diameter>> I) inside a cylindrical resonant cavity and excited a symmetrical T010 mode, which has electromagnetic field components E,, H 8 . For an axial electric field E, = £ 0 exp(j(a), Maxwell's equations reduce to

(17)

Equations (15) and (17) may now be solved iteratively, together with the ideal gas law, to calculate electric field, density and gas temperature profiles as a function of operating frequency, gas pressure and discharge power. Detailed results from the model and comparison with experiment are given in [71). Temperature profiles obtained from a similar calculation are illustrated in Figure 11 (b). At low (25 W) power input to the discharge, temperature profiles are peaked at the center, while at high power (100 W), temperature profiles are peaked away from the axis and towards the wall. These results

Page 105: Advanced Technologies Based on Wave and Beam Generated Plasmas

91

were qualitatively observed in the experiment, although the measured temperatures on axis were somewhat lower (100-400 K) than the calculated values. The shift of the temperature maximum away from the discharge axis with increasing power is a common observation in microwave plasma devices and is a direct result of the skin effect discussed in Section 4.3. At high powers, the electron density near the axis increases and the skin depth (equation (2)) becomes comparable to the dimensions of the discharge, effectively screening the central region from the external electromagnetic fields. Power input is thus limited to a value which does not result in damage to the containing vessel.

Waymouth [ 17) has presented results of numerical calculations relevant to the application of microwave power to the discharges studied by Zollweg et al [68] and discussed in Section 7.2 above. He found for this case that the axial gas temperatures obtained were always lower than those found for de operation, again due to the skin effect. This leads to a lower radiation efficiency for low discharge power. However, at higher powers, the heating of the gas away from the axis led to improved efficiency compared to de discharges at the same power. Further, the presence of a temperature peak closer to the wall reduces radiation trapping for that portion of light emitted in the direction of the wall, further enhancing efficiency. Waymouth also concluded that in this case the microwave discharge has a positive electric field vs. current characteristic, unlike the de case, which resembles the positive column of a glow discharge.

8.1.2. Resonant cavity lamps A number of resonant cavity configurations for lighting applications were reviewed by Waymouth [17] and there is a large patent literature on the subject, much of which is cited in that paper. As noted, the only commercial product for general lighting is the Solar 1000, which produces light largely from sulfur. Sulfur molecules have a broad continuum of radiation in the visible spectrum with very little in either the infrared or ultraviolet and it is these molecules which are presumed to provide the major source of radiation .. Molecular radiation in L TE arcs is discussed in [72]. Since sulfur is chemically reactive with most metals, it cannot be used in conventional electroded lamps [I].

8.1.3. Capacitively coupled HID lamps Lapatovich [7] reported the development of microwave lamps in slow wave structures of the type schematically illustrated in Figure 12. A helical applicator is placed at each end of the lamp, such that the applied voltages are 180" out of phase, effectively doubling the axial electric field across the lamp. The coupling between applicator and lamp is essentially capacitive and can be efficient due to the high frequency (2.45 GHz) employed. Lamps can operate at low power (35 W) and exhibit a flatter temperature profile than conventional electroded lamps, the power applied being significantly below that required for the skin effect to play a dominant role in these small (internal diameter -2 mm) discharges.

Page 106: Advanced Technologies Based on Wave and Beam Generated Plasmas

92

Lamp

Figure 12: Schematic of a capcatively coupled microwave lamp with helical applicator

8.2. INDUCTIVELY COUPLED HID LAMPS

Stewart et al [8) reported progress on an inductively coupled mercury-metal halide HID lamp, operating at 13.56 MHz, for which the operating principle are illustrated in Figure 13. In common with conventional HID lamps, the lamp operates in an outer jacket. The induction coil surrounds a section of the outer jacket and partially obscures the radiation directly emitted from the lamp, and a reflector coating is used to direct light away from the coil. The main perceived benefit of this technology is the ability to use chemical doses which would react with electrodes.

I In:irt.i.cn Cbil.

OJter Jacket

Figure 13: Schematic of an inductively coupled HID lamp

Spectral emission measurements [73) show the characteristic hot annular core in the discharge (cf. Figure 9 (a)). Clearly, this system is not amenable to the I D models developed for low pressure /CD lamps. 2D modeling of these discharges [73] shows that the resultingjxB forces induce much higher convection velocities (-40 cm/s) than in conventional lamps.

Page 107: Advanced Technologies Based on Wave and Beam Generated Plasmas

93

9. Conclusions

The introduction of electrodeless lamps into the market heralds an exciting new phase for the lighting industry. The potential for new, efficient light sources is starting to be realized, after a century of research and many of the major problems, such as EM/ and cost of electronics, have been resolved for a number of applications. The current generation of electrodeless lamps have been mainly directed towards specialist applications, such as those for which long life is a premium, but with the ever increasing developments in circuit technology and improved understanding of discharge behavior in high-frequency electromagnetic fields, the range of applications should increase and perhaps lead to a replacement for the household lamp.

Understanding of lamp discharges through diagnostics and modeling has been an active area of research for much of the century. As we have seen, application of electrodeless technology to light sources permits lamps to operate for parameters which are not possible for conventional lamps. This presents a number of exciting challenges to researchers in the endeavor to maximize the potential of these new lamps.

Acknowledgments I am indebted to David Wharmby for his guidance during my formative years in this subject and to Valery Godyak, Robert Piejak, Walter Lapatovich and David Bay for many stimulating discussions.

References I. Whannby, D.O. (1993) Electrodeless Lamps for Lighting: a review, lEE Proceedings-A 140, 485-473; Electrodeless lamps (1997) , in J .R. Coaton and A. M. Marsden (eds.) Lamps and Lighting, Arnold, London pp 216-226 2. The Lighting Research Center ( 1985) Electrodeless Lamps: The Next Generation, Lighting Futures, Vol. I , No. I (Rensselaer Polytechnic Institute, Troy, NY. USA). See also [9), p216 3. Smets, B. (1996) Induction Lighting, International Lighting Review 96/4 (Philips Lighting) 4. Abeywickrama, M.G. (1997) Fluorescent Lamps, in J .R. Coaton and A. M. Marsden (eds.) Lamps and Ughting, Arnold, London pp 194-215 5. Tesla's experiments with alternating current at high frequency (1981}, Electrical Engineer 7 549-550 6 .. Turner,B.P., Ury, M.G. , Leng, Y. and Love, W.O. (1995) Sulfur lamps- progress in their development, IES Annual Conference, Paper 87 7. Lapatovich, W.P. (1995) Novel microwave powered High Intensity Discharge lamps in R. Itani and Kamiya S. (eds) Proc. 7" Int. Symposium on t~ Science and Technology of Light Source (Tokyo, Japan) . Tokyo: Illuminating Engineering Institute of Japan pp. 139-148 8. Stewart, C.S., Arake, A., Dakin, J.T., Duffy, M.E., El-Hamarnsy, S-A., Inouye, A. Roberts, V.D., Shimizu, K. and Witting, H. (1992) Inductively coupled HID lighting system, in L. Bartha and F,J, Kedves (eds) Proc. ft Int. Symp. on the Science and Technology of Ught Sources, Technical University of Budapest, pp 319-320 9. Waymouth, J.F. (1971) Electric Discharge Lamps (The MIT Press) I 0. Coaton, J .R. and Marsden, A.M. eds . (1997) Lamps and Ughting (Arnold) II. Netten, A. and Verheij, C .M. (1991); Updated 1994: QL lighting product presentation storybook. Philips Lighting, Eindhoven, Product Literature 12. Bethenod, J .. eta/ (1936) Electromagnetic Apparatus, US Patent #2,030,957 13. Shinomaya, M., Kobayashi, K., Higashikawa, M., Ukegawa, S. , Matsuura, J. and Tanigawa, K. (1991), Development of the electrodeless fluorescent lamp, Journal oft~ Illuminating Engineering Soc. 44-49

Page 108: Advanced Technologies Based on Wave and Beam Generated Plasmas

94

14. Anderson, J.M. (1970) Electrodcless gaseous electric discharge device using ferrite cores, US Patent# 3,500,118 15. Godyak, V. and Schaffer, J. (1998) in Proc. K' Int. Symposium on the Science and Technology of Light Source (Greifsald, Germany), in press. 16. DiChristina M. ( 1995) Lighting: Bright Light, Small Bulb, Popular Science, Feb. 95 17. Waymouth, J.F. (1993) Applications of microwave discharges to high power light sources, in C.M. Ferreira and M. Moisan (eds) Microwave discharges, fundamentals and applications. NATO ASI Series, Plenum Press 18 . Weibel, E.S. (1967) Anomalous skin in a plasma, Phys. Fluids 10 741-748 19. INIRC (1988) Guidelines on limits of exposure to radio-frequency electromagnetic fields in the frequency range I 00 kHz to 300 GHz, Healt Science 54 115-123 20. Way mouth, J.F. and Bitter F. (1956) Analysis of plasma of fluorescent lamps, J. Appl. Phys. 27 122-31 21. Cayless. M.A. ( 1962) Theory of low pressure mercury rare-gas diacharges, in Proc. Vth Int. Conf On Ionization Phenomena in Gases (ICPIG), Munich, pp 263-277 22. Dakin, J.T. (1986) A model of radial variations in the low-pressure mercury-argon positive column J.

Appl. Phys. 60 563-570 23. Maya, J. and Lagushenko, R. (1990) Progress in low pressure mercury-rare-gas discharge research, Advances in Atomic, Molecular and Optical Phys. 26 321-373 24. Zissis, G., Benetruy, P. and Bernat, I. (1992) Modeling the Hg-Ar low pressure discharge positive column: A comparative study, Phys. Rev. A 45 1135-1148 25. Lister, G.G. and Coe, S.E. (1993) GLOMAC: a one dimensional model for steady state low pressure mercury-noble gas discharges, Computer Physics Communications 75 160-184 26. Vermeersch, F. and Wieme, W. (1991) Calculation of resonance radiation trapping in Optogalvanic Spectroscopy, In st. Of Physics Con f. Ser. 113 I 09 27. Spitzer, L. (1961) Physics of Fully Ionized Gases (second edition, lnterscience, New York) 28. Spitzer, L. and Harm, R. (1953) Transport phenomena in a completely ionized gas Phys. Rev. 89 977-81 29. Zollweg, R.J. and Liebermann, R. W. (1987) Electrical conductivity of non-ideal plasmas. J. Appl. Phys. 62 3621-3627 30. Godyak, V.A. (1997) private communication 31. Morgan, W.L. and Vriens L. ( 1980) J. Appl. Phys., 51, 5300 32. Kortshagen U., Busch C. and Tsendin L. D. (1996) On simplifying approaches to the solution of the Boltzmann equation in sopa Plasma Sources Sci. Techno/.,5,1 33. Feokistov, V.A., Popov, A.M., Popovicheva, A.T., Rhakimov, T., Rhakimova, V. and Volkova, E.A. (1991) IEEE Trans. Plasma Sci., 20, 66 34. Verweij, W. ( 1961) Probe measurements and determination of electron mobility in the positive column of low-pressure mercury-argon discharges, Philips Res. Rep. Sup. 2, 1-112 35. Koedam, M. and Kruithof, A.A. (1962) Transmission of the visible mercury triplet by the low pressure mercury-argon discharge: concentration of 6'P states, Physica 28, 80-100 36. Koedam, M., Kruithof, A.A. and Riemens, J. (1963) Energy balance of the low pressure mercury-argon positive column, Physica 29, 565-584 37. Rockwood, S.D. (1973) Elastic and inelastic cross sections for electron-Hg scattering fromtransport data., Phys .Rev .A, 8, 2348-2258 38. Vriens, L.. Keijser, R.A.J. and Ligthart, A.S. (1978) Ionization processes in the positive column of the low pressure Hg-Ar discharge, J. App. Phys. 49 3807-381358 39. Sawada S., Sakai, Y. and Tagashira, H. (1989) Boltzmann equation analyses of electon swarm parameters in Hg-Ar gas mixtures : effect of metastable Hg and Ar atoms,) J. Phys. D: App. Phys. 22 282-88 40. Sommerer, T.J. (1996) An explanation of the positive differential characteristic in weakly ionized, low pressure positive column gas discharge plasmas, Phys. Rev. Lett. 77 502 41. Zissis, G. (1996) private communication 42. Yousfi, M., Zissis, G .. Alkaa, K. and Damelincourt, J.J. (1990) Boltzmann-equation analysis of electron kinetics in a positive column of low-pressure Hg-rare gas diacharges, Phys. Rev. A. 42 978 43. Fang, D.Y. and Huang, C.H. (1988) Modeling of low pressure Ar+Hg discharge with high electric current densities, J. Phys. D:Appl. Phys. 21 1490-1495

Page 109: Advanced Technologies Based on Wave and Beam Generated Plasmas

95

44. Lister, G.G. (1998) in preparation 45. Denneman, J. (1990) Determination of electromagnetic properties of low-pressure electrodeless discharges, 1. Phys. D:Appl. Phys. 23 293-298 46. Lister, G.G. and Cox, M. ( 1992) Modeling of inductively coupled discharges with internal and external coils, Plasma Sources Sci. Techno!. 1 67-73 47. Wharmby, D.O. (1994) Electrodeless discharges for lighting. in 47th Gaseous Electronics Conference,

Gaithersburg, MD (unpublished) 48 . Kushner. M.J. (1997) Consequences of asymmetric pumping in low pressure processing reactors: A rthree dimensional modeling study, 1. Appl. Phys. 80 5312-5320 49. Jonkers, J., Bakker. M. and van der Mullen J.A.M. (1997) Absorption measurements on a low-pressure, inductively coupled argon-mercury discharge for lighting purposes : I. The gas temperature and metastable states density. 1. Phys. D: Appl. Phys. 30 1928-33 50. Godyak, V. and Schaffer, J. (1998) ENDURA: A new high output electrodeless fluorescent light source, in Proc. 1:5' Int. Symp. on the science and technology of light sources (Greifswald) in press 51. Moisan, M. , Hubert J., Margot J. and Zakrewski, Z. (1998) The design and use of surface-wave sustained discharges for applications, this volume 52. Levy, D.J. and Berman, S.M. (1988) Instantaneous and efficient surface wave excitation of low pressure gas or gases, US Patent4 792 725, 20~ December 1988 53. Beneking, C. and Anderer, P. ( 1992) Radiation efficiency of Hg-At surface wave discharges, 1. Phys. D: Appl. Phys. 25 1470-82 54. Rowley, A.T. and Wharmby, D.O. (1962) Power dissipation and light generation in surface wave discharges, in L. Bartha and F,J, Kedves (eds) Proc. 6" Int. Symp. on the science and technology of light sources, Technical University of Budapest 55. Lister, G.G. (1993) Strongly damped surface waves in plasmas, in C.M. Ferreira and M. Moisan (eds.) Microwave discharges, fundamental.t and applications, NATO ASI Series, Plenum Press 85-94 56. Gibson, N.D., Kortshagen, U. and Lawler, J.E. (1997) Investigations of the 147 nm radative efficiency of Xe surface wave discharges, 1. Appl. Phys. 81 1087-1092 57. Wolinska-Szatkowa. J. (1988) The model of a discharge sustained by standing surface wave, 1. Phys. D: Appl. Phys. 21 937-42 58. Lister, G.G. and Robinson, T. (1991) Strongly damped surface waves in plasmas 1: the WKB approximation 1. Phys. D: Appl. Phys. 24 1993-1999 59. Schliiter, M. (1997) A numerical simulation of 2D surface waves, 1. Phys. D: Appl. Phys. 30 Lll-1 S 60. Proud, J.M. and Smith, R.K. (1981) Compact fluorescent light sources having metalli7..ed electrodes, US Patent # 4,266,166 61. Beneking, C. ( 1990) Impedance and emission properties of capacitively coupled Hg-Ar discharges, 1. Appl. Phys. 68 5435-5446 62. Beneking. C. (1990) Power dissipation in capacitively coupled rf discharges, 1. Appl. Phys. 68 4461-4473 63. Elenbaas, W. (195 I) The high pressure mercury vapour discharge (North Holland-lnterscience) 64. Dakin, J.T.. Rautenberg, T.H. Jr. and Goldfield, E.M. (1989) Anatomy of a vertical metal halide discharge, 1. Appl. Phys. 66 4074-4088 65. Cayless, M.A. (1986) Radiation processes in high pressure discharge lamps, in J.M. Proud and E.H. Luessen (eds.) Radiative Processes in Discharge Plasmas NATO ASI Series (Plenum) pp249-275 66. Stromberg . H-P. (1980) Line broadening and radiative transport in high pressure mercury discharges with Nal and Til additives. 1. Appl. Phys. 51 1963-1969 67. Chang, P.Y., Shyy. W. and Dakin, K.T. (1990) A study of three-dimensional convection in high pressure mercury lamps -1, Int. 1. Heat Mass Transfer 33 483-493; Shyy, W. and Chang P.Y., -11. ibid 495-506 68. Zollweg, R.J., Lowke. J.J. and Liebermann, R.W. (1975) Arc constriction in a lamp containing mercury and iodine, 1. Appl. Phys. 46 3828

69. Jones, B.F. and Mottram, D.A.J . (1981) A semi-empirical formula to describe the net emission coefficient of self-absorbed spectral lines for use in modeling high·prcssure discharge lamps. J. Phys.D: Appl. Phys. 14 1183-94

Page 110: Advanced Technologies Based on Wave and Beam Generated Plasmas

96

70. Aubrecht, V. and Lowke, J.J. (1994) Calcualtions of radiation transfer in SF, plasmas using the method of partial caharacteristics, J. Phys.D: Appl. Phys. 27 2066-2073 71. Offermans, S. ( 1990) E1ectrode1ess high-pressure microwave discharges, J. Appl. Phys. 67 115-123 72. Wharmby. D.O. (1986) Molecular radiation from LTE arcs, in J.M. Proud and E.H. Luessen (eds.) Radiative Processes n Discharge Plasmas, NATO ASI Series (Plenum) pp249-275 73. Duffy, M.E., Dakin, J.T., Duffy, G. E. and Secen, M.M. (1992) Diagnostics and model of an inductive HID Hg discharge, in L. Bartha and F,J, Kedves (eds) Proc. o' Int. Symp. on the .vcience and technology of light sources, Technical University of Budapest, pp 325-326

Page 111: Advanced Technologies Based on Wave and Beam Generated Plasmas

PLASMA PRODUCTION ABOVE MULTIPOLAR MAGNETIC FIELD STRUCTURES : FROM D.C. MAGNETRONS TO DISTRIBUTED ECR

J. PELLETIER, T. LAGARDE. andY. ARNAL Laboratoire d'Electrostatique et de Materiaux Dielectriques Centre National de Ia Recherche Scientifique, Universite Joseph Fourier 25 rue des Martyrs, BP 166, F-38042 Grenoble Cedex 9, France

Multipolar magnetic fields, currently used for the confinement and the production of low pressure plasmas, are particularly suitable for the scaling-up of plasma sources. In such magnetic field configuration, the fast electrons, responsible for plasma excitation, oscillate within two field lines between two adjacent, opposite magnetic poles. They also undergo a drift motion perpandicular to the magnetic field, hence the interest of closing the magnetic structures onto themselves according to magnetron-like configurations. The fast electrons can be produced : i) by electron emission from negatively biased filaments ; ii) by applying r.f. or negative d.c. voltages on the magnetron structure ; iii) at ECR by applying microwaves in the magnetic field region. Then, the ions and the slow electrons produced along the itinerary of the fast electrons diffuse perpandicularly to the magnetic field lines under the influence of the density gradients.

1. Introduction

Due to the diversity of applications, plasma processing will have to cover, in the near future, a broad range of geometries, dimensions, chemical systems, designs and surface interactions. In addition, at the industrial level, plasma processing of materials usually imposes two important requirements: long-range uniformity of the surface treatment and real-time "in-situ" monitoring for control and analysis. In this situation, the technological challenge lies, firstly, in developing surface processes able to meet the required specifications, in particular in terms of quality, uniformity, processing rate and reproducibility, and, secondly. in the possibility of transferring processes from one reactor to another and, in particular, to scale-up processes from a small to a large reactor.

Maintaining density and preserving plasma uniformity over ever increasing dimensions constitute the main difficulties in the scaling-up of plasmas. One natural possibility involves multiplying and distributing plasma source units. In this case, an

·Present address: Metal Process, 16 chemin de Malacher, F-38240 Meylan, France.

97

H. Schluter and A. Shivarava ( eds.), Advanced Technologies Based on Wave and Beam Generated Plosmas, 97-110. © 1999 Kluwer Academic Publishers.

Page 112: Advanced Technologies Based on Wave and Beam Generated Plasmas

98

attractive solution for adapting the source term to the reactor size is to excite the plasma over the whole area of multipolar magnetic field structures.

Therefore, the objective in the following is to present likely mechanisms of plasma production and diffusion above a multipolar magnetic field structure. For this purpose. we shall consider separately the effects of the multipolar magnetic field on the plasma, i.e. on the ions and slow, thermal electrons, from those on the fast electrons which produce the plasma.

2. Plasma production over a multipolar magnetic field

2. I. MAGNETIC FIELD CONFIGURA TJON

Conventional multipolar magnetic field structures [ 1] consist of parallel magnet bars with alternating polarities. The topography of such a magnetic field produced by an array of infinite and cylindrical magnet rows parallel to an Oz axis have been described and detailed previously [2,3]: a two-dimensional magnetic field B (x,y) in the (x,y) plane is obtained which derives from a magnetic vector potential parallel to the Oz axis. The field lines converge on the magnet poles to form the so-called cusps. In front of a magnet pole, the intensity B of the magnetic field decreases monotonically away from the magnet pole. In between two magnets, B starts from zero, increases away from the magnets to reach a maximum value at a point P which is a saddle point for B . Then, beyond P, B becomes independent of the position with respect to the magnets and decreases exponentially away from the magnets.

2.2 . TRAJECTORIES OF THE FAST ELECTRONS IN THE MULTIPOLAR MAGNETIC FIELD

At low pressure, the fast electrons behave like free energetic particles in motion in the external fields, including the multipolar magnetic field and the space charge electric field of the plasma. However, as the variation of the potential inside the plasma usually does not exceed a few kTje, the trajectory of the fast electrons is, in contrast to that of the slow plasma electrons, only weakly influenced by the space charge electric field.

Neglecting the influence of the space charge electric field with respect to the action of the magnetic field, the study [4,5] of the trajectories demonstrates that the motion of the fast electrons trapped in the multipolar magnetic field is confined between two field lines and oscillates between two neighboring cusps (Fig.l) until they suffer elastic or inelastic ionizing collisions.

A more complete description of the motion of fast electrons shows also that, besides the oscillations between mirror points in front of two successive cusps, these electrons undergo a drift velocity along the magnets due to the magnetic field curvature and magnetic field gradient. The direction of this drift velocity changes with the direction of either the magnetic field, or the curvature radius vector, e.g. at point P.

Because of the magnetic drift of the fast electrons along the multipolar magnetic structure, leakage of these electrons inevitably occurs at the extremities of the magnet

Page 113: Advanced Technologies Based on Wave and Beam Generated Plasmas

99

bars which constitute an open, non-closed magnetic field configuration. This results in space charge effects along the linear plasma source, significant contamination due to the local wall sputtering, as well as non-unifonn plasma production and poor excitation efficiency. These problems can be overcome by adding magnets at the extremities of the magnet bars in such way as to close the magnetic structures onto themselves according to ring, racetrack or comblike configurations.

2.3. PRODUCTION OF THE FAST ELECTRONS IN THE MULTIPOLAR MAGNETIC FIELD

For more than a decade following the initial study of Limpaecher and MacKenzie [6), the excitation of multipolar plasmas was almost exclusively ensured by electron emission from one or more heated filaments located in the central volume (free from magnetic field), and biased negatively with respect to the multipolar magnetic structure and the chamber wall. At low pressure, the fast electrons can reach the multipolar magnetic sheath, become trapped and produce the peripheral ionization of the plasma.

Another attractive solution is to produce the fast electrons directly in the multipolar magnetic field where they will remain trapped until they undergo inelastic

fast electrons . '

r--,. , • I \...._/

X

electrons ~diffusion

p

0

permanent magnets

region

y

Figure I. Schematic diagram of the plasma diffusion in a multipolar magnetic field structure. The arrows indicate the diffusion and drift velocities for ions, slow electrons and fast electrons.

Page 114: Advanced Technologies Based on Wave and Beam Generated Plasmas

100

collisions and degrade into plasma electrons. Such a scheme is currently achieved by placing the filaments directly in the magnetic sheath [7] where the fast electrons are trapped from the beginning and cannot escape to the field-free central region of the plasma.

A possibility commonly used to accelerate electrons in a multipolar magnetic field is to bias the magnetic structure negatively with respect to the walls by applying d.c. or r.f. voltages. The ions are accelerated through the sheath towards the surface of the magnetic structure, yielding sputtering of the surface and emission of secondary electrons. These secondary electrons, as well as the plasma electrons, are accelerated in the ion sheath surrounding the magnetic structure. Outside the sheath, these electrons, trapped in the multipolar magnetic field, oscillate between two adjoining cusps until they ionize the plasma. This scheme is currently applied in the so-called magnetron sources used for direct or reactive sputtering of solid, non-volatile materials.

Another possibility is to transfer HF energy to the electrons by electron cyclotron resonance (ECR) within the confining magnetic field. The development of this excitation, called distributed ECR or DECR, is based on two ideas [8):

( 1) the permanent magnet rows intended for multipolar magnetic field confinement are also used to provide the magnetic field intensity B0 needed for the ECR coupling

B0 = 2 7t (m/e) f0 (1)

where f0 is the microwave frequency, (2) the HF electric field required for ECR is provided by linear applicators

(or antennas) running along and close to the magnet rows. This scheme results in the generation of the plasma in the multipolar magnetic field, where the fast electrons (accelerated through ECR) are trapped and oscillate between two successive cusps until they ionize the gas.

2.4. LIMITATIONS TO THE SCALING-UP OF MULTIPOLAR MAGNETIC FIELD PLASMA SOURCES

A condition necessary for uniform plasma production is that the fast electrons carry a constant energy at every point along the multipolar structure. To achieve this condition, several cases have to be considered according to the excitation frequency range and the plasma size.

The first possibility, appropriate for the d. c. to low r.f. frequency range, is to apply a constant electric field along the magnetic structure. For this, the length L of the multipolar structure should be much shorter than one-quarter of the wavelength A. of the electric field

A./4 » L (2)

Page 115: Advanced Technologies Based on Wave and Beam Generated Plasmas

101

For example, at 13.56 MHz, the length of the multipolar structure must be shorter than "A./4 = 5.5 m to fulfil this condition. Otherwise, the excitation frequency must be decreased accordingly.

The second possibility is more appropriate to the microwave frequency range where the wavelength is generally much shorter than the plasma size. In the presence of standing waves of constant amplitude, the HF electric field, and thus the microwave power transferred to the electrons by ECR, exhibit minima and maxima along the applicator. However, since the fast electrons responsible for plasma ionization undergo a drift motion along the magnetic structure, plasma production is uniform, provided that the mean free path I of the fast electrons for ionization is much longer than the microwave wavelength

I>> A. (3)

As an illustration, the mean free path for ionization of a 50 eV electron is I= 1.15 m in argon at I mTorr, while the electromagnetic wavelength for the 2.45 GHz frequency is A.= 12 .5 em .

3. Plasma diffusion in the multipolar magnetic field

As seen above, the plasma is produced by the fast electrons trapped in the multipolar magnetic field. These fast electrons drift along the magnets and oscillate between two successive cusps within two adjacent field lines which delimit the plasma source region (Fig.1 ). From this region , the plasma, i.e. the ions and the slow electrons, then diffuses perpendicularly to the field lines under the influence of the density gradients.

3.1. COLLISIONAL DIFFUSION IN A MAGNETIC FIELD

In low pressure bounded plasmas, loss of charged species mainly occurs through diffusion to the walls. In the absence of magnetic field, the way by which charged species move to the walls depends on their mean free path ~ as compared to the reactor size L. When~/ Lis larger than unity, the plasma is in the free-fall regime. When~ I L is less than unity, the motion of charged species to the walls results from a diffusion process. In the presence of an intense magnetic field, "A.k looses its meaning since the trajectories of charged species, and particularly those of slow electrons are closely linked to field lines. Under such conditions, we may consider that it is the Larmor radius which becomes the effective mean free path. This strongly suggests that diffusion rather than free-fall conditions governs the physics of low pressure plasmas under intense magnetic fields . For this reason, a (collisional) diffusion model has been used to model the plasma behavior in a two-dimensional multipolar magnetic field .

Page 116: Advanced Technologies Based on Wave and Beam Generated Plasmas

102

3.2. MODELLING OF THE PLASMA PRODUCTION AND DIFFUSION IN A TWO DIMENSIONAL MULTIPOLAR MAGNETIC FIELD

In order to sustain the necessary assumptions adopted in our diffusion model , the different velocity components of argon ions has been measured by laser induced fluorescence (LIF) in a multipolar magnetic field structure excited by microwaves at ECR [9]. These measurements have shown that argon ions diffuse away on either side of the plasma source region, i.e. perpendicularly to the magnetic field lines, under the influence of density gradients. In contrast, the mean ion velocity is zero parallel to the magnetic field lines. Finally, a drift velocity parallel to the magnets is measured which changes sense on either side of the plasma source region.

A consistent interpretation of these results is to assume that, in the plane of the magnetic field, ions diffuse perpendicularly to the magnetic field lines under the influence of the space charge electric field induced by the density gradients. Assuming the plasma invariant under translation along the magnets, no density gradients and space charge electric fields develop parallel to the magnets : only drift velocities may appear. The quasi-neutrality of the plasma thus implies that its diffusion in the plane of the magnetic field, perpendicularly to the magnetic field Jines, is ambipolar. During their diffusion , the ions and slow electrons of the plasma also oscillate along magnetic field Jines between two adjacent poles. Thus, the resulting mean ion velocity is zero. as measured by LIF.

The introduction in the diffusion model of the assumption of the ambipolar diffusion of the plasma in the plane of the magnetic field provides a complete picture of the plasma behavior in a two-dimensional multipolar magnetic field. This picture can be summarized as follows (Fig. I) :

i) the plasma is produced by the fast electrons which drift along the magnets and oscillate between two successive cusps within two magnetic field lines which delimit the plasma source region;

ii) as the fast electrons, the ions and slow electrons also oscillate along the field lines between two successive cusps;

iii) while oscillating, ions and slow electrons diffuse away from the source region, perpendicularly to the magnetic field lines under the influence of density gradients and space charge electric field: the diffusion in the plane of the magnetic field is ambipolar;

iv) the drift velocities of ions and slow electrons along the magnets are opposite and change on either side of the source region.

3.3. CONSEQUENCES

The better understanding of the mechanisms of plasma production and diffusion in multipolar magnetic fields is of prime importance for the improvement of multipolar plasmas. In particular, the multiplicity of the drift motions, including that of fast electrons, demonstrates the absolute necessity to close the magnetic field structures onto extremities of the magnets. Also, the development of novel reactor designs. as those based on three-dimensional magnetic field stmctures, can be expected.

Page 117: Advanced Technologies Based on Wave and Beam Generated Plasmas

103

4. Performances of cylindrical DECR Plasmas

4.1. DECR REACTOR CONFINED WITH EIGHT Nd-Fe-B BARS

The results presented here have been obtained in cylindrical reactor, 280 mm in diameter, surrounded by eight Nd-Fe-B magnet bars parallel to the cylinder axis and implemented symmetrically on the outer wall of the reactor [8] . The intensity of the magnetic field at the surface is 3500 gauss, i.e. much higher than the ECR condition at a 2.45 GHz excitation frequency. The microwave electric field required to accelerate the electrons at ECR is applied by eight antennas, parallel to the magnet bars and located in front of the poles. Figure 2 shows the dependence of the ion density on microwave power in a 0.8 mTorr DECR argon plasma. At first the plasma density in proportional to the microwave power, and then saturates at a value of the order of the critical density . A power of 1 kW is required in order to reach an ion density of I 0 11 em 3 in the diffusion plasma.

.r 2.5

6 0 :::.

~ 1.5 (I) z w Q

z 2

0.5

MICROWAVE POWER (W)

Figure 2. Variation in ion density as a function of microwave power in a 0.8 mTorr DECR argon plasma confined with eight Nd-Fe-8 magnet bars.

Page 118: Advanced Technologies Based on Wave and Beam Generated Plasmas

104

4.2. DECR REACTOR CONFINED WITH EIGHT Nd-Fe-B ADJACENT RACETRACKS

As mentioned in a previous section, the magnetic structure in now arranged to form a magnetic field configuration made up of eight adjacent racetracks [ 10]. The dependence of the ion density on microwave power in a 0.8 mTorr DECR argon plasma in shown in Fig. 3. Again, we observe that the plasma density saturates at a value of the order of

- 2.5 ':'~

~ 0.5

0

aoo 1200 1600

MICROWAVE POWER (W)

Figure 3. Variation in ion density as a function of microwave power in a 0.8 mTorr DECR argon plasma confined with eight Nd-Fe-8 adjacent racetracks

the critical density, but, this time, only 100 W of microwave power is needed to obtain the density of 1011 cm·3• Clearly, avoiding the leakage of fast electrons in closed magnetic field configurations leads to a much higher plasma production efficiency (about a factor of ten) as compared to open magnetic configurations, where loss of fast electrons inevitably occurs at the extremities of the magnets.

4.3. INFLUENCE OF THE EXCITATION FREQUENCY

Up to now, all the available data on the so-called distributed electron cyclotron resonance (DECR) plasma sources which call for multipolar magnetic field confinement [8-10] have been obtained at one single frequency of excitation, the common 2.45 GHz. At this frequency, for all magnetic field configurations tested (planar or cylindrical), the increase in microwave input power results in the saturation of plasma density at about 1011 cm·3,

a value close to the critical density. In order to verify this phenomenon of plasma density saturation at critical density, we have varied the excitation frequency and microwave input power [11].

Page 119: Advanced Technologies Based on Wave and Beam Generated Plasmas

105

At the 5.85 GHz excitation frequency and at 1.5 mTorr argon pressure, the evolution of plasma density as a function of the microwave power applied to one and up to eight antennas is as plotted in Fig. 4. The source terms are additive until saturation density(== 6 x 1011 cm-3) is reached. At the 960 MHz excitation frequency, the evolution of plasma density measured as a function of the microwave power applied to one single antenna, for an argon pressure of 0.3 mTorr, is shown in Fig. 5. It is proportional to microwave input power until it saturates at 1.2 x 1010 cm·3 for powers above 20 W.

6 I Arl

- 5 ... '6 --o -4 .... --~ 3 VJ z w

2 0 z Q

j5.85 GHzj

0 40 80 120 160 200 2-40

POWER PER ANTENNA (W)

Figure 4. Variation ofthe ion density in argon plasmas as a function of5.85 GHz microwave power applied to one ( X ), two (D), three (•), four(~ and eight (I) antennas. The argon pressure is 1.5 mTorr.

-... "6

0> 10 0 ... -~ 6 VJ z w 0 z 2 Q j960MHz I

0 10 20 30

MICROWAVE POWER (W)

Figure 5. Variation of the ion density in argon plasmas as a function of960 MHz microwave power applied to one single antenna. The argon pressure is 0.3 mTorr.

Page 120: Advanced Technologies Based on Wave and Beam Generated Plasmas

106

The saturation density values, experimentally measured in argon with a cylindrical Langmuir probe in lhe center of lhe reactor as a function of microwave frequency, are between l and 1.5 times the theoretical critical density and, as expected, vary proportionally to lhe square of the microwave frequency (Fig. 6). In addition, we

~ u; 1011 z w 0 z Q

1~0 ~---~~~~~--~~~.w~~ 0.1 10

MICROWAVE FREQUENCY (GHz)

Figure 6. Saturation density of argon plasmas as a function of microwave excitation frequency . The saturation density is proportional to the square of the microwave frequency (the slope of the line is two).

1010 L-....o~C:...::;.::.~;,.;,:o......__ ....... _,_ ............. ....a.._..J 10 100 1000

MICROWAVE POWER (W)

F1gure 7. Threshold microwave power required to reach the saturation density in argon plasmas as a function of saturation density. The microwave power varies proportionally to the saturation density (the

slope of the line is unity).

Page 121: Advanced Technologies Based on Wave and Beam Generated Plasmas

107

can also verify , as shown in Fig. 7, that the threshold microwave power required to reach the saturation density is, in the frequency range investigated, proportional to this saturation density.

In order to propose an explanation for this plasma density saturation, we examine below some experimental and theoretical aspects of wave propagation. Experimentally, we have had difficulties when wanting to introduce additional microwave power into the plasma, once the saturation density was reached : impossibility to adjust the reflected power to zero, very tricky impedance matching, heating of the microwave components due to a high voltage standing wave ratio (VSWR), drastic increase of plasma light emission at the microwave input side. Clearly, all these troubles indicate a strong resistance to microwave propagation in the plasma above a given density and a transition from propagating to evanescent modes.

As far as theory is concerned, consider a collisionless, homogeneous, cold, infinite magnetoplasma. The wave dispersion equation [ 12,13] then leads to two solutions for the wave propagation perpendicular to the static magnetic field. The first solution, the same as that of a transverse wave in the absence of magnetic field, corresponds to the "ordinary wave". This wave can only propagate in under-dense plasmas [ 12, I 3]

(4)

i.e . for electron densities

(5)

where nc is the critical density

(6)

Here, E0 is the permittivity of free space, (1) 0 = 2 1t f0 the microwave angular frequency and wpe the electron plasma angular frequency. The second solution corresponds to the so-called "extraordinary" wave. Assuming an electron cyclotron angular frequency wee of the order of the microwave angular frequency ( w ce = w 0 ), the propagation of this wave then requires [ 12,13] :

(7)

In DECR plasma sources, the wave propagation is also perpendicular to the static magnetic field, but large density and magnetic field gradients as well as boundaries are present in the ECR region, where the microwaves propagate and where the plasma is

Page 122: Advanced Technologies Based on Wave and Beam Generated Plasmas

108

produced. Therefore, the oversimplified description obtained assuming an infinite homogeneous magnetoplasma may be substantially altered by the presence of these boundaries and gradients.

Another type of explanation that can be put forward is to consider that the field applicators used in the DECR design are, according to the definition given in ref.[l4], of the antenna type. The properties of such applicators are very little affected by the presence of the plasma, in constrast to a field applicator of the transmission-line type whose properties are intimately dependent on the plasma. In the latter case, there is a minimum density required to achieve a discharge whereas, in the former case, there is no such minimum value and, clearly, the plasma needs to remain transparent to the high frequency field, i.e. the field frequency has to be smaller than the plasma frequency . When the plasma density exceeds such a value, the microwave field from the antenna can no longer penetrate the discharge. Microwave power is then be lost in different ways or reflected towards the generator.

S. Toward novel reactor designs : three-dimensional «magnetron» structures

Closing magnetic structures onto themselves generally introduces mechanical problems during fabrication, as is the case for the magnetron structures currently used for the sputtering of materials. In addition, due to their planar configuration, their use is generally limited to simple geometrical arrangements, since they are usually located at the periphery, i.e. on the walls of the chamber. Therefore, the interest in three­dimensional magnetron structures lies in their simplicity of fabrication and use, and also in the various reactor designs which they allow.

Figure 8. Example of a three-dimensional "magnetron" structure. The arrow indicates the direction of magnetization of the cylindrical magnet. The lobes between the adjacent poles are the field lines along

which fast electrons wind around and oscillate.

Page 123: Advanced Technologies Based on Wave and Beam Generated Plasmas

109

An example of three-dimensional magnetron structure is shown in Fig. 8 which

shows a simple cylindrical permanent magnet of finite length with diametrical

magnetization. The two lobes on each side of the magnet are the magnetic field lines

along which the fast electrons are guided and oscillate. Due to the magnetic drift, fast

electrons trapped on one side on the magnet, reach the end of the magnet and rock around

its extremity, and then drift back along the magnet on the opposite lobe. The interest in the three-dimensional magnetron structures lies in their possible

assembly in any given configuration. Another essential advantage over many other

plasma sources is the possibility to distribute and pump gases right through the

excitation structure. This feature allows the pumping speed to be increased

proportionally to the plasma source area. Hence it is possible to scale-up processes from

small to large reactors without a deterioration of the process characteristics.

6. Conclusions

The recently improved understanding of the mechanisms of plasma production and

diffusion in multipolar magnetic field structures has stimulated the development of new

concepts for the production of uniform plasmas and the scaling-up of plasma reactors. In

particular, three-dimensional "magnetron" structures, excited by electric fields of various

frequency , which allow the uniform distribution of most of the plasma and process

parameters can be used in various arrangements. With such multipolar structures, the

obstacles to the development of extensive plasma sources of different kinds are being

progressively overcome.

7. References

Leung, K.N., Samec, T.K. and Lamm, A. (1975) Optimization of permanent magnet plasma

confinement, Phys. Leu. 51 A, 490-492. 2. Koch, C . and Matthieussent, G . (1983) Collisional diffusion of a plasma in multipolar and picket fence

devices, Phys. Fluids 26, 545-555. 3. Matthieussent, G . and Pelletier, J. (1992) Ambipolar diffusion model of multipolar plasmas, in Moisan

M., and Pelletier J. (eds.), Microw(111e Excited Plasmas, Elsevier. Amsterdam, 303-350.

4. Gauthereau, C. and Matthieussent, G., (1984) Etudes des trajectoires des electrons primaires dans une

decharge multipolaire, J. Physique 45, 1113-1123. 5. Pelletier, J. and Matthieussent, G. (1992) Homogeneity in multipolar discharges: the role of primary

electrons, in Moisan M., and Pelletier J. (eds.), Microw(111e Exciled Plasmas, Elsevier, Amsterdam, 351-

384. 6 . Limpaecker, R. and MacKenzie , K.R. {1973) Magnetic Multipole containment of large uniform

collisionless quiescent plasmas, Rev. SCI. lnstrum. 44, 726-731. 7. Courteille, C., Bruneteau, J., Valclcx, F., Zleziwski, Z., and Bacal, M. (1993) Primary electron drift in a

volume hybrid multicusp H' ion source, Rev. Sci. Instrum. 64, 3265-3269. 8. Ptchot, M., Durandet, A. , Pelletier, J, Amal, Y. and Vallier, L. (1988) Microwave multipolar plasmas

excited by distributed electron cyclotron resonance concept and performance. Rev. Sci. lnstrum. 59,

1072-1075.

Page 124: Advanced Technologies Based on Wave and Beam Generated Plasmas

I 10

9. Lagarde, T. (1994) Doctoral Thesis, Universite Paris XI, Orsay, France, November, 10. Lagarde, T., Pelletier, J., and Arnal, Y. (1997) Influence of the multipolar magnetic fie ld configuration

on the density of distributed electron cyclotron resonance plasmas, Plasma Sources Sci. Techno/. 6, 53-60.

II. Lagarde, T. , Amal , Y. and Pelletier, J ( 1997) Influence of the applied field frequency on the characteristics of Ar and SF 6 diffusion plasmas sustained at electron cyclotron resonance above multipolar magnetic field structures. Plasma Sources Sci. Techno/. 6, 386-393.

12.Ailis, W.P., Buchsbaum S.J., and Bers, A. (1963) Waves in Anisotropic Plasmas, MA:MIT, Cambridge. 13. Margot. J. Johnston, T.W., and Musil , J. (1992) Principles of magnetically assisted microwave

discharges, in Moisan M.. and Pelletier J. (eds) Microwave Excited Plasmas. Elsevier, Amsterdam. pp. 181-212.

14.Zakrzewski, Z., and Moisan, M. (1995) Plasma sources using long linear microwave field applicators : main features, classification and modelling, Plasma Sources Sci. Techno/. 4, 379-397.

Page 125: Advanced Technologies Based on Wave and Beam Generated Plasmas

ECR PLASMAS FOR THIN-FILM DEPOSITION

R. WILHELM

Max-Planck Institut fur Plasmaphysik, Boltzmannstr. 2, D-85748 Garching, Germany

Microwave plasma sources utilizing additionally electron resonance (ECR) for more

efficient plasma production are becoming more and more interesting for various kinds of surface

treatments, such as etching or thin-film deposition. This contribution gives first a brief survey of

the pertaining physics of microwave coupling, propagation, and wave damping in a magnetized

plasma under ECR conditions. In the second section a few examples of ECR sources are discussed

in more detail. This includes the "Standard ECR Reactor'' consisting of a short cylindrical

solenoid which produces a divergent magnetic field to provide ECR conditions (i.e. 87.5 mT

at e.g. 2.45 GHz) and to expel ECR plasma as dense ambipolar flow. A second example is an ECR suurce for industrial film deposition on substrates of large dimensions (up to several meters).

A third type of ECR source enables inside coating of metallic tubes, again of large dimensions.

1. Introduction

Microwave plasma production becomes much more efficient, if a magnetic field is

superimposed to the plasma configuration providing electron cyclotron resonance

(ECR) conditions. Such ECR plasma sources with WMW =Wee are therefore widely used for many processes [1, 2, 3] .

The following sections discuss first the pertaining physics of wave propagation

and wave absorption at ECR conditions. In a second part, examples of ECR

sources will be discussed in more detail, which are employed for efficient thin­

film deposition on smaller substrates on large areas of several meters dimension or inside metallic tube pipes.

2. Microwave Propagation and Absorption at ECR Frequency

Efficient plasma production in a large reaction volume necessarily requires suffi­

ciently deep penetration into and subsequent absorption of microwave power in

the plasma volume. With respect to both points, microwaves in general behave quite differently from low frequency application, and they exhibit further specific features in cases where a stronger magnetic field is present in the system. In order

to get the full information about wave dispersion in a magnetized plasma, one has

to employ the general wave equation- eventually considering the "warm" plasma

case, taking even into account the energy distribution function of electrons-­as to be found in specific literature (e.g. [4]). In the present case, however, we

may restrict ourselves to a somewhat simplified discussion of the right- handed,

Ill

H. Schluter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 111-122.

© 1999 Kluwer Academic Publishers.

Page 126: Advanced Technologies Based on Wave and Beam Generated Plasmas

112

oocloo = magnetic field

-~Co--w=a=r.~=-~==;~~)'._k_--+-) B

R - resonance

0 2 2 2

oope /oo =plasma density

Figure 1. Cut- off and resonance lines for incident R~ wave in the (normalized) B- field / density plane (CMA diagram).

circularly polarized (R- ) wave with the direction of propagation parallel to the magnetic field lines. Neglecting for a moment the electron collisions, one finds for the index of refraction of the R- wave:

(1)

with: f!~ = W~efw~ (::::: nefne,eri!,); Wpe = plasma frequency, and: nc = W e, I w (::::: B I Brcs); Wee = electron cyclotron frequency.

From the above relation one immediately recognizes the condition for direct plasma access, i.e. Slc > 1, where N 2 > 0. For Oc < 1 or N 2 < 0, on the other hand, the launched wave becomes "cut--off" and its further propagation is prevented. The subsequent evanescent region, nevertheless, is still filled with a decaying RF -field amplitude, which for not too large dimensions, can even reach the resonance region providing for substantial damping. The condition for sufficient "wave tunnelling" can be formulated as 6.co < Ao (i .e. cut- off width < vacuum wave length).

The first kind of launching is usually denoted as "high-field access" ( = path (A)), the second evanescent situation, consequently, as "low- field access" (= path (B)). The divergence in the denominator of (1) finally gives the EC resonance, where N 2 goes to infinity (when neglecting wave damping). The resulting curves of R­wave cut- off and resonance are depieted in Fig. 1, t he so-called "CMA diagram", according to the authors Clemmow, Mullaly and Allis (4] . Also indicated in the CMA diagram as (A) and (B) are "high"- and "low"- field access to the ECR region.

Page 127: Advanced Technologies Based on Wave and Beam Generated Plasmas

113

Re(N), lm(N) 10 ..-----......------..,

8

6

4

2

0 1.2 1.1 0.9 0.8 B/Bres

(87,5mT)

Figure 2. Re(N) and Im(N) as functions of the (relative) magnetic field (parameters: ne = 1 x 1017 m- 3 , Po = 1 Pa, i.e. Vcon/w = 0.01) .

The resonance effect which is important for the ECR plasma heating can be easily understood from the small drawing inserted in Fig. 1: the right-handed gyrating electrons "see" the also right- handed rotating electric field vector as a DC­field and become continously accelerated when the two frequencies coincide. Their gain of (perpendicular) energy is stopped, when the next collision occurs, which already indicates that ECR heating becomes most effective at low collisionality (i.e. low gas pressure). For a more detailed discussion of wave access and subsequent absorption one has to consider the wave dispersion along the two trajectories, where electron collisions and finite electron velocity ("warm plasma dispersion") have to be taken into account. The index of refraction becomes modified to:

{[ f2p·(1-f2c-(k·llll))] · [ f2p · ll* ]}'/2 Nn = 1- . 2 + z 2

(1- Oc- (k · v11 )) 2 + v* (1- Oc- (k · vu))2 + v* (2)

with v* = vjw, i.e. the normalized frequency of (elastic) electron collisions with neutrals.

From the above expression one recognizes the role of fast electrons moving in wave direction ((kv11)), which at low collisionality (1/2 ~ 0) and near resonance ((1- Oc) ~ 0) may significantly determine the resonance denominator. (The brack­ets ( ... ) indicate the weighting over the electron velocity distribution function) . In this way faster counterstreaming electrons experience their resonance before the colder bulk population and become heated first. Moving against the increasing magnetic field, these electrons after having gained perpendicular energy are back­reflected due to the magnetic mirror effect (see the following section). Figure 2

Page 128: Advanced Technologies Based on Wave and Beam Generated Plasmas

114

Re(N) lm(N) 10 .,

8

.. :· : ·.

"warm" "cold"

6

(10eV) / Doppler-shifted absorption

4

-1cm

2 i. .j 0

1.2 1.1 0.9 1.2 1.1 0.9 B/B,05

Figure 3. Comparison of wave dispersion (real and imaginary part of NH.) for the "cold" and "warm" plasma case (same parameters as in Fig. 2).

shows the spatial behaviour of the real and imaginary part of N along the R- wave trajectory near resonance for typical ECR parameters when first neglecting the

2 Doppler shift (kv11 < v* ; i.e. "cold plasma" approximation):

The figure shows already the general problem for wave access even when coming from the accessible direction (path (A) in Fig. 1): When approaching the resonance position , a steep increase of the index of refraction (Re(N)) will occur for the typ­ical magnetic field gradients in technical devices . Special matching elements (e.g. screw tuners) have to be employed to avoid partial wave reflection and pertaining strong power losses [2] .

With respect to wave absorption of the non-reflected fraction the small overlap with the absorption zone Im(N) finally would result in limited heating efficiency for the "cold" plasma case assumed so far. However , the situation is substantially improved for finite electron (parallel) velocity/ energy, as to be seen in Fig. 3, where refraction and absorption are compared for both cases, viz . cold electrons and an electron energy distribution function (EEDF) typical for a process plasma, a..'lsmning (We) ::::: 10 eV in the resonance zone.

From this comparison one recognizes the strong modification of both the real and imaginary part of the index of refraction due to the slightly "fast" electron population which results in more efficient wave coupling and heating. Specifically important is a significant shift of the Im(N) curve into a more accessible region before the steep increase of Re(N) . In this way a more energetic electron tail (i.e . electrons having finite velocity opposite the wave) will be formed, which explains the high degree of ionization in such ECR plasmas. Now the more correct treatment would be to couple the wave dispersion (absorption) with the Boltzmann equation,

Page 129: Advanced Technologies Based on Wave and Beam Generated Plasmas

1 15

Pabs (a.u.)

100

/:iro/ro = 0

10

0,1

0,3 1 \.

/ / non-~'sonant 10"2 +-~--~~----------~------~

10"3 10"2 10-1 10 )>

I• ,.; collisionality

v/ro :

1 10 100 Pa

Figure 4· Microwave power absorption as a function of the (normalized) collision frequency at EC resonance and at various distances b.wjw from ECR (b.wjw = 1 is the non-resonant case / B = 0).

i.e. to perform a selfconsistent kinetic modelling of the electrons, which, however , exceeds the scope of this contribution. To indicate at least briefly the resulting (global) power input into the plasma under ECR conditions, one may employ the familiar equation for collisional damping at a given electric wave field:

( v2 ) [ v2 ] :.! 2 ---+· 2 2

V +w V +(wee -w) atECR (3)

As immediate result of this equation, the total power absorbed at EC-resonance, near resonance, and without resonance (no magnetic field) is depicted in Fig. 4 as function of the normalized collision frequency v* = I// w. The curves demonstrate the strong increase of wave absorption by several orders of magnitude in compari­son to the non-resonant case when approaching EC resonance at low collisionality. The ECR effect, on the other hand, disappears at higher gas pressure around v• ~ 1, where every gyromotion is interrupted by an elastic collision.

Page 130: Advanced Technologies Based on Wave and Beam Generated Plasmas

116

VB - acceleration

Figure 5. Scheme of the "Standard ECR Reactor".

3. Examples of ECR Plasma Sources

microwave 2,45 GHz

C8J ECR C8J (87,5 mT)

··· ....

substrate

The subsequent sections give a few examples of microwave plasma sources with superimposed magnetic field utilizing EC-resonance for efficient plasma produc­tion.

3.1. THE "STANDARD ECR-REACTOR"

The most common and widely used version of an ECR source is depicted in a schematic drawing in Fig. 5, where its basic principle can easily be seen: A water- cooled copper solenoid generates a divergent magnetic field which starts at a maximum field strength of typically 0.15-0.2 T at the mierowave entrance window and goes down to mueh smaller values a t the substrate position outside the coil. For the standard mierowave frequency, 2.45 GHz ECR conditions and resulting wave absorption occur at 87.5 mT, where electrons gain perpendicular energy, as outlined in the previous section. The energetic electrons behave like diamagnetic particles and are expelled from the ECR zone downstream towards the substrate to be treated.

At normal low--density conditions of such an ECR source, the downstreaming electrons experience collisions with the neutral gas baekground to such small degree that their magnetie moment remains nearly conserved. The magnetic moment:

W..L fJ,=­

B (4)

Page 131: Advanced Technologies Based on Wave and Beam Generated Plasmas

117

50

40

~ 30 .c ~ u:r 20

0,08 Pa

~;, 10

1 2 3

Figure 6. Ion energy as function of the magnetic field gradient (Bres/ Bsub) at varied collisionality

(i.e. three values of gas pressure) [5).

together with the energy conservation (as further consequence of low collisionality,

in this case with respect to the even fewer unelastic collisions):

(5)

plus the fact that the total particle outftux must be ambipolar (i.e. l'e = r i ), result in a transfer of perpendicular electron energy (gained in the ECR zone) into parallel ion motion. The ions, finally, will arrive at the substrate in the low field

region with a kinetic energy:

(6)

at substrate position. Figure 6 shows the measured variation of ion energy as function of the field

ratio Bres/ Bsub for three different gas pressures [5]. From the diagram one recog­

nizes the large variation of ion energy with increasing V' B - acceleration in case of

low collisionality (p = 0.05 Pa). At three times higher pressure the acceleration

completely disappears due to the more frequent electron-neutral collisions. The hydrodynamic plasma acceleration allows us to generate ion energies be­

tween 10 and 50- 60 eV, covering already a large range of applications. If higher ion

energy is required, a DC- or RF- bias potential may be applied to the substrate.

An example of substrate biasing is given in Fig. 7, where the ion energy spectra are shown for successively increased DC-bias potential.

According to this figure the ion energy distribution starts with a narrow peak at about 20 eV (0 V bias) , which remains almost monoenergetic up to the highest potential ( - 80 V in this case). Additional V' B - acceleration is omitted in this series

[5].

Page 132: Advanced Technologies Based on Wave and Beam Generated Plasmas

118

•• ov J:T-> 20

(J)

< 0 " Ubias ..s ::::> 10 -o .._ '6

0

0 50 100 ion energy (eV)

Figure 7. Ion energy at the substrate as function of bias potential (without \7 B- acceleration) [5).

In case of substrate biasing in an ECR-"standard" source, an obvious difficulty has to be mentioned, which arises from the magnetic insulation between plasma and side walls of the discharge chamber between plasma and vessel surface. Biasing the whole substrate with respect to the vessel simply shifts the entire plasma volume to the same potential, i.e. the ions preserve their previous {low) energy. Grounding of a sufficiently large fraction of a plasma- connected surface solves the problem in a simple way, as indicated in Fig. 7. This solution, however, is at the expense of a correspondingly reduced substrate surface which can then be treated with energetic ion flux.

3.2. ECR SOURCE IN "ELONGATED MIRROR. GEOMETRY" (EMG)

The "standard" ECR configuration discussed above can hardly be extrapolated to dimensions larger than some 10 em in diameter. As a further disadvantage the high effort connected with the magnet coils (power consumption of some 10 kW for larger devices, necessity of a water cooling system) have to be mentioned. For this reason an alternative concept of an ECR source has been proposed and developed to already industrial scale, allowing homogeneous treatment of substrates of- ·in principle- arbitrary size (2, 3, 6] . In addition the copper magnets are now replaced by a specific arrangement of high-field permanent magnets. The principle of this source is explained in the schematic diagram in Fig. 8, showing the cross-sectional and the plan view of the device (Fig. 8a and b) .

As can be seen in the figure , a (curved) mirror field is generated by a double row of permanent magnets connected by an iron yoke. The use of Co / Sm magnets yields more than 1 tesla pole strength, and the ECR zone (viz. 87.5 mT at 2.45 GHz) will thus appear to be far away from the poles in the mid- region of the field

Page 133: Advanced Technologies Based on Wave and Beam Generated Plasmas

a)

b)

~ubstrate

RF· antehna

HJe

t. : ~ .. ... ... .. . . . ·Q.

~ N . . . lc: ..... ····

··. . . .. ... \ ...

BVB-drift

119

detail

c) ~ .

. tl ·. . . . ·. ·. .

'--.\d)

s 3m

1----'f

Figure 8. (a) Cross-sectional view; (b) plan view of"elongated mirror" ECR source; (c) detail of mirror reflection; (d) total plan view (racetrack geometry) .

configuration. Electrons which are resonantly heated by incident microwaves in this region are strongly trapped between the two magnet poles.

Because of the additional curvature of the field lines the bouncing electrons also experience a BgradB-drift in the perpendicular (z-)direction, resulting in a zig--·zag motion, as indicated in the plan view in Fig. 8b.

In principle, this unidirectional electron flow would result in a correspondingly asymmetric discharge behaviour which, however, can be avoided by bending the entire system into a circularly closed configuration (e.g. in the form of a racetraek, as indicated in Fig. 8d) . The electron flow yields now an eneireling net current }e perpendicular to the magnetic field, with the consequence of a j x B - drift away from the magnets (see again Fig. Sa). The drifting electrons remain coupled to the

Page 134: Advanced Technologies Based on Wave and Beam Generated Plasmas

120

MW generator: - 5 kW/m

detail

I \ (a) (b)

2· ···3m

Figure 9. Resonant waveguide antenna.

pertaining ions via a space charge field, and (as in the "standard" ECR-source) the microwave energy absorbed by electrons is finally transferred to the ions. By appropriate inclination of either racetrack side, a linearly extended plasma flow is focussed onto the substrate position .

In its practical realization the linear dimensions were extended without prob­lems to up to 3 m in size with respect to plasma homogeneity. As a prerequisite, however, a homogeneous microwave distribution had to be guaranteed by an appropriate coupler design. This was achieved by a specific coupler structure of corresponding length placed at the backside (i.e. without being affected by the plasma drift flow) (see Fig. 8a) [7]. The operational scheme of this extended antenna can be derived from the schematic drawing in Fig. 9.

According to the figure a mono-mode waveguide of respective length n x A./2 is fed by a coupling loop from the microwave source. The resulting standing wave now feeds a series of 2 x n output loops, from which every following pair ((a), (b) in Fig. 9) is reversed in its polarity, resulting in an in- phase operation of all loops when seen from outside. The required homogeneous- almost independent from plasma loading- radiation pattern is now achieved by choosing an appropriate degree of output coupling for the many loops. As optimum compromise between homogeneity of the external radiation field along the antenna and still acceptable losses in the resonator (e.g. 5- 10%), a Q of 5- 10 had to be attuned for the entire resonator system.

As a concluding remark on the EMG source it may be pointed out that in this concept the microwave irradiation is from the low-field side of the plasma config­uration, i.e. with no direct access to the absorption zone. Proper dimensioning of the mirror geometry, nevertheless, guarantees sufficient wave tunnelling through

Page 135: Advanced Technologies Based on Wave and Beam Generated Plasmas

121

i:::l~ ; ; gas feed >i./2 0····- .. -. ··'

"'·- ~~

·: :

EGA-discharge

. • ..• :> o;7x j,_ cross section

Figure 10. ECR plasma source for inside coatings of metal tubes .

the cut- off region in front of the ECR zone, as proven by all (also the largest) versions of such sources having been put into operation.

3.3. ECR SOURCE FOR INTERNAL METAL TUBE COATINGS

The demand for deposition of films inside metallic tubes or pipes has led to the development of specific devices, which again rely on the ECR-based plasma gen­eration. The underlying idea was to utilize the (rectangular or cylindrical) tube as waveguide to propagate the microwave RF power to the deposition zone, with the inherent constraint that the radial dimensions (cross-section) of the specimen must be larger than about half the microwave wavelength (e.g. diameter > 7 ern for 2.45 GHz). In a first approach a magnet coil generating local ECR conditions is slowly moved along the tube, as sketched schematically in Fig. 10 I8J.

At low incident microwave power (some 100 Watt) and appropriate pressure of a suitable precursor gas (a few Pa), a plasma is created inside the coil region which provides film deposition in this reaction zone. In order to obtain the desired homogeneous coating along the tube, a continous flux of reactive process gas has to be fed into the tube (typically a few sccmj s) from one end, whereas sufficient pumping must be provided from the opposite side.

Trying to increase the deposition rates by higher power input (above 1 kW typically) leads to an immediate microwave breakdown at the entrance window. At intermediate power, however, a different, quite interesting mode of operation is observed: The ECR plasma leaves the coil region and runs along the tube as compact cloud towards the microwave entrance window. A brief interruption (about 1- 2 ms) of the microwave power allows us to restart the plasma in the ECR zone [9 , 10] .

Page 136: Advanced Technologies Based on Wave and Beam Generated Plasmas

122

In the running mode of the (starting) ECR discharge the speed of the plasma cloud interestingly was found to be much larger (5- 10 times) than the ion sound speed , and it increased almost linearly with increasing gas pressure, indicating that the running plasma cloud must be understood as an ionization wave rather than a material transport along the waveguide (tube) . Discharge behaviour and pertaining functional dependenr.ies could be understood from a detailed modelling, however this would exceed the scope of this contribution Ill].

References

I. Asmussen, J. (1989) Electron cyclotron resonance microwave discharges for etching and thin-film deposition , J . Vac . Sci. Techno!. A 7, 883-895 .

2. Wilhelm , R . (1993) ECR Plasma Sources, in : Microwave Discharges, NATO AS! Series , Series B : Physics 302, eds. Ferreira, C.M., and Moison, M . , Plenum Press, N.Y. , p . 161.

3. Wilhelm, R. (1993) Microwave Plasmas for Surface Treatment and Thin- Film Produc­t ion , /SSP- 13 "Piero Caldirola", Indu.~trial Applications of Plasma Physics, SIF Bologna, pp. 18.5- 197.

4. Stix, Th.H. (1992) The Theory of Plasma Waves, American lust. of Physics, N.Y . . 5. Reinke , P. , Schelz, S., Jacob , W., and Moller, W. (1992) Influence of a Direct Current Bias

on the Energy of Ions from an Electron Cyclotron Resonance Plasma, J. Vac. Sci. Technol. A 10, 434- 438.

G. Geisler, M., Kieser, J., lUuchle, E. , and Wilhelm, R. (1990) Elongated microwave electron cyclotron resonance heating plasma source, J. Vac . Sci. Techno!. A8, 908-91.5.

7. Moller, W . et al. (1989) Anti -l'v!ultipactoring Layers in Microwave Guides , Annual Report If'!' Garcl1ing 1989, p. 206.

8. Hytry, R., Moller, W . , Wilhelm, lL, and v. Keudell, A . (1993) Moving · Coil Waveguide Discharge for Inner Coating of Metal Tubes, J. Vac. Sci. Technol. A 11, 2.508-2517 .

9. Hytry, R. , Moller, W ., and Wilhelm, R . (1994) Running \Vaveguide Discharge for Inner Coating of Metal Tubes, Appl. Phys. Lett. 64, 3401-3403 .

10. Hytry, R., Moller , W ., and Wilhelm , R. (1995) Running Discharge for PECVD Inner Coating of Metal Tubes, Surf. Coat. Techno!. 74-75, 43-48.

11. Wilhelm , R. (1997) Proc. 8. Btmdesdeutsche Fachtagung Plasmatechnologie, Dresden , 26.

Page 137: Advanced Technologies Based on Wave and Beam Generated Plasmas

DEPOSITION PROPERTIES AND APPLICATIONS OF CARBON-BASED COATINGS

R. WILHELM

Max-Planck Institut fiir Plasmaphysik, Boltzmann.~tr. 2, D- 85748 Garching, Germany

After a brief outline of the various techniques for carbon- based coatings- i.e. DC-, RF- and microwave-driven plasma discharges-the growth mechanisms of polymer-like and diamond-like carbon (DLC) films, also known as amorphous carbon (a-C:H) films, are discussed according to most recent experimental observations. Thereafter mechanical and optical properties of C- H films (also in comparison with polycristalli.ne diamond and amorphous carbon) and resulting practical applications will be summarized.

1. Introduction

Carbon-based films-especially those of the C- H system-became interesting for many technical applications because of some of their outstanding features, such as extreme hardness, excellent adhesion on most materials, wear resistance, low friction , chemical resistance against most solvents or acids, thermal stability (up to temperatures above 300°C), and reasonable optical properties (e.g. visible and IR light transparency). Furthermore, the easy method of C-hased film deposition can be regarded as a major technical advantage. The possibility to perform such G-H coatings at low ( < 100°C) substrate temperature is a perequisite for many applications where sensitive materials have to be treated (plastics, gla...'lses, etc.).

While such coatings are being produced on even industrial scale since several years, their underlying growth mechanisms remained- at least in microscopic details-not understood for a long time. More recent investigations, however, brought new insights into the processes pertaining to C-H film formation (or erosion) on surfaces inserted into a C/ H-containing plasma discharge. Such better understanding might give rise to further process improvement in the direction of quality, faster growth rates, or taylored features of such films [1, 2, 3, 4]

2. Deposition Techniques

Deposition of carbon- based coatings can easily he performed by the generally known low -pressure pla...<;rna discharges, i.e.:

DC Glow Discharge is certainly the most simple method for plasma process applications. Operating at a gas pressure of typically 0.1- 10 Pa, this discharge inherently provides the energetic ion bombardment of e.g. 100 eV, as required

123

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 123-135. © 1999 Kluwer Academic Publishers.

Page 138: Advanced Technologies Based on Wave and Beam Generated Plasmas

124

for the deposition of "diamondlike carbon" (DLC) layers, also denoted as "amorphous carbon" (a- C:H) films . However, because of the good insulation properties of C- H films, the DC discharge suffers from breakdown through a deposited layer (and its eventual destruction) if a larger thickness (> few 100 nm) is required.

RF Discharges for C- H deposition usually employ capacitive coupling of a few 100 Watt RF power at (mostly) 13.56 MHz. Operating in the same pressure range of several Pa, energetic ion bombardment in this case is achieved by the RF-induced edge potential. This potential drop of several 100 V appears at the smaller electrode (i.e. the substrate) and increases in proportion to the applied RF power. In the RF discharge the oscillating plasma current can easily pass through insulating layers as dielectric current because of their large capacitance per area. Rather low deposition rates, on the other hand, have to be regarded as a major disadvantage of RF -driven plasma discharges.

Microwave Discharges mostly operate at the standard frequency of 2.45 GHz at power levels in the range of 0.3 to above 1 kW (in a larger device 5 kW or more) . In spite of the much larger RF fields in the plasma volume, the oscillation energy of the electrons remains so small that only a very high collision frequency can provide the necessary microwave power absorption. For this reason the gas density must be rather high, leading to optimum operational pressure of > 100 to (a few) 1000 Pa. In such very high frequency discharges the edge potential is determined by the ambipolar potential (i.e. 3-4 xkTe) only, resulting in large ion fluxes of rather low energy (typically 10 eV). These low energies do not allow deposition of carbon coatings in the hard amorphous form of a-C:H-layers, but are well suited for efficient growth of polymer- like "soft" films . Low ion energy is another prerequisite for poly- cristalline diamond formation (the latter at high substrate temperature).

ECR-Microwave Discharges are an interesting variant to the normal mi­crowave discharge described before. In this case a superimposed magnetic field leads to very efficient microwave absorption when approaching resonance between electron gyromotion and wave frequency, i.e. W ee = WMw, for the standard frequency of 2.45 GHz achieved at 87.5 mT. Depending on gas pressure and magnetic field topology, ECR discharge can be operated both between low and highe1· ion energy: At a gas pressure of above a few Pa the typical energy again will be of the order of the ambipolar potential only (i.e., typically 10- 20 eV), whereas at low collisionality ( < 1 Pa) additional plasma acceleration results from a spatially decaying magnetic field. For these condi­tions monoenergetic ion fluxes with energies of up to 50- 60 eV are observed . Further ion acceleration to energies above 200 eV -if needed- can be achieved by DC or, better still , RF biasing of the substrate. In this case, however, the correct grounding of the plasma requires specific attention since the strongly reduced particle outfiux perpendicular to the magnetic field (at least in t he "Standard ECR. Reactor" configuration) can prevent the appropriate contact

Page 139: Advanced Technologies Based on Wave and Beam Generated Plasmas

Microwave 2,45 GHz

- + OC-/RF-bias

125

Figure 1. "Standard ECR Reactor" with the possibility of applying strong bias potential at the substrate surface.

to the surrounding walls. A possible solution (which, however, restricts the size of the substrate surface to be treated!) is sketched in Fig. 1.

As can be seen from the schematic diagram in Fig. 1, sufficient grounding is provided by a plate crossing the field lines in the midplane of the device, simultaneously collecting a major fraction of downstreaming plasma. A small hole in the grounded mid-.. plate then allows us to extract and accelerate a correspondingly diminished particle fraction onto the substrate with full bias potential. It may be noticed that this device is specifically suited for basic investigations and parameter studies with variable ion energies, since plasma production (in the upper chamber) and acceleration of a small plasma fraction onto the remote substrate are practically decoupled.

Concerning the "Standard ECR Reactor" as device for diamond-CVD, a fur­ther remark may be added: Such process is usually operated at high microwave power (several kW) and at very high gas pressure (1000 Pa or more). In this case the accordingly high collisionality with v » Wee completely prevents the efficacy of the EC resonance, and the magnetic field has more the function of confining and directing the plasma onto the substrate rather than to improve the microwave absorption (beyond normal collisional damping). (For further details of ECR discharges and pertaining devices, the reader is referred to the

Page 140: Advanced Technologies Based on Wave and Beam Generated Plasmas

126

separate contribution to this conference issue "ECR Plasmas for Thin Film Deposition", p. 111 of this volume.)

3. Growth Mechanism of C:H Films

The growth of carbon- based layers and resulting properties essentially depends on three key parameters which have to be employed in the DC- or RF- plasma processes described above:

Reactive pr·ecursor gas: For C:H films usually methane or acetylene are being used, for diamond deposition a large part of hydrogen (e.g. 95%) has to be added to the carbon- containing gas. The input gas is decomposed into radicals and ions (also radical ions) , which generate the film at the substrate surface. Gas throughput (sccmj s) and gas pressure, together with the discharge type, determine the kind of molecular fragmentation (and ionization) and thus become important for the more detailed features of the film.

Substrate temperature: For C:H coatings the surface temperatme can be kept low (e.g. 300 K), which allows also the treatment of sensitive surfaces (e.g. plastics); higher temperature (500 600 K) , on the other hand, leads to destruc­tion of these films . For diamond deposition, a temperature of above 900 K is required , which causes difficulties for many materials .

Ion energy at the substr-ate: In the case of a cold substrate, energetic ions are required to provide the activation energy for the incoming neutrals to be bound in the growing layer. Low energy ions (i.e. 10- 20 eV) result in a soft, polymer- lilke film structure, whereas high energy (about 70- 100 eV) yields the "diamond-like" a-C :H layer.

Diamond films, on the other hand, grow on a hot (above 600°C) surface without the need of ion impact. If present, ions must be of low energy to avoid film distribution and hydrogen incorporation.

Besides these general rules for the production of C:H-- (or C--) coatings , the underlying microscopic processes have been analyzed in detail by various research groups and seem to be partly understood at least for C:H film deposition. The following outline tries to summarize some more recent insights into this area: From various investigations on C -H-·based film deposition it was concluded that the comparatively low flux of C-H-containing ions alone is not sufficient. to explain the observed film growth rates , and consequently a major material contribution from the neutral component had to be assumed.

Since low-energy neutrals are not able to overcome the surface activation bar­rier, a synergism between energetic ions and cold radicals has to be assumed for the observed fast film growth. Such synergism, however, would require the- unlikely -· coincidence of both particles at the same surface position, except if there existed

Page 141: Advanced Technologies Based on Wave and Beam Generated Plasmas

127

some kind of "waiting state" for the missing reaction partner. For such possibility two different models have been proposed.

In the first case a loose adsorption of incident CH3 radicals to the surface is assumed ("adsorbed layer model"), which could provide the time needed for the next impact of an energetic ion to deliver the required reaction energy. This covering with loosely bound radicals (or their residence time) would decrease with surface temperature and could thus also explain the decreasing growth rates with increasing temperature [5].

More recent investigations resulted in an alternative model whPre the pro­duction of free bindings ("active sites") by the incident fast ions is anticipated at the substrate surface. This covering with free sites will increase with ion flux and ion energy, and any individual free site must persist for a time period long enough to catch and bind an impinging CH3 radical without demanding further activation energy [6]. From these experiments it is further concluded that the (temperature-dependent) film growth f tot (Tsub) must be the net effect between (temperature-independent) deposition and again temperature-dependent erosion , according to:

ftot(Tsub) = fcteposition - ferosion(Tsub) (1)

This assumption is suggested by a comparison of deposition/erosion experiments at increasing substrate temperature in a methane and a hydrogen plasma, respec­tively. According to the experiment observations shown in Fig. 2, the deposition rate of a soft (polymer- like) C:H film decreases continiously with substrate tem­perature and even goes through zero in the methane case. At this point (~ 500 K) erosion obviously becomes dominant, thus being also present right from the beginning.

Performing the same experiment in a purely hydrogen plasma only erosion can occur, which should increase with increasing substrate temperature. After fitting together the hydrogen erosion curve and the methane deposition/ erosion curve for the low- temperature data points, and adjusting the scale of both curves, the almost identical shape of the two curves becomes evident. The resulting explana­tion is the same temperature- dependent (hydrogen) erosion in both plasmas and a temperature-independent deposition mechanism for the methane discharge, as expressed by the above formula. Further confirmation of this model results from Fig. 3a and b, where film growth and erosion rates are shown for soft and hard films as functions of the substrate temperature.

In figure (Fig. 3a) one recognizes the immediate reduction of t he growth rate for the soft C:H film (no bias), whereas a- C:H layers (Ubias = -75V) show almost no erosion up to temperatures of 550 -600 K. This behaviour is consistent with the erosion model developed by Horn and Kiippers [7, 8]: Soft films with sp3 -­

coordination exhibit a direct erosion by H atoms, the sp2-coordination of a-C:H films, however , requires a critical dwell temperature for hydrogenation into sp3

and subsequent erosion. Going now to pure erosion in an H2 plasma, one finds the interesting effect that the erosion rate is strongly reduced when increasing the energy of the hydrogen ions, a.c; can be seen in Fig. 3b. The explanation is

Page 142: Advanced Technologies Based on Wave and Beam Generated Plasmas

128

1,0 0,0

i 0,5

Deposition

............ 1. .................................................. ..

~ ~

1,0 !'! c:

-~ w

1,5

·0,2 2,0

·0,4

Substrate

300 350 400 450 500 Temperature (K)

Figure 2. Comparison of the deposition rate in a CH4 plasma with the erosion rate of an H2 plasma as function of increasing substrate temperature. Both discharges were operated at floating potential (from [6]) .

the simultaneous "hardening" ( = sp2 formation) of the film by the energetic ion impact.

Formation of harder films, on the other hand, can be achieved at much lower ion energy too by using acetylene as precursor gas. The-in this case dominant­C2H radical can be bound to the sp2-coordinated a-C:H film more easily than the CH3 radical from a methane discharge. This is illustrated in Fig. 4 showing the index of refraction ( = indication of film hardness) as function of the applied bias potential (= ion energy) for both ga..<;es.

A further interesting feature of C:H-film deposition is the transient occurrence of a "modified surface layer", as observed by in-situ-ellipsometry [9, lOJ in a sequence of sophisticated deposition/erosion experiments [6J. According to the ellipsometric observations depicted in Fig. 5, the structural modification attains a thickness which is consistent with the penetration depth of incident energetic H ions, i.e . ~5 nm at 100 eV, and is characterized by enhanced absorption (Im(N)), indicating still incomplete internal C- H coordination and the existence of open bindings. After a typical relaxation time of several minutes, the modified state disappears, and the film is converted into its final structure.

Figure 5 shall be understood as follows: From points (0) to (1) in the W /D. -­curve a polymer- like carbon layer is deposited by operating a methane discharge at floating potential. The layer is etched in a hydrogen plasma again at floating potential (points (1) to (2)). Thereafter a bias potential of - 90 V is applied to the substrate, leading to an in- depth structural modification of the layer (points (2) to (3)). This modified layer is then removed by a (strongly etching) oxygen plasma, approaching finally the starting curve around point (0) . The distance (3)

Page 143: Advanced Technologies Based on Wave and Beam Generated Plasmas

129

Depositionrate (fus) Erosionrate (~s)

b) 3

H2 -Plasma

-25V

2

-75V

300 400 500 600 700 300 400 500 600 700

Substrate Temperature (K)

Figure 3. (a) C:H film deposition/erosion rate a.~ function of substrate temperature for 3 values bias potential in a methane plasma; (b) C:H Film (pure) erosion rate for equal conditions in a hydrogen plasma.

2,2

c: 0

ts 2,0 g ~ 0 )( 1,8 Q) "0 .E

1,6

o 20 40 60 so 1 oo ubia. (V)

Figure 4. Refractive index for C:H films (i .e. hardness) as function of bias voltage for methane and acetylene as respective precursor gases (from [6]) .

to (0) directly provides the thickness of the surface modification of about 5 nm, which corresponds to the penetration depth of the 90 eV hydrogen ions during the hardening phase (points (2) to (3)). This transient ion- induced surface modifica­tion seems to be of importance for the final film structure and film properties in the cases of (net) deposition (C- H-plasma) as well as (pure) erosion (H- plasma).

Page 144: Advanced Technologies Based on Wave and Beam Generated Plasmas

130

Ll (deg)

55

Deposition - C:H Erosion - H2 Plasma Erosion - 0 2 Plasma

60 65 70 75 \f (deg)

Figure 5. Ellipsometric IV/ ~--measurement of a sequence of deposition => modification => erosion of a polymer-like C:H film, indicating the formation of a "modified layer" by energetic hydrogen ion bombardment (from [6]) .

Sequence:

(O) => (!)"' C:H deposition , (1) => (2) = H etching by low-energy ions (floating), (2) => (3) = depth modification by H2 plasma (-90 V bias), (3) => (0) "" etching by oxygen plasma

4. Properties of C:H Coatings

Hardness, wear resistance, low friction, chemical and temperature stability, depo­sition at low temperature or optical parameters are some of the most interesting features of the various kinds of carbon-based coatings.

Table 1 summarizes some data on structure (i.e. ratio between sp2-/sp3-coordi­nation), composition, and mechanical features of carbon-based coatings (also in comparison to diamond- and graphite- films).

Of these materials "diamond-like carbon" (a ··C:H) and "hard carbon" (ta- C:H) are two excellent candidates for protective coatin~?;s, specifically on temperature­sensitivP materials, because of their outstanding hardness in combination with wear resistance, low friction ami the possibility of low-temperature deposition for the production process. To indicate thes(~ excellent properties, Fig. 6a gives the test results ("ball test") for the two carbon-based coatings and for a TiN film as alternative, showing clearly the much superior properties for both carbon films. Figure 6b shows the measured values of the friction coefficient [11] .

Page 145: Advanced Technologies Based on Wave and Beam Generated Plasmas

Table I. Structure and composition of C- and C:H coatings.

film film structure C/ H ratio coordination weight (g/ cm3 )

diamond c 100/ 0 sp:l 3.5

graphite c 100/ 0 sp2 :.!.25

"polymer-like" C:H :::::45/ 55 sp3 / sp2 (> 70/ 30) l. . . 1.5

carbon "rliamond-like" carbon a- C:H :::::65/ 35 sp3 j sp2 ( < 40/ 60) 2 ... 2.5

(DLC) "tetra-coord." ta- C:H :::::95/ 5 sp'l ( > 90%) 3.3 . . . 3.5 "hard

carbon"

wear rate rmmJ/Nm) a) coefficient of friction

104 x10"10 0,6 • steel ball

c:J alumina ball

102 0.4

0,2

0 hard

a-C:H carbon TiN coating wear rate

a-C:H hard carbon

131

mechanics

very hard

soft

soft.. .. hard

hard

hard .. . very hard

b)

TiN

Figure 6. (a) Wear rate and (b) friction coefficient of a-C:H and ta- C (ta- C:H) coatings in comparison with T iN coating (from [11]) . (Note: In the steel / TiN wear test a steel layer is deposited on top of the TiN coating.)

The temperature stability of C:H coatings -- especially also in oxygen surround­ings (e.g. under atmospheric conditions)- -is not as good as that of some ceramic layers, but is still satisfactory for many technical applications. To illustrate the temperature influence on carbon-based hard coatings, Fig. 7 gives the measured specific material loss for a-C:D films after 1 h heating time in vacuum and in air fl2j.

According to these annealing measurements, DLC- films can sustain temper­atures of up to 700- 800 K in vacuum. Above this temperature, the hydrogen (deuterium) disappears first. For heating in air, fast erosion starts already at 650 K, almost equally for both atomic components.

Page 146: Advanced Technologies Based on Wave and Beam Generated Plasmas

132

'f 8 F====~;___....._ ..... ....................... ......... ......... . ~ ...... ..

·······0· .. ·· .. 0 6 ~

--o- O(air)

0 300 400

....... ...... o .... . o ..... o .... ..

500 600 700 800 900

Temperature (K)

Figure 7. Erosion of a- C:D films in air and vacuum as function of temperature (annealing time 1 h).

The optical parameters which are also important for C:H films-extinction coefficient and refractive index for both directions of polarization-are shown in Fig. 8 as function of bias voltage 16). Also depicted are the corresponding hydrogen content (given as H/(H+C) ratio) and the density of the films. From this figure one realizes the direct correlation between index of refraction, density, and ion energy (i.e. bias potential).

A further important optical feature of C:H films is their excellent transmittance in the infrared region, which, in combination with outstanding wear resistance, re­sulted in interesting applications for simultaneous optical matching and mechanical protection of IR. optics. An example for such an applicatiol' i'> shown in Fig. 9, which gives the transmittance of a DLC-coated germanium window as function of the wavenumber [15J.

5. Applications

Easy production methods- including film deposition at low (even room) tempera­ture-and outstanding features have led to many useful applications of the various carbon- based coatings. In the following, some important technical fields are listed, where C- H films are being successfully applied. (For further information see also 114, 15, 16, 17]):

Wear-resistant coatings on metals, ceramics, glasses, and plastics

corrosion protection coatings

Page 147: Advanced Technologies Based on Wave and Beam Generated Plasmas

133

soft OlE ~ hard

1Q-1

c:c .Q -~ ge 10'2

ku ~8 wu

10-3

c:

~ 0 2,0 :;:I c.J

~~ 1,6 Q)"' I 0::£

1,2 1,6

i? G' 0,6 1,4 E

c.J + 1,2 .9 :;. 0,5 0..

:X: 0,4 1,0

0,8

0 20 40 60 80 100

IUb~aal (V)

Figure 8. Optical parameters (extinction coefficient, refractive index in the visible range) , film density, relative hydrogen content for C:H films as function of the substrate bias (6) .

100

80

~ B 60 c ~ E ., 40 c

~ 20

0 4000 2666 1666 1000 333

Wavenumber (em'')

Figure 9. Transmittance for uncoated and DLC-coated germanium window.

Page 148: Advanced Technologies Based on Wave and Beam Generated Plasmas

134

tribologica.llayers ("dry oiling")

transport barriers for gases and liquids

(insulating) heat transfer layers for electronic devices

bin- compatible coatings for medical implantations 118, 19]

in- vessel coatings in fusion research [20, 21, 22]

A typical example for the usefulness of G-H films is the coating of temperature­sensitive materials----such as plastic eye glasses- in order to provide wear resistance as well as anti-reflection properties. Such polycarbonate eye glasses coated with a DLG-film were subjected to various (standard) tests:

Abrasion . . . .. . . .. ... . .. . ........ steel wool test Adhesion .. . . ........ . .. . .. .... . .. . . "taper test" Humidity .. ... .. .. . .. .. .. . . 100%; 49°G for 24 h Salt water .. . . .. . . . ......... .. ... . 20% for 24 h UV irradiation .... ..... . ....... . .... .. ... 336 h Temp. cycling . . . . . . ....... 26°-59°G, 1 h, 300x Solvents . . . . . .. . . . .. methanol, acetone, gasoline

All specimen withstood the tests without degradation [15] . DLG films are also used for other optical devices with specific demand for

wear resistivity, such as coatings on Ge, ZnS or ZnSe IR-·-windows [13, 14, 15, 16], coatings on VGR head drums [19], magnetic recording disks [20] and pertaining disk sliders and heads [25, 26], and on glass windows of laser scanners (resulting in a 100 x increase of lifetime) (15].

Acknowledgements

The author wishes to express his gratitude toW. Moller (FZ Rossendorf), W . Ja­cob, A. von Kendell, and J. Kiippers for their valuable contributions.

References

l. Phillips, J.C . (1979) Structure of amorphous (Ge,Si)l - x yx alloys , Phy.s . Rev. Lett. 42 1151 -1154.

2. Angus, J.C. (1986) Empirical categorization and naming of "diamondlike" carbon films, Thin Solid Films 142, 145- 151.

3. Koidl , P., Wild, C., Loche r , R. , and Sah, R .E. (1991) Amorphous Hydrogenated Carbon Films and Related Materials: Plasma Deposition and Film Properties in R.E. Clausing, NATO-AS! Series 8 : Physics , Vol. 266, Plenum Press , N.Y. , pp. 243- 265.

Page 149: Advanced Technologies Based on Wave and Beam Generated Plasmas

135

4. Reinke, P., Jacob, W., and Moller, W.,(1991) Ion Energy Distribution at the Substrate in an ECR Plasma in R.E. Clausing, NATO-AS! Series B: Physics, Vol. 266, Plenum Press, N.Y., pp. 661-667.

5. Reinke, P., Jacob, W., and Moller, W. (1993) The influence of the ion energy on the growth and structure of thin hydrocarbon films, J. Appl. Phys. 74 , 1354-1361.

6. von Keudell, A., and Jacob, W. (1996) Growth and erosion of hydrocarbon films investigated by in situ ellipsometry, J. Appl. Phys. 79, 109::0- 1098.

7. Horn A., Schink A., Biener J. et al. (1994) H atom impact induced chemical erosion reaction at C:H film surface, Chem. Phys. Lett. 231, 193-198.

8. Klippers, J., (1995) The hydrogen surface chemistry of carbon as a plasma facing material, Surface Sience Reports, Vol. 22, No. 1/8, 249-321.

9. Aspnes, D.E., and Studna, A.A. {1975) High Precision Scanning Ellipsometer, Appl. Opt. 14, 220-228.

10. Fukarek, W., and von Keudell, A. {1995) A novel setup for spectroscopic ellipsometry using an acousto-optic tuneable filter Rev. Sci. Instrum. Meth. 66, 3545-3550.

ll. Ronkainen, H., Varjus, S., and Koskinen, J. (1992) Tribological Properties of Diamond-like Carbon Film, Finnish Journal of 1'ribology 11, 1-5.

12. Wang, W., Jacob, W., and Roth, J. {1997) Oxidation and hydrogen isotope exchange in amorphous deuterated carbon films, Joum. of Nucl. Mat. 245, 66-71.

13. Zhang, G.F., et al. (1994) Studies on diamondlike carbon films for antireflection coatings of infrared optical materials, .J. Appl. Phys. 76, 705-707

14. Lettington, A. H., and Smith, C. (1992) Optical properties and applications of diamond- like carbon coatings, Diamond Related Mater. 1, 805-809.

15. Kimock, F .M. , and Knapp, B .J. (1993) Commercial applications of ion beam deposited diamond-like carbon (DLC) coatings , Surf. Coat. Techno!. 56, 273-279.

16. Lettington, A.H., (1993) Applications of diamond-like carbon thin films , Phil. 1rans. Roy. Soc. London A 342, 287- 296.

17. Enke, K. (1989) Amorphous hydrgenated carbon (a--C :H) for optical, electrical and mechanical applications applications, Mat. Sci. Forum 52/ 53, 559- 576.

18. Mitura, S. et al. (1994) Influence of carbon coatings origin on the properties important for biomedical application, Diamond Related Mater. 5, 1185- 1188.

19. Butter, R. et al. (1995) In vitro studies of DLC coatings with silicon intermediate layer, Diamond Related Mater. 4, 857 861.

20. Federici, G ., and Wu, C.H. (1993) Modelling of the interaction of hydrogen plasma with amorphous carbon films redeposited in fusion devices , J. Nucl. Mater. 207, 62- 85.

21. Annen, A., von Keudell, A., and Jacob, W. (1993) Erosion of amorphous hydrogenated boron-carbon thin films, J. Nucl. Mater. 231, 151- 154.

22. Winter, J. (1996) Wall conditioning in fusion devices and its influence on plasma performance, Plas. Phys. Contr .. Fusion 38, 1503-1542.

23. Lee, K.R., and Eun, K.Y. (1996) Tribology of diamond-like carbon-coated VCR head drums, Mater. Sci. Eng. A 209, 264-269.

24. Iechika, K., Kokaku, Y. , Ootake, M., Abe, K., Tani, H., and Inaba, H . (1994) Performance of hard DLC protective film prepared by PECVD method for thin film magnetic disk, IEEE TI-ans. Magn. 30, 4134 ---4136.

25. Raman, V., and Tang, W.T. (1993) Environment dependent stiction and durability in hydrogenated carbon overcoated thin film disks , IEEE 1rans. Magn. 29, 3933- 3935.

26. Wang, L .C. et al. (1996) Radio Frequency ion beam deposition of diamond- like carbon for sliders and heads, .J. Appl. Phys. 79, 5776-5778.

Page 150: Advanced Technologies Based on Wave and Beam Generated Plasmas

SUBSTRATE BIASING DURING PLASMA PROCESSING: INTEREST, METHODS AND LIMITATIONS

J. PELLETIER Laboratoire d'Electrostatique et de Materiaux Dielectriques Unite Mixte de Recherche C5517 Centre National de La Recherche Scientifique, Universite Joseph Fourier 25 rue des Manyrs, BP 166, F-38042 Grenoble Cedex 9, France E-mail: [email protected]

In plasma processing, the main parameters that characterize the plasma-surface interaction besides surface temperature are the flux of reactive species and the intensity of the bombardment by charged species (ions and electrons). In particular, ion bombardment, which ensures the continuous cleaning of the surface during a deposition process, also influences the properties of the deposited layer. The bombardment energy of charged species can be adjusted by biasing the substrate surface with respect to the plasma potential. When the substrate is a conductor. its surface can be biased by applying a d.c. voltage. When the substrate is an insulator. it can be biased negatively with respect to the floating potential by applying periodic voltages via capacitive coupling. The influence of biasing frequency as well as the various limitations in substrate biasing are emphasized ans thoroughly discussed.

l. Introduction

Plasma processing of high-performance materials is becoming a critical technology not only in the electronics industry but also in the aerospace, automotive, steel, biomedical, textile, optics and paper industries. Due to the diversity of applications, plasma processing will in the near future have to cover a broad range of geometries. dimensions, chemical systems, electromagnetic designs and plasma-surface interactions.

The main advantage of plasma processing over many other dry processing techniques lies in the generation, firstly, of active neutral species (reactive atoms, radicals) which allows processing at ambient or even cryogenic temperatures ; and secondly of ions which may be used for the energetic bombardment of surfaces. Ion bombardment. which ensures continuous cleaning of the surface during the process, makes it highly reproducible. It can also dramatically influence the properties of the surface layer (morphology, crystallography, composition , adhesion, surface roughness, stress, etc.). Therefore, compared with other conventional dry surface processing

137

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 137- 148. @ 1999 Kluwer Academic Publishers.

Page 151: Advanced Technologies Based on Wave and Beam Generated Plasmas

138

techniques, plasma provides, through the plasma-surface interaction, the additional degrees of freedom necessary to reach the strictest process specifications. Therefore, in high-density low-pressure plasmas capable of producing significant ion fluxes with respect to neutral fluxes, independent substrate biasing [1), which allows accurate adjustment of the ion bombardment energy. may lead to high-performance processes : anisotropic and selective etching, low-temperature Si epitaxy and doping, planarizing deposition of Si02 layers, deposition of high-performance metal and alloy materials, etc.

The aim of this paper is to emphasize, using a few selected examples, the necessity of ion bombardment and the desirability of ion energy control in many processes and especially in the preparation of thin films by-plasma enhanced CYD (PECYD). In particular, we show how to control accurately and independently the various parameters of the plasma-surface interaction and in particular the bias of the substrate surface with respect to the plasma potential. Therefore, after a description of d.c. and r.f. biasing, we list and discuss the various limitations which currently occur in substrate biasing.

2. Preparation of thin films by CVD in high-density low-pressure plasmas

2.1. CONTROL OF PROCESS PARAMETERS

In most surface treatment applications. the main parameters that characterize the plasma­surface interaction besides surface temperature, are the flux of reactive species and the flux and energy of ion bombardment. The production rates of ions and reactive species increase with power density in the plasma. Since the concentration of reactive species largely depends on ion-molecule reactions occurring in the gas phase, one can selectively control the concentration of reactive species by varying independently the partial pressure of the reactive parent gases. When possible, the ion bombardment energy can also adjusted independently of plasma generation by biasing the substrate surface with respect to the plasma potential : when the substrate is a conductor, it can be biased by simply applying a d.c. voltage to it ; when the substrate is an insulator, it can be biased only by applying a periodic (r.f.) voltage through a low-impedance capacitor [ 1] . The substrate surface can thus be charged by capacitive coupling. In the following examples, thin films are deposited by PECVD in high-density low-pressure plasmas. allowing the separate control of all process parameters of the plasma-surface interaction.

2.2 SILICON EPITAXY AND DOPING

Silicon epitaxy in silane plasmas is possible down to a substrate temperature of 300° C , but epitaxy of acceptable quality requires at least 600° C, i.e. 200 K lower than in CYD [2]. Hydrogen desorption from the silicon surface by low-energy ion impact appears to be the rate determining step. Introduction of phosphine, diborane or arsenic in the silane plasma produces the intentional doping of the epitaxial silicon. However, doping by arsenic [2) requires the assistance of an ion bombardment energy of a few tens of e Y ; because of their larger size. arsenic atoms are not easily incorporated into the silicon

Page 152: Advanced Technologies Based on Wave and Beam Generated Plasmas

139

lattice and tend to remain at the surface during the layer growth. Clearly, ion bombardment forces arsenic atoms to penetrate into the silicon lattice.

2.3. PLANARIZING Si02 DEPOSITION

Deposition of silicon dioxide is an important step in the fabrication of semiconductor devices. Present trends in deposited Si02 films are towards lower deposition temperatures and better control of the quality of the oxides [3-5]. In particular, the characteristics of the oxides deposited without intentional heating from SiHi02 mixtures in high-density low-pressure plasmas have been shown to be strongly dependent on ion bombardment energy. For ion energies higher than 50 eV, many of the film characteristics (refractive index, infra-red spectrum, wet etch rate, fixed charge density) are close to those of thermal Si02 [3-5].

However, in the fabrication of submicron circuits, the challenge was to deposit Si02 using a planarizing process, i.e. conforming to the surface topography with void­free filling of high aspect ratio trenches. Such an objective cannot be achieved successfully without simultaneous sputter etching during Si02 deposition. Therefore, without bias, one cannot fill vias with an aspect ratio larger than 0.6. With a 200 V bias voltage, vias with aspect ratios up to 2 can be filled successfully [ 4].

2.4. LOW-RESISTIVITY Cu THIN FILMS

Owing to its low-bulk resistivity (1.7 f..1ilcm), copper is one of the most attractive materials for interconnections in sub-halfmicron circuit fabrication. Copper films are usually prepared by evaporation or sputtering. However, CVD and PECVD processes exhibit intrinsic advantages over physical deposition methods, especially in terms of conformal step coverage and fine-grained structure.

Copper-containing films have been produced by PECDV in a conventional r.f. discharge from copper (II) hexafluoracetylacetonate with significant incorporation of fluorine in the film. More recently, pure copper films have been prepared at ambient temperature by ECR microwave plasma-enhanced CVD from the copper (II) acetylacetonate-argon-hydrogen system. The bias voltage applied to the substrate and the gas phase composition appeared to be critical deposition parameters. Oxygen was never detected in the deposited material owing to the presence of carbon and hydrogen in the copper complex . However, since the spontaneous reaction rate of excess carbon with hydrogen is negligible at room temperature, carbon residues in the films can be removed only by ion induced etching. Thus, with the addition of hydrogen to the plasma (excess hydrogen) and an ion bombardment energy of 50 eV, pure copper films with low resistivities (2-3 J.lilcm) can be deposited at ambient temperature [6].

2.5. LOW-RESISTIVITY W THIN FILMS

As in the case of copper, PECVD of tungsten films in high-pressure plasmas generally leads to the deposition of the high-resistivity J3-tungsten phase [7]. The presence of this /3-phase, usually observed in low-temperature PECVD [8], is attributed to the

Page 153: Advanced Technologies Based on Wave and Beam Generated Plasmas

140

incorporation of impurities such as oxygen, fluorine or silicon [7]. In high-density low-pressure plasmas, the intensity of the ion bombardment

enhances the desorption of reaction product, i.e. FH and/or SiF4 , so that tungsten films with much lower resistivities can be deposited. However, without intentional substrate heating, the resisitivity of the films strongly depends on plasma conditions [9]. In contrast, at 300° C, resistivity values between 7 and 9 J.Ulcm are obtained which do not significantly depend on plasma conditions [9]. Clearly, above 300° C, FH desorption is controlled mainly by temperature.

3. Substrate biasing

The above examples illustrate the different possibilities offered by an intense ion bombardment of the surface and demonstrate the necessity of an accurate and independent control of the ion energy, i.e. an accurate and independent substrate biasing of the susbstrate with respect to the plasma potential.

3.1. SUBSTRATE BIASING WITH d.c. VOLTAGES

3 .1.1. Plasma free of negative ions The current-voltage characteristic of a plane substrate is sketched in Fig. 1 for the case of a plasma free of negative ions. For d.c . voltages positive with respect to the plasma potential (V > V p), the positive ions assumed to be cold (Ti << Te) are all repelled, while all the electrons crossing the sheath edge are collected. The electron current at saturation is thus constant and proportional to the random (thermal) flux of the electrons in the plasma

(1)

where ne, Te and me are the electron density, temperature, charge and mass respectively, k is the Boltzmann constant, and S is the surface of the substrate. For d.c. voltages negative with respect to the plasma potential (V < VP), the saturation ion current density is given by application of the Bohm criterion

lis= eni S (kTe/ mf2 exp (- 112) (2)

where ni is the ion density (ni ::: ne) and mi is the ion mass, and all the electrons with thermal energy less than e(V P - V) are repelled. For V < V P' since we have a Maxwellian distribution. the current of electrons collected is

(3)

The floating potential V r corresponds by definition to equal electron and ion current intensities

Page 154: Advanced Technologies Based on Wave and Beam Generated Plasmas

141

-I

V·Vp

Vo

Figure 1. Schematic diagram showing the 1-V characteristic of a plane substrate in a plasma. The origin of potentials is the plasma potential V P and Vr is the floating potential. The result of superposing a periodic

voltage on the non-linear 1-V characteristic produces a d.c. component Vo of the biasing periodic voltage applied on the substrate. Note that with a sinusoidal voltage, Vo is less negative than with a square signal.

(4)

and is given by

(5)

When a conducting substrate is biased negatively at a d.c. potential V 0 with respect to the plasma potential, the energy W, of the ion bombardment is constant in time and equal to

(6)

When submitting electrons with a Maxwellian distribution to a d.c. voltage difference V P - V 0, the whole distribution is shifted accordingly and the electron current collected follows eqn. (3) for V = V 0. In practice, the electron current can be assumed negligible with respect to the ion current density when

(7)

When biased positively relatively to the reactor wall, a substrate with an area that is not negligible with respect to the reactor wall leads to a correlative positive shift of the plasma potential (see Ref. I 0). As a result, it is impossible to bias a large substrate positively with respect to the plasma potential.

Page 155: Advanced Technologies Based on Wave and Beam Generated Plasmas

142

3.1.2. Plasma with negative ions. In the more general case of a reactive plasma with negative ions, an additional current component appears in the current-voltage characteristic of a plane Langmuir probe. For a d.c. voltage negative with respect to the plasma potential (V < V P)' all the negative ions, assumed to be cold (temperature of the negative ions of the order of T;) are repelled. For a d.c. voltage positive with respect to the plasma potential (V > V p), the saturation negative ion current il generally negligible compared to the saturation electron current. However, the electron current (eqns. (1) and (3)) is correlatively reduced according to

I •• = e~n; S (kT. I 27tm.) 112 (8)

for V > V P' where ~ = n/n; is the fraction of electrons in the plasma (0 < ~ ~ 1) and for V < VP, we have

I.= - e~n; S (kT. I 21tm.) 112 exp [ e(V - V p) I kT.], (9)

while the positive ion saturation current remains unchanged (eqn. (2)). Since large substrates cannot be biased positively with respect to the plasma

potential as seen above, negative ions, which are present in most reactive plasmas, cannot be directly involved in plasma surface interaction through ion bombardment. Actually, their main effect is to reduce the electron density in the plasma and thus, according to eqns. (2), ( 4) and (9), to decrease the difference between the floating potential and the plasma potential

Vr- VP=- (kT. I 2e) [1 +In (m; I 27tm.) + 2 In~]. (10)

In conclusion, large conducting substrates in plasmas including those with negative ions, can only be biased negatively with respect to the plasma potential by applying d.c. voltages. The main interest of this biasing mode is that the electron current impinging on the substrate can be eliminated with respect to the positive ion bombardment current. provided that the bias voltage is more negative than 2V r- V P (eqn. (7)). However, due to arcing between substrate and walls, d.c. biasing is usually limited to approximately -100 V. We will see below that RF biasing is in general more appropriate than d.c. biasing, since it acts on both conducting and insulating substrates while also permitting one to attain more negative voltages.

3.2. SUBSTRATE BIASING WITH PERIODIC VOLTAGES

When a periodic voltage is applied to an insulating substrate as shown in Fig. 2, the variations in the electric charge at the surface of the substrate modify the boundary conditions of the plasma sheath between the plasma and the substrate. This disturbance

Page 156: Advanced Technologies Based on Wave and Beam Generated Plasmas

PLASMA (Vp)

WAFER{VO)

SHIELDING

I REACTOR

WALL

~ SUBSTRATE HOLDER

Figurt 2. Sketch showing the principle of r.f. biasing.

143

propagates as a space-charge wave through the sheath with a time scale t. governed by the dynamics of the ions, the slowest charged species. In the case of a collisionless sheath, t, is of the order of 27tloopi where oopi is the ion plasma angular frequency. As a result, the influence of the bias frequency ooRF/21t will depend on its value with respect to oop•·

To characterize the effect of the biasing voltage, we distinguish three cases depending on the value of 00u compared to oopi and to ooP•' the electron plasma angular frequency : i) ooRF << oopi << oope : at such a low bias frequency, the sheath is at each instant in static equilibrium with the applied periodic voltage; ii) oopi << ~F << OOP• : the electrons are still in static equilibrium with the periodic voltage whereas the ions appear frozen with respect to it. The ions are then only influenced by the d.c . voltage component induced on the surface by the periodic voltage, as discussed below ; iii) ooP, << ooP• << ooRF, both electrons and ions appear frozen with respect to the periodic voltage. The sheath is not perturbed and the substrate surface remains at the floating potential, and biasing is thus useless, as would be biasing an insulating substrate with a d.c. voltage.

On a substrate surface which cannot drain away d.c. currents, a d.c. voltage component appears because of the nonlinearity of the voltage-current characteristic, which is similar to that of a plane probe. This voltage V0 can be derived by using the fact that the variation at the electric charge on the substrate surface over one period is zero. which we write

(11)

Page 157: Advanced Technologies Based on Wave and Beam Generated Plasmas

144

As a result of the dissymmetry between the ion and electron current densities, the potential of the surface usually remains negative with respect to the plasma potential (see § 3.3). In the case of a plane substrate, which exhibits a constant ion saturation current, the nonlinearity is only due to the electron contribution in the repulsive domain (V < V p). In the general case of a substrate of undefmed shape, the nonlinearity of the characteristic is due to both ions and electrons.

Figure I shows the effect of applying a periodic signal to a plane surface substrate. In the simple case of a square wave signal, the d.c. bias voltage settles at a value V0 such that the total current collected during one half-period exactly balances the total current collected during the next half-period.

The derivation of the d.c. voltage component V 0 for different shapes of the applied voltage on a plane substrate can be calculated assuming a Maxwellian distribution. The calculation also demonstrates that V 0, when referred to the floating potential, is independent of the presence of negative ions in the plasma.

3.3. CALCULATION OF THE d.c. BIAS VOLTAGE

The substrate considered as a large plane probe, is biased by application of a periodic voltage through a low impedance capacitor (Fig. 2). The potential taken by the substrate surface immersed in the plasma is of the form V0 + V(t) where V0 is the mean d.c. component and V(t) the periodic component of the potential (see Fig. 3). For a Maxwellian distribution function of electrons, the electron current collected at time t for V < V P' where V P is the origin of potentials (V P :: 0), is given by (see eqn. (3))

Vo

::1 I I I. I j I T

Vo

Figure 3. Schematic diagrams showing the bias d. c. component Yo for two different shapes of the r.f. biasing voltage.

Page 158: Advanced Technologies Based on Wave and Beam Generated Plasmas

145

I.= - ene S (kTe I 21tme) 112 exp [e(Y0 + Y(t)) I kTel· (12)

On a plane substrate, for Y < Y P' the saturation ion current is time independent. Its

value is given by eqn. (2). In a steady-state, assuming a zero electric charge collected on the substrate surface

over a period T, (eqn. (11 )) yields

Tn; exp (- 112) I m; 112 = exp (eY0 I kTe) J T exp [eY(t) I kT.] dt. (13) 0

The d.c. bias voltage Y 0 thus takes the simple form

Y0 = Yr- (kTe I e) In U (14)

where Yr is the floating potential (eqn. (10)) and

U = 1 IT [J T exp [eY(t) I kT.l dt]. 0

(15)

i)Square shape. The U value is given by

f T/2 f T U = 1 IT [ exp (eYRF I kT.) dt + exp (- eYRF I kTe) dt).

0 T/2 (16)

Integration gives

U =cosh (eYRF I kT.). (17)

The bias voltage (eqn. (14)) is now

Y0 = Yr- (kTe I e) In [cosh (eYRF I kT.)]. (18)

For e Y RF >> kTe, the asymptotic value for Y0 becomes

Y0 = Yr- YRF + (kTe I e) In 2; (19)

The second term in the right-hand side of this equation is a corrective term, independent

of the amplitude of the periodic voltage Y RF·

ii) Sine shape. The time dependence of the signal has the form

Y(t) = YRFCOS (21tt IT). (20)

Page 159: Advanced Technologies Based on Wave and Beam Generated Plasmas

146

The corresponding value of U is

U = I IT [J T exp [eY RF I kTc cos (27tt IT)] dt]. 0

(21)

Integration gives

(22)

where Io is the zero-order modified Bessel function. The resulting d.c. bias voltage is

(23)

For eY RF >> kTc, the symptotic value of Y0 becomes

Y0 = Yr- YRF + (kTc I 2e) In (27te YRF I kTc) (24)

where the corrective term now does depend on Y RF·

iii) Validity of calculations. Finally, an important point to be dealt with is the domain of validity of the above calculations. In particular, the basic hypothesis Y < Y r with Y r = 0, adopted for the calculation of the collected currents, may not always be valid. In the case of the sine-shaped signal, the most positive potential Y0 + Y RF reached during a period takes the value (see eqn. (24)).

(25)

The plasma potential (YP = 0) is reached when the right-hand side of eqn. (25) becomes zero. For a plasma free of negative ions, one obtains

(26)

For argon (40 a.m.u.), the numerical application gives eYRF = 1.9 x 103 kTc, indicating the drastic conditions required to escape the domain of validity for the calculations. Obviously, these conditions are less severe when negative ions are present, but for most surface processes, the assumption Y < 0 remains valid so that negative ions are generally not involved in the bombardment of surfaces by charged species.

3.4. LIMITATIONS IN SUBSTRATE BIASING

3.4.1. Range of Vo with respect to Vp

As discussed above, one cannot d.c. bias large substrates positively with respect to the plasma potential. The possibility of biasing positively sufficiently small substrates

Page 160: Advanced Technologies Based on Wave and Beam Generated Plasmas

147

with d.c. voltages can be of interest, for example, to understand the role of electrons in surface processes in reactive plasmas.

With periodic biasing, the d.c . component Y0 is limited to voltages such that Y 0 < Yr. Hence, to achieve very low ion bombardment energies (eqn. (6)), one must succeed in lowering YP - Yr (eqn. (10)). This can be accomplished by reducing the electron temperature or increasing the concentration of negative ions in the plasma.

3 .. 4.2. Control of electron bombardment flux In the case of d .c. biasing, the electron contribution to surface bombardment decreases when decreasing the bias voltage towards negative values. In contrast, when using periodic voltage biasing, the electron contribution to surface bombardment is independent of V 0 for plane substrates. The only remaining degree of freedom is the control of the time distribution of the electron current arrival during a period.

3.4.3. Influence of the biasing frequency on the ion energy distribution function A critical parameter for many surface processes is the distribution of the ion energy as a function of the bias frequency. When rop; << roRF << rope• the ion energy is time independent. According to eqns. (6) and (12), the rnonokinetic ion bombardment energy for an applied square wave signal is then given by

(27)

In contrast, when roRF << ropi• the energy distribution follows the evolution of the periodic biasing voltage so that it is 2e Y RF wide, with the maximum ion energy given by

(28)

The control of the ion energy distribution in surface processes is of prime importance when bombardment effects, such as sputtering, do not vary linearly with ion energy . It implies knowing the ion plasma frequency rop/21t to choose the best bias frequency ffiru/21t for the application considered. For plasma densities of the order of 1011

crn·3, biasing at 40.68 MHz (an ISM authorized frequency) ensures that ooRF >> roP, for practically all ion masses.

3.4.4. Limitations due to capacitance effects Another limitation to biasing with periodic voltages can result from the coupling capacitor in the biasing circuit (Fig. (2)). When the plasma density is increased, the collected currents and the voltage drop through the capacitor also increase, reducing the effective voltage on the substrate surface. The answer is to decrease the capacitor impedance, by increasing either the capacity value or the frequency of the biasing voltage.

A similar problem arises in the case of a thick dielectric substrate, since it behaves as a high impedance coupling capacitor. The decrease of its intrinsic impedance

Page 161: Advanced Technologies Based on Wave and Beam Generated Plasmas

148

thus requires a corresponding increase in the bias frequency. This shows the difficulty, if not the impossibility, of controlling the bias of too thick dielectric substrates in high density plasmas.

4. Conclusions and perspectives

In the near and for future , processes with more and more severe specifications will be developped, thus requiring the full control of the parameters of plasma-surface interaction and in particular of the ion bombardment energy. However, for an accurate control of the ion energy distribution function (lED F) on a surface, additionnal plasma characteristics are mandatory. As examples, pulsed plasmas, plasmas with collisional sheaths, plasmas sustained at an excitation frequency lower than the ion plasma frequency, plasmas with magnetic field gradients (which induce space charge effects and drift velocities) can only lead to uncontrolled IEDF. In contrast, with quiescent, low pressure and magnetic field free plasmas, controlled IEDF can be obtained : when the biasing frequency is much higher than the ion plasma frequency , the ion bombardment is monokinetic ; on the contrary, when the biasing frequency is much lower than the ion plasma frequency, the IEDF can be controlled through the shape of the biasing voltage. In addition, low density plasmas are clearly much more adapted to surface biasing of thick dielectric layers. Also, plasmas with low electron temperatures appear essential in order to reach the very low ion energy range.

5. References

I. Pomot, C., and Pelletier, J. (1992) High frequency sustained multipolar plasmas, in Moisan, M., and Pelletier, J. (eds), Microwave Excited Plasmas, Elsevier, Amsterdam, 385-418.

2. Burke, R., Pelletier, J., Pomot. C. and Vallier, L. (1990) Distributed electron cyclotron resonance (DECR) in silicon processing: epitaxy and etching, J. Vac. Sci. Techno/. AS, 2931-2938.

3. Plais, F., Agius. B., Proust, N. , Cassette, S., Ravel, G., and Puech, M. (1991) Electrical properties of distributed electron cyclotron resonance plasma deposited Si02-InP diodes. Appl. Phys. Len. 59, 837-839.

4. Tissier, A. , Khallayoune, J., Gerodolle, A., and Hulzing, B. (1991) Planarized intermetal dielectric deposited by DECR CVD, J. Physique IV, l(C2), 437-444.

5. Joubert, 0 ., Burke, R., Vallier, J., Martinet, C., and Devine, R. (1993) Influence of ion energy on the physical properties of plasma deposited Si02 films. Appl. Phys. Lett. 62, 228-230.

6. Pelletier. J., Pantel , R., Oberlin, J.C., Pauleau, Y., and Gouy-Pailler, P. (1991). Preparation of copper thin films et ambient temperature by microwave plasma-enhanced chemical vapor deposition from the copper (II ) acetylacetonate-argon-hydrogen system, J. Appl. Phys. 70, 3862-3866.

7. Green. W.M., Hess, D.W. and Oldham, W.G. (1988) Plasma- and gas-surface interactions duri ng the chemical vapor deposition of tungsten from HjWF6• J. Appl. Phys. 64, 4696-4703.

8. Kim. Y.T., Min, S.K., Hong. J.S., and Kim. C.K. (1991) Highly conductive tungsten thin films prepared by the plasma-assisted silane reduction process, Jpn. J. Appl. Phys. 30, 820-826.

9. Belkacem, A .. Arnal. Y. , Pelletier, J., Andre, E .• and Oberlin, J.C. (1994) Preparation of low resistivity tungsten thin films deposited by microwave-plasma-enhanced chemical vapour deposition from the tungstene hexafluoride-hydrogen system, Thin Solid films, 241, 301-304.

10. Burke, R. , and Pelletier, J. (1992) Discharges confined by multipolar magnetic fields . in Moisan. M .. and Pelletier, J. (eds), Microwave Excited Plasmas. Elsevier, Amsterdam, 273-301.

Page 162: Advanced Technologies Based on Wave and Beam Generated Plasmas

ION ENERGY DISTRIBUTIONS

G.M.W. Kroesen, M. van de Grift, R.J.M.M. Snijkers, F.J. de Hoog Eindhoven University of Technology P.O. Box 513, 5600MB Eindhoven, The Netherlands

1. Introduction In present day production technology, the fabrication of integrated circuits (IC's) is unthinkable without plasmas being used for etching and deposition purposes. For deposition, the plasmas produce reactive species which are deposited on the substrate to make thin layers. For etching, structures with steep walls are created by enhanced etching perpendicular to the surface, caused by a directed flux of ions from the plasma. The reason for the success of plasma etching is that the process is highly anisotropic. Conventional, wet etching techniques use chemical etchants to selectively remove substrate material, without affecting the mask which covers other areas. Most of these processes are isotropic because there is no preferential direction for etching. Typical structures as in figure 1 a are created with this method. The etchant is highly selective on substrate material A and not, or less active on material B.

The need for smaller structures to produce more components per unit area has increased the interest in plasma etching. Plasmas are able to etch structures with steep walls, as shown in figure 1 b.

Material A

Material B

a)

Figure I :Structures created by isotropic (a) and anisotropic (b) etching.

149

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 149-173. © 1999 Kluwer Academic Publishers.

Page 163: Advanced Technologies Based on Wave and Beam Generated Plasmas

150

1.1. Etch mechanisms

Four different etch mechanisms can be distinguished in the plasma: 1. physical sputtering : The ions from the plasma bombard the surface with typical

energies of 1000 eV, which are much higher than the minimum energy needed to free particles from the substrate surface. The material is removed by transfer of kinetic energy due to the collisions of plasma ions with substrate molecules. The disadvantage of this technique is that high ion energies have to be produced, which can cause damage to the mask and the substrate. The selectivity is low and the etch rate is poor.

2. chemical etching: The plasma forms species, which are selectively reacting with the surface particles. When the chemical process forms volatile products which can desorb from the surface, the substrate is etched. This process is highly selective, but isotropic.

3. ion assisted etching : The etch effect of the previous mechanism can be enhanced when the reactive species are bombarded with energetic ions. Figure 3 shows the effect of this synergy. An argon ion beam is used in combination with a reactive gas ( XeF2) to etch amorphous silicon. Due to the vertical ion bombardment, this etch process is anisotropic.

4. reactive ion etching (RIE) : Here, the reactive species are molecular ions which bombard the surface. The combination of their kinetic energy and selectivity results in an anisotropic etch process.

The anisotropic effect is enhanced when the reaction products are covering the sidewalls of the structure. As a result, the etching stops. This effect is called "sidewall passivation". The directed ion beam removes only the layers at the bottom, resulting in steep walls2.

s E < " :; a: .c l:! w c 0 ~

iJJ

70

60

50

40

30

20

10

rXeF2Gas+Ar+ I on Beam+ XeF 2Gas-+- Ar+ I on Beam --J Only Only

..

...................

.... ................................

·.· .. ·················· oL-~1oo~-~2oo~~J±oo~~4~oo~~s~oo~~soo~~7oo~-.a~oo~<oo~o

Time I sec)

Figure 2: Example of ion assisted etching, as measured by Coburn et a/. 1

Page 164: Advanced Technologies Based on Wave and Beam Generated Plasmas

151

A plasma etching application, which is well established nowadays, is the etching of silicon dioxide with halo-carbons. When CF4 is used as the main gas, it produces CF/ by dissociative ionization in the plasma :

CF4+ e ~ CF3' + F + 2e. Also CF2 and CF3 are produced by electron-neutral dissociation. Under conditions of ion bombardment, the CF2 radicals can react selectively with the silicon dioxide at the substrate, creating the volatile SiF4 and C02:

SiOz(s) + 2CF2(g) ~ SiF4(g) + C02(g), where (s) and (g) indicate the solid and gaseous phases of the products. The volatile SiF4 and C02 are pumped away and the silicon dioxide is etched. The anisotropy is caused by the dependence of the etching process on the presence of ion bombardment with sufficiently large energy and flux. These plasmas also etch silicon. The F atoms spontaneously react with the silicon at the substrate, creating the volatile SiF4 :

Si(s) + 4F{g) ~ SiF4(g). The volatile SiF4 is pumped away and the silicon is etched. When the process in the reactor is specifically used for the etching of silicon dioxide, silicon etching should be suppressed as much as possible. In many cases, the etching should stop when the bottom of the Si02 layer is reached. In many cases, an over-etch is used to compensate for non-uniformity. In that case, the etching process should be selective. The selectivity is in this case defined as the ratio of the etch rates of Si02 and Si.

The selectivity can be influenced by changing the gas mixture. By adding small amounts of hydrogen, the etch rate ofSi decreases much faster compared to that of Si02. Therefore hydrogen can be used to selectively etch silicon oxide over silicon. By adding amounts of oxygen, however, the etch rate of silicon is increased, while the etch rate of silicon oxide remains constant. In hydrogen containing fluorocarbon mixtures, the CF2 radicals readily polymerize at the surface. This polymerisation is stopped when simultaneously oxygen (from Si02) and ion bombardment are provided. This is the case at the bottom of the trench to be etched, but not at the side wall. Therefore this process combines a good selectivity with a good anisotropy, and it is currently the standard in production.

With ion enhanced etching, the anisotropy of the etch process is mainly determined by the velocity distribution of the ions reaching the surface. When the velocity component of the ions parallel to the surface is not small, the substrate will be underetched. The etch rate is determined by the ion energy and flux. In various etching applications a compromise must be made between high etch rate, surface damage and selectivity. In the rest of this paper, we will concentrate on the ion energy distribution, both in the plasma and at the surface.

For the etch process it is important that the ion flux to the substrate has a limited degree of divergence to prevent under-etching. Inside the plasma the ions start with thermal velocities and are accelerated towards the Bohm velocity at the sheath edge. There, the ions are accelerated in the electric field, which is determined by the electrode potential, to gain their final energy with which they reach the wafer surface.

In low pressure plasmas, where the mean free path of the ions is much larger than the Debye length, the sheath is considered collisionless. In this case, the spread in

Page 165: Advanced Technologies Based on Wave and Beam Generated Plasmas

152

c

Figure 3.· Basic setup uf a capacitively coupled plasma source.

the ion velocities at the surface is directly determined by the three dimensional ion velocity distribution function (IVDF) at the edge of the sheath. While traveling through the sheath, the velocity in the direction towards the electrode (z-direction) is increased, whereas the velocities in the perpendicular directions remain unchanged.

Source!tfor plasma etching

Capacitively coupled plasma (CCP) sources

The most commonly used plasma-etch reactor of today is the capacitively coupled RF discharge or RF diode. The reactor consists of two parallel electrodes, separated at a distance/, see figure 3. The most common RF frequency is 13.56 MHz, a frequency which does not interfere with radio and television broadcasting bands. The gap between the electrodes is filled with the etch gas . When the gas is at a low pressure, typically in the order of I 0 Pa, the sources are called reactive ion etchers (RIE ' s). This name is rather confusing, because the etch process can also be dominated by reactive neutrals, in combination with energetic ion bombardment. At 13.56 MHz, the ions are not able to follow the electromagnetic field, whereas the electrons are. Therefore, the electromagnetic energy will be mainly absorbed by the electrons, which can ionize the neutrals. The ions are much slower than the electron due to their mass. This results in a faster loss of negative charge to the walls. The resulting potential difference between the walls and the plasma creates an electric field region at the edge of the plasma. This region, the sheath, accelerates the ions and slows down the electrons, balancing the fluxes of positively and negatively charged particles to the walls. The ions, which now reach the substrate with a high energy, are responsible for the plasma etch process. The total ion energy is controlled by this effect, in combination with the potential of the wall itself, determined by the voltage over the electrodes. To produce more ions in the plasma, the electrode potential has to increase. Simultaneously the ion energy at the electrode surface increases, which can cause unwanted effects, like damage.

Page 166: Advanced Technologies Based on Wave and Beam Generated Plasmas

153

High density plasma (HDP) sources3

A major drawback of capacitively coupled plasma sources is that the ion flux to the substrate surface cannot be varied independently of the bombarding energy of the ions. When a high degree of anisotropy is desired, the pressure of the gas should be lowered to decrease the number of coll isions in the ion acceleration region. For capacitively coupled plasma (CCP) sources this means that to achieve high etch rates, the voltage should increase. This will result in higher ion energies on the surface, causing damage and loss of selectivity. These limitations have led to the development of high density plasma (HDP) sources. Here, separate mechanisms are used to control the ion flux and the ion bombarding energy. The operational conditions and the resulting plasma parameters are shown in table 1. They are compared with the values for the capacitively coupled plasma sources.

Table I : Typical values for CCP and HDP sources. 4

Parameter Units CCP source HDP source Pressure p Pa I- 100 0.05- 10 Applied power P w 50-2000 100-5000 Frequency/ MHz 0.05- 13.56 0-2450 Volume V m·3 10·3 - 10·2 2·1 o·3 - s-10·2

Typical area A m2 3-10·2 - 0.2 3·10-2 -0.1 Magnetic field B kG 0-0.1 Plasma density n m·3 1015- 1017 1016- 1018

Electron temperature Te eV 1 - 5 2-7 Ion energy £i eV 200- 1000 20- 500 Ionization degree a w-6- w-3 1 o-4- 10·1

In figure 4 some examples of high density sources are shown. A capacitively coupled RF bias source drives the electrode where the wafer is placed, to control the ion bombarding energy. All types use a non capacitive source to inject power in the system, resulting in a high ion density. Firstly, the electron cyclotron resonance (ECR) plasma reactor is shown. Here, one or more permanent magnets surround the plasma chamber to create a de, axially varying magnetic field. Microwave power is injected into the plasma through a dielectric window. This heats the electrons, which can ionize the gas in the plasma chamber. The helicon source uses a de magnetic field in combination with an RF driven antenna. The excited helicon wave interacts with the electrons in the chamber, resulting in a transfer of energy. The helical resonator consists of a resonating structure, creating a slow electromagnetic wave. The last source in figure 4 is the inductively coupled plasma (ICP) source. The cylindrical coil and the plasma together act as a transformer, where the latter is the secondary, single tum, conductor. The power is inductively coupled through a dielectric window without using external magnets. Present day research on high density sources focuses on the uniformity of the plasmas over a 300 mm wafer diameter. Disadvantages of these systems are the poor power

Page 167: Advanced Technologies Based on Wave and Beam Generated Plasmas

154

transfer efficiency through the dielectric window and the need for ultra high vacuum equipment to operate at low pressures.

2. Experimental techniques

for the determination of the ion energy distribution (lED), two experimental techniques have been used . The lED in the gas phase is measured with Doppler-shifted Laser Induced Fluorescence. The lED at the surface is measured using energy resolved mass spectroscopy.

2.1. Doppler-shifted Laser induced Fluorescence

Using a laser. the ions are selectively pumped to a higher level when their velocity components in the direction of the laser beam fit to the Doppler shifted angular absorption frequency UJ :

UJ - UJo = k · v .

with Wo the angular absorption frequency for stationary particles and v the three dimensional velocity vector. The wave vector lkl = role determines which component of the velocity is measured. When the direction of the laser beam is chosen as the z-axis, the rrequency shift of the laser is :

l\ v = v - v0 = A.0v=,

with A.0 the absorption wavelength and V7 the velocity in the .:-direction. In this work, we will limit ourselves to argon plasmas.

Page 168: Advanced Technologies Based on Wave and Beam Generated Plasmas

155

MICROWAVES

ECR HELICON

RF

HELICAL RESONATOR INDUCTIVE

Figure 4 :Four examples ofHDP sources3

Unfortunately, at this moment it is not possible to use the DSLIF technique to

determine the VDF of the ground state argon ions. The absorption wavelength to the

first excited argon ion levels lies in the UV and the current state of laser technology

does not provide a tunable, small bandwidth UV laser for this transition. Instead, the

metastable 2G912 ion level is used, which can be excited to the 2F 712 level with 611.493

nm. The particles which make the laser induced transition will decay to lower levels. In

this work, the transition form the 2F712 to the 2D512 level at 461.0 nm is used , because

the lifetime of the upper level is short and the main decay is by

this transition. For the visible light induced transition a stabilized single mode continuous wave

dye laser is used. The dye fluid Rhodamine 6G has a large yield in this wavelength

region. The frequency of these lasers is tunable and the spectral width is in general not

broader than I MHz. The 461 .0 nm light which is emitted by the upper level is focused

from the plasma on a detection system containing a monochromator and a

Page 169: Advanced Technologies Based on Wave and Beam Generated Plasmas

156

photomultiplier. The fluorescence radiation is separated from the background emission with a lock-in technique. A chopper modulates the laser beam with a frequency around 300Hz and the time varying component of the photomultiplier signal is extracted. The intersection of the laser beam and the focus point of the detection system determines the position where the measurement takes place. The DSLIF technique therefore determines the local velocity distribution function of the particles. Figure 5 shows a typical measurement setup for DSLIF. The dye laser (A) is guided through a chopper (B) after which it enters the plasma chamber (C). An element (D) to blow up or to limit the beam (e.g. an aperture) can be used to increase the signal intensity or to increase the detection resolution. The detectioh system (E) is placed perpendicular to the beam. It focuses the detection point (S) on the entrance slit of the monochromator (F). Optionally, an optical fiber (G) can be used to transport the light signal from the focus point to the entrance slit of the monochromator. The photomultiplier (H) converts each photon into an electric current pulse. A lock-in device (I) extracts the laser induced fluorescence from the total signal. A PC (J) reads the lock-in and stores the data. An iodine absorption cell (K) is used to measure the well documented absorption lines of iodine. In this way, a wavelength calibration is obtained. Typical results of the DSLIF experiment consist of series of (x,y) points, where x value corresponds to the frequency shift and they value to the laser induced fluorescence intensity.

2.2. Energy resolved mass spectrometry

One of the electrodes has been adapted to install the mass and energy analyzing system to determine the lED's. In figure 6 the set-up is depicted schematically. In the top of the reactor vessel, a detection chamber is created which is pumped differentially by a Pfeiffer TPH 170 turbo moleculair pump and an Edwards ED 75 primary pump. The pressure in the detection chamber is measured with a Balzers TPR 0 I 0 Pirani and a Balzers IKR 020 Penning manometer. During the experiments the pressure is lower than I o-6 Torr, which means that there are no collisional effects in the detection chamber. The bottom of the detection chamber is formed by the central part of the grounded electrode. The height of this part is adjusted to the same height as the outer part of the electrode by millimeter screws. The sample hole through which the ions enter the detection chamber is situated in the middle of the grounded electrode. In the detection chamber, the ions have to pass successively the ion lens, the electrical quadrupole system, the energy selector and the exit slit before they are detected by the channeltron. The sample hole is drilled in a 2 mm thick molybdenum plate, which is situated in the middle of the grounded electrode. The diameter of the sample hole is 40!Jm. Molybdenum is used because this material does not become charged electrically and subsequently no electrical fields are generated around the sample hole which can disturb the ion passage through it. The diameter of the sample hole is small with respect to the ion mean free path which means that the collisional effects in the direct surroundings of the hole are negligible.

Page 170: Advanced Technologies Based on Wave and Beam Generated Plasmas

D C A

F

Figure 5: Typical setup of the DSLIF experiment.

A dye laser B Chopper C Plasma chamber

D beam shaper E lens system F monochromator

G optical fiber H photomultiplier

lock-in amplifier

157

E

G

J PC K iodine absorption

cell

We consider the lED of one ion species to be composed of separate ion fluxes, which all consist of ions with the same energy. To determine the lED successively the different ion fluxes are measured by varying the energy selection of the system. The transmission and resolution of the mass and energy selecting system depend on the kinetic energy at which the ions pass the system Epass· To avoid transmissional and resolutional effects, the ions to be measured are accelerated or decelerated to a fixed Epass before they enter the quadrupole.

Page 171: Advanced Technologies Based on Wave and Beam Generated Plasmas

158

g

Figure 6: Schematical view nfthe diagnostic and the normal cavity. a) reactor vessel, b) pump, c) bellows, d) RF generator, e) matching network, J) RF electrode, g) grounded electrode, h) plasma cavity, i) sample hole, j} detection cham her, k) pump, I) ion lens, m) quadrupole mass selector. n) energy selector, o) exit slit, p) channeltron.}

The energy selector is adjusted to select ions with a kinetic energy EpaSS' For this purpose the reference potential of the system is adjusted externally and the potential at the system axis V axis is known. The initial kinetic energy of the ion (Eion) can be derived from V aaxis and Epass, according to Eion = qi Yaxis + Erass·

In figure 7 the measurement procedure is schematically depicted. Yaxis is shown for two cases: the measurement of ions with initial energies of 60 e V and 160 e V. Both ions are decelerated to a kinetic energy ofErass and a potential energy ofqiVaxis· Epass is 10 eV in this example.

Page 172: Advanced Technologies Based on Wave and Beam Generated Plasmas

160 eV

so~v

.. I~ en~rgy

electrod~ ~~I ~q~uadru~~po~le~~:l S<!leetor

9-1~:~ 11~ 1: 111 I; J.l.J e."Cit slit ' Iii It I I I II I

--- --- 1;11 I I 1 1

-----V..u=l50V

It I Ii i I Ill I

, II I I

- - - -- - . 111 I - - - - V..;, = 50 V TV 1111

~~'~''----------~-----T~~--- o

\\ ,, ,, ,,

challneltron

(-2.1 kV)

159

Figure 7: Schematical view of the reference voltage Vam during the measument of ions with initial energy of 60 and /60 e V. Eras• is fixed at I 0 e V The channel/ron voltage is -2.1 kV.

3. RESULTS

Many results have been obtained for lED's and IVDF's in a variety of plasma geometries by several teams around the world. We will not try to be complete, but we will just show a few examples of characteristic results.

3.1. Doppler shifted LIF The reactor consists of two water-cooled, parallel, stainless steel, cylindrical electrodes (A,B) with radii of 55 mm (see figure 8). They are fixed inside two grounded cylindrical shields of 75 mm radius (C), which are placed inside the interior of a 180 mm cube. The distance between the electrodes can be varied. but for the measurements presented here it is set at 42 mm. One electrode (B) is grounded. The powered part of the other electrode (A) is connected to a capacitor (D). Through a transformer (E) 450 kHz RF power is injected into the plasma using an EN! Plasmaloc 1-HF generator (F). The current l(t) and voltage V(t) at the powered electrode are measured with a Tektronix P6022 current (X) and PSI 00 voltage (Y) probe. In figure 9 the voltage and current characteristics are shown with and without plasma.

Page 173: Advanced Technologies Based on Wave and Beam Generated Plasmas

160

L

u

a.

50mm 180mm

E observation axis

b.

Figure 8: Setup of the 450kHz reactor. Part a. contains a global view of the total setup. Part h. shows a schematic close-up of the reactor.

A powered electrode G Brewster windows M variable valve T gas bottle 8 grounded electrode H window N monochromator U PC C grounded shields I Langmuir prohe !' lenses V ring dye laser D capacitor .J rotary pump Q photomultiplier W Chopper E tramformer K turbo pump R pulse shaper X current proQe F 450 kHz source L flow controller s plasma Y voltage probe

Page 174: Advanced Technologies Based on Wave and Beam Generated Plasmas

161

1000 2 000 3000 4000

time (ns)

Figure 9: Voltage (solid line) and current (dashed line) characteristics, measured at

the powered electrode. In graph a. no plasma is created at low power, graph b. and c. show the 1.3 Wand 18 W plasmas, respectively.

For the 21 Pa, 1.3 Wand 18 W absorbed powers, a clear negative bias voltage is

observed due to the larger grounded than powered area in the plasma. The grounded

parts consist of the counter electrode and the reactor walls. Due to the negative DC bias

the sheath at the powered electrode is thicker than at the grounded electrode.

During the time in the period T that the voltage on the powered electrode becomes positive, the electrons from the plasma are attracted to the electrode and a dip in the

(positive) current is shown, resulting in a dip in the voltage.

In figure I 0 the time dependent DSLIF signal is compared with the 461 nm emission

signal at the same position (z=8 mm) and for the same applied power (18 W). The

applied voltage on the powered electrode is shown in figure 9. Clearly a different time

profile is observed, which is caused by the lifetimes of the tWo levels involved. For the

461.0 nm emission, the upper level (4p'2F712) has a lifetime of 8.5 ns. This is much

shorter than the RF period and as a result, the density of this level can be considered as

an image of the excitation profile. Regarding the DSLIF measurements, the lifetime of the metastable 4s'2D512 level

is much larger. As a first approximation, it can be seen from figure 10 that the lifetime of

the metastable level must be in the order of 250 ns. Application of equation 4.3 with r =

250 ns to determine the metastable density from the excitation profile shows a perfect

fit with the measured metastable ion density as obtained with DSLIF8. Ambipolar

diffusion and recombination are too slow to explain the life time of the metastable ion.

However, unlike ground state ions, metastable ions are quenched when making

collisions :

Page 175: Advanced Technologies Based on Wave and Beam Generated Plasmas

162

120 0

::i ~

1000

(ij BOO c

Ol 'iii c 600 0 'iii (/) 400 E Q)

200 IJ.. :::i (f) 0 0

1000 1500

[ :ffio nm emissmn

- DSLIF

2000

time(ns)

2500 3000

Figure 10 : Time dependent DSLIF and emission intensity at z=8 mmfrom electrode. The black squares refer to the times in figure 11.

Arm+ Ar ~ Ar* + Ar*. The reaction product Ar* can be the ground state, an excited atom state, or the ion ground state. Due to the acceleration of the ions towards the electrode, the velocity in the z-direction is increased. This results in a higher quenching rate and the corresponding lifetime decreases.

Figure II shows the time dependent DSLIF signal at various moments in the RF period (see also figure I 0), for two positions at 18 W. A negative frequency shift indicates a velocity component towards the powered electrode. A clear time dependence is again seen in the DSUF signal, due to the density variations of the metastable level and the varying excitation rate by the secondary electrons. The position z = 9 mm from the powered electrode is always inside the glow region . A symmetric profile is shown with no average directed speed . At z = 8 mm, the measurement point is during some part of the RF cycle inside the sheath region. Clearly a shift to higher velocities towards the electrode can be seen. This is confirmed by the experiment where the laser is guided through the plasma in the opposite direction. Then, the same shift, but to the other direction is observed.

It is also shown in the figure that later in the period the frequency shift is larger, which indicates an increasing velocity. At z = 8 mm the velocity in the z-direction increases to approximately 0.5 kms· 1 later in the period. When the observation po int moves further inside the sheath region, the density of metastable ions drops and the DSLIF signal is too weak to be measured.

Page 176: Advanced Technologies Based on Wave and Beam Generated Plasmas

::J

~ 2 ~ u.. ...J en 0

A B z=9mm z=Bmm

1000

800

600

VT = 0.23 1000

BOO

600

400

200

4001

200 j

J -------·-- -----·-·--- 0 ...---·- - ./""-------. 1oograoo--_iioo __ :_~~~-=200--- - 200 4or1ooo ':Boo -6oo -4oo -2oo 200 400

VT = 0.34 800

600

800

600

400

200

/~~ -.._____ 200 - · .._______.

400

~·/' 10~ :aoo~-_{;oo- :4oco:-_-,-2o~o--+-...,2~oo,---4o•1o~'~-a~o-o--6~o-o_-4_o~o---2~oo--+-200~o

800 VT = 0.45 800 /~----600 I /~.._____ ~~ 600

/ ~""' 400 400 /~ ~~ 200

/ .. 200

0 0

-600 ;o_::::~~ 1000 -800 -600 -400 -200 ~~40<1000 -800 200 400

800 VT=056

// I 800

600 I 600 / / -~

400 ,/ 400 .// ~-200 200

200 400

800 VT = 068

600

400

200

100g -800 -600 .4ao·--200 j 200 40•1 oog -800

800 VT = 0.80 800

200 400 -600 -400 -200

600 600

400 ~

20: .L1 ~~-:--:-:-----~-----~-~/- - ~-=~~=: -800 -600 -400 -200 0 200 400

400 200 " _ __../ --·- ·-- ...._....._ -...___

Or-L-_8~0-0--6~00----4~00---2~00--+0--"-~~~--~00

velocity (ms-1)

163

Figure II.· DSLIF results for various times tin the RF period Tat two distunces(rom the powered electrode .· z = 9 mm (A) and z = 8 mm (8). The ohservation moments are displayed infigure 10. The error harsfor each time are shown under the tiT values.

Page 177: Advanced Technologies Based on Wave and Beam Generated Plasmas

164

Because the lifetime of the upper level is much shorter than of the metastable level, the background emission is decreasing faster than the LIF signal, resulting in a less noisy signal later in the period. The collisional presheath thickness is typically in the order of the mean free path of the ions. At these velocities, the mean free path of the metastable ions is approximately 0.2 mm. It is therefore not possible to resolve the acceleration inside the presheath, because of the 0.5 mm spatial resolution of the measurement. At the edge of the presheath, the ions are accelerated to the Bohm velocity of I .6 kms·'. This velocity corresponds to a kinetic energy of the ions of l!2kTe. Because T.»T,, the velocity in the presheath exceeds several Doppler widths. Unfortunately, at this velocity the metastable density is already too low to measure the DSLIF signal. The short mean free path of the metastable ions assures that the measured signal originates from metastables which are created locally. During the cathodic part of the powered electrode, the sheath stretches out up to 8 mm in the plasma. Only then are the newly created metastable ions being sufficiently accelerated in the electric field to observe an velocity shift. Because the life time and their corresponding mean free path is short, the acceleration of the metastable ions occurs within the detection volume. Hence, only during the last three observation moments of figure II the acceleration is observed. In the last plots it can be seen that the distribution function has a tail to higher velocities.

The excitation to the metastable ion level is mainly from the ground state atom level by the beam electrons. Therefore the metastable ion velocity distribution function is not an image of the velocity distribution of the ground state ions. The metastables have a shorter life time due to the quenching by collisions with electrons and atoms. The ground state ions, however, are not lost in collisions and they can survive multiple RF periods.

Measurements have also been performed in an inductively coupled plasma (see figure 12).

Figure 12 · Geometry of the ICP A : bucket B: Faraday shield C: Pyrex plate D: Observation slits E: Brewster window F: Coil G: Quartz window Q .· Lens R: Opticalfiber S: Observation point

Page 178: Advanced Technologies Based on Wave and Beam Generated Plasmas

165

Energy (eV)

0 0.2 1 7 10 15 20 1.0

d=0.5 mm 0.5

~:8 - d=1 mm :::> 0.5

<t: ~:8 ->- d=4 mm ~ 0.5 (/)

c: Q)

~:8 .... c:

0.5 d=8mm

u.. ~

~:8 en 0

0.5

~:8 0.5

Radial profile

0.0 d=12 mm

-2 -1 0 1 2 3 4 5 6 7 8 9

ion velocity (km/s)

Figure 13: DSL/F results for 0.5 Pa(•} and 4 Pa (u) at 400 W dis the distance from the top glass plate.

The resulting profiles for 0.5 and 4 Pa argon pressure can be seen in figure 13. All curves are normalized to unity. The bottom graph shows the radial velocity profile, j(vl.) at z = 12 mm. The profile is symmetrical around zero velocity and has a Gaussian shape. The other graphs show the axial velocity profiles,j(v.), at various distances z

from the glass plate. The averages of the curves have non-zero values and shift to higher velocities when approaching the glass plate. Also can be seen that already at 12 mrn from the glass plate the ions have a non-zero velocity component. Hence the presheath extends to the middle of the plasma.

Page 179: Advanced Technologies Based on Wave and Beam Generated Plasmas

166

The results of figure 13 can be separated into the mean parallel energy £7. and the ion temperatures (Tz and T.L) , obtained from the directions parallel and perpendicular to the reactor axis, respectively :

I )2 Ez = -m;(vz , 0.1 2

kTz =m;((vz - (vz))2 J, 0 .2

kT1_ =m;((vl_ -(vj_)fJ· 0.3

with m; the ion mass. The spatial dependence of these quantities in electron volts are shown in figure

14. h can be seen that the energy, gained in the z-direction is partially transferred to the perpendicular directions by collisions. This causes a broadening in the perpendicular DSLIF profiles, indicating a higher temperature T.1_. For higher pressures the energy transfer in the perpendicular directions is more efficient due to the larger number of collisions. This causes a faster increasing T.L for higher pressures. The bulk ion temperature ofO. I eV is higher than the gas temperature of0.06 eY. A possible cause for this difference can be the fact that Coulomb interaction between electrons and ions is more efficient than between electrons and atoms. Also less efficient cooling by the gas at low pressures.

The DSLIF signal is, Qbtained with a spatial resolution of L.\z = I mm. As a result, the curves in figure 13 are broadened because they are averaged over the detection volume. The broadening can be neglected for the radial profiles, because the ions have no average velocity in the perpendicular direction. For the other curves the broadening is larger for the measurements close to the glass plate, where the drift velocities are higher. This effect causes an overestimation of Tz at small z values, while T.L is not influenced.

Taking the ion temperatures close to the electrode into account, the Bohm velocities are 3. 1 and 2.7 kms· 1 for 0.5 and 4 Pa, respectively, using the electron temperatures ( 3.5 and 2.5 eV, respectively) from Langmuir probe results. From figures 13 and 14 it can be seen that the average velocity at the end of the presheath indeed exceeds the theoretical Bohrn velocity.

The average velocity of the 0.5 Pa IVDF already exceeds the Bohrn velocity at more than I mm from the electrode surface. This can not be the edge of the sheath, which is very close to the electrode, due to the small Debye length, which is in the order of tens of micrometers. As a matter of fact, the whole plasma volume could be considered as one big presheath.

Page 180: Advanced Technologies Based on Wave and Beam Generated Plasmas

0.20

0 .15

> ~

~ 0.10 0.

1-

0.05

0.4

> ~

0.2 1-

0.0

> ~

N 0.1 UJ

0.01

0

0 0

0

• • •

0~ ~ • ~

•• • oo • • 0

0

I

2 4

167

0 -0 0 • • 0

• •

• p= 0.5 Pa

0 p= 4.0 Pa

• ~ • 0 • •

• • .., •

0 • 0 . ..,

0 0

I

6 8 10 12 z (mm)

Figure 14 : Spatial dependence of the temperatures and ion energy derived.fromfigure 13.

3.2. Energy resolved mass spectrometry

3.2.1. Introduction

In the hypothetical case of a collisonless sheath of a capacitively coupled RF discharge, the ion energy distribution {lED) of ions arriving at the electrode surface has a very characteristic saddle shape (see figure 15). This shape is caused by the movement ofthe sheath edge during the RF period. This movement is sinusoidal. If the sheath edge is in the lower extreme position, the ion energy at the surface will be in its lower extreme value. If the sheath edge is in the higher extreme position, the ion energy at the surface will be in its higher extreme value. Since the sheath edge movement is sinusoidal, the "residence time" in the extreme positions is the largest. Therefore the abundance of ions

Page 181: Advanced Technologies Based on Wave and Beam Generated Plasmas

168

will be the largest at both edges of the sadlle structure, and it will be lower between the edges.

1.00 f 0.80

-= 0.80 ai -c 0.40 w

0.20

0.00 ...._~......_~......._~ ........ ~ ......................... ~.._._~ ........ ~ ........

0 1 0 20 30 40 50 10 70 80

E ... (eV}

Figure 15: JEDF of a collisionless sheath

If collisions do occur in the sheath, additional structures will appear at the left hand side of the primary saddle structure. If collisions even occur in the space between the two extreme sheath edge positions, the saddle structure itself will be disturbed.

The above information can help the reader to interpret or "read" an experimentally obtained ion energy distribution function .

3.2.2. Experimental results

A whole set ofiED's of Ar ' is determined in a 13 .56 MHz plasma at both the grounded and the powered electrode. In figure 16 we show lED's of Ar+ ions incident on the grounded electrode in the normal cavity for several pressure conditions. The pressure in the plasma is 20, 40, 80 and 160 mTorr in figure a, b, c and d, respectively. The influence of charge exchange collisions is evident. Under the low pressure condition the saddle structure can be easily recognized while under high pressure conditions the saddle structure has vanished. It can be concluded that in this case hardly any ion passes the sheath without a collision. The plasma power is chosen so that the energy range of the distribution is nearly the same in the four cases.

Energy distributions of Ar+ ions incident on the smallest, grounded electrode in the inverse cavity, show much more collisional features due to the larger sheath thickness. Even in low pressure plasmas, the ions may collide several times in the sheath and the lED shows several collisional peaks where the primary saddle structure has vanished nearly completely. This is shown in figure 17 for a plasma at a pressure of 40 mTorr. The RF power is 78 Watt.

Page 182: Advanced Technologies Based on Wave and Beam Generated Plasmas

60 80 40 60 BO

energy (eV) energy (eV)

Figure 16: The !ED's of Ar ' ions incident on the grounded electrode in the normal cavity for several pressure conditions: 20 mTorr (a), 40 mTorr (b) , 80 mTorr (c) and 160 mTorr (d). The RF power is 48, 49.57 and 65 Watt respective~v.

The height of the peaks depends on the pressure. At higher pressure more ions shift to the low energy part of the lED and the high energy part is completely depopulated, while at lower pressures relatively more ions are in the high energy part.

In figure 18 , the measured lED of doubly charged Ar2' ions incident on the grounded electrode in the normal cavity is shown. The plasma condition is the same as in figure 16 a. The range of the ion energy is doubled with respect to the distribution of singly charged ions. The I ED clearly shows the typically RF saddle structure. The feature at about 55 eV may be due to the production of Ar2+ ions in the sheath.

Charge exchange collisions by which Ar2+ ions are formed, are very improbable. The Ar2+ density is less than the Ar- density. Therefore Epass during this measurement was 15 e V, which provides for a higher transmission and a better signal­to-noise ratio but also results in a lower energy resolution.

Page 183: Advanced Technologies Based on Wave and Beam Generated Plasmas

170

'N :X: ~ .. c ~ 0 (.)

0 ~--~~~~~~~~~~~~

0 50 100 150 200 250 300

energy (eV)

Figure 17: The JED of Ar · ions incident on the powered electrode. The pressure is 40 mTorr and the RF power is 78 Watt .

'N

. I :c f ~ Ill 4 c :I 0 u

0 0 40 ac 1~0 1GO

energy (eV)

Figure 18: The JED of A/ ions incident on the grounded electrode. The pressure is 20 mTorr and the RF power 48 Watt.

There is always some residual water vapor in the reactor which is responsible for the formation of hydrogen containing ions like ArH+, H30 + and H/. Although no absolute ratios between the ion densities can be derived from mass spectrometric measurements due to mass and energy dependent transmission, these measurements, however, show that the densities of the hydrogen containing ions are small with respect to the Ar · density. Because of the low density these ions hardly have any influence on the space charge in the plasma sheath. Consequently. the electric field in the sheath will be completely generated by Ar' ions.

The ArH" ions are quite stable due to the fact they have no unpaired electron, and from a ll the hydrogen containing ions, the density of the ArH' ions is the highest. In figure 19 the lED ofthe ArH · ions as determined at the grounded electrode is shown. The pressure is 20. 40, 80 and 160 mTorr in figure a, b, c and d. respectively, while the plasma power is 78. 40 and 20 Watt . E pass during the experiments was 15 eV.

Page 184: Advanced Technologies Based on Wave and Beam Generated Plasmas

171

18 r---------------------, 8 a} I b)

'N X :!!. ..

4 c 9

" 0 u

0 0 20 40 60

oL...:::~:=:<2:!:::::~-.....::....-._:.__......____,

80 0 20 40 60 eo

38

'N X :!!. ., 18 c " 0 u

0 0 20 40 60 80

energy (eV) energy (eV)

Figure 19: The JED's of ArH· ions incident on the grounded electrode for several pressure conditions: 20 mTorr (a). 40 mTorr (b), 80 mTorr (c) and 160 mTorr (d). The RF power is 78 (solid line}, 40 (dashed line) and 20 Watt (dotted line).

The results are different from those of Ar+. Even at high pressures the primary saddle structure can be recognized, while only minor collisional features are shown at energies lower than those of the primary saddle. Arff ions can collide in the sheath with an argon atom and will be dissociated or scattered elastically. In the first case the ArH+ ion is destroyed and cannot be detected anymore and thus cannot contribute to the lED. In the latter case the direction of the ions is spatially redistributed. The angular distribution at which the scattered ions hit the electrode will be much broader than 4 degrees which is the acceptance angle of the mass and energy spectrometer. For the interpretation of the lED's one has to take into account that only those ions which strike the electrode nearly perpendicularly are detected. We distinguish three groups of ions that fulfil this condition.

The first one consists of ions which do not collide in the sheath. The electric field in the sheath is perpendicular to the electrode; so they are accelerated directedly to the electrode. These ions form the primary saddle structure.

The second group consists of ions which lose nearly all their energy during their last collision before they hit the electrode. They behave identically to ions formed by charge exchange collisions and also will cause low-energy features in the lED's. In the case of ArW ions scattered elastically by argon atoms, only head-on collisions

Page 185: Advanced Technologies Based on Wave and Beam Generated Plasmas

172

satisfy this condition due to the small mass difference between the two species. The energy of the ions in most of these cases is less than the energy related to the saddle structure.

The third group consists of ions that after two or more collisions are directed towards the electrode. The energy of the ions in this case is randomly distributed and no peaks will be present. When we consider the sheath in front of the grounded electrode quite a number of ions cross the sheath without any collision. The probability that ions are in the last two groups is very small and we may conclude that the measured lED's of ArW ions mainly consist of ions which have not collided in the sheath. The measured ArH+ lED's may therefore be interpreted as being collisionless and therefore serve as a very illustrative "probe" of the overall sheath properties.

Other hydrogen containing ions like H30 +, Hp+, and H3+ are also scattered elastically in the sheath, but because these ions are much lighter than the Ar neutrals they never can lose all their energy according to the energy and the momentum conservation laws. This implies that there will be no visible collisonal features in their lED. In figure 20 lED's are shown ofHp+, H20+, H3' and ArH', all determined in the same plasma in the normal cavity. The pressure is 80 mTorr and the plasma power 20 Watt. It is obvious that the average energy of the saddle structure is the same for all the ions while the width of the saddle structure decreases with the ion mass.

16

-N ::t .:.: -en 8 - H,~+ c ~ 0 (J

0 0 20 40 60 80

energy (eV)

Figure 20: The JED's of ArH• (solid line), HP ' (dashed line), Hp• (long-short dashed line) and HJ • ions (dotted line) incident on the grounded electrode. The pressure is 80 mTorr and the RFpower is 20 Watt.

Page 186: Advanced Technologies Based on Wave and Beam Generated Plasmas

173

References

1 J.W. Coburn and H.F. Winters, Ion- and electron-assisted gas-surface chemistry - An important effect in plasma etching, J.Appl.Phys. 50 ( 1979), pp. 3189 - 3195.

2 G.S. Oehrlein and Y.H. Lee, Reactive ion etching related Si surface residues and subsurface damage: Their relationship to fundamental etching mechanisms, J.Vac.Sci.Technol. AS (1987), ppl585- 1595

3 Physics of Thin Films (val. I 8): Plasma sources for thin film deposition and etching, edited by M.H. Fracombe and J.L. Vossen, Academic Press, ISBN 0-12-533018-9, pp 1-119 : Design of High-Density Plasma Sources for Materials Processing, by M.A. Liebermann and R.A. Gottcho.

4 Principles of plasma discharges and materials processing, M.A. Liebermann and A.J . Lichtenberg, J. Wiley & Sons, ISBN 0-471-00577-0

Page 187: Advanced Technologies Based on Wave and Beam Generated Plasmas

DUSTY PLASMAS: FUNDAMENTAL ASPECTS AND INDUSTRIAL APPLICATIONS

G.M.W. Kroesen, E. Stoffels, W.W. Stoffels, G.H.P.M. Swinkels, A. Bouchoule\ Ch. Hollenstein2, P. Roca i Cabarrocas3, J.-C. Bertolini4, G.S. Selwyn5, F.J. de Hoog

Eindhoven University of Technology P.O. Box 513, 5600MB Eindhoven, The Netherlands

1 GREMI laboratory, University of Orleans, France 2 CRPP, EPFL, Lausanne, Switzerland 3 LPICM, Ecole Polytechnique, Palaiseau, France 4 IRC, Lyon, France 5 LANL, Los Alamos, U.S.A.

1. Introduction

Dusty plasmas have recently acquired a lot of attention. The subject was initiated by the semiconductor industry. With the arrival of VLSI and ULSI (very/ultra large scale integration) plasma etching and plasma deposition techniques have become indispensible. With the decreasing feature sizes on the devices the tolerance for dust particles becomes smaller. Now that feature sizes are below 0.25 micron, very small dust particles with a diameter of only a few tenths of a micron can kill the device. Therefore about 5 years ago substantial research efforts were started in order to investigate the trapping and transport of dust particles in processing plasmas.

The amorphous silicon community joined in a few years later. Several groups observed that silicon dust readily grows in glow discharges in silane. Also in this field the research initially focused on getting rid of the dust. A few years ago the focus changed. Now several groups investigate the possibilities which are opened by the presence of dust in the plasma.

In this paper we will give an outline of the dusty plasma research. Results obtained in many groups from all over the world are combined.

1.1. Forces on the particles

Several forces work on the particles. • The electrical force. The mobility of electrons is larger than the mobility of positive

ions. This phenomenon causes dust particles to charge up negatively. Since all particles have a negative charge, they will repel each other. In general the plasma

175

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 175-190. © 1999 Kluwer Academic Publishers.

Page 188: Advanced Technologies Based on Wave and Beam Generated Plasmas

176

glow is surrounded by a space charge region. In this region there is an electrostatic field directed towards the electrodes and chamber wall. This electrostatic field also traps the negatively charged dust particles in the plasma glow.

• Gravity. The gravitational force pulls the particles down. It is only important for the larger sized particles (larger than a few tenths of a micron).

• Flow drag. The gas flow exerts a frictional force on the particles, which will tend to move the particles in the direction of the gas flow.

• Ion drag. The positive ions in the plasma collide with the particles and also exert a frictional force. This force is only important in regions where there is an ion wind, like the boundary between sheath and glow.

• Thermophoresis. The thermophoretic force i"s caused by gradients in the gas temperature. It will tend to move the particles into the direction of the lower temperatures.

Of course all forces must balance. The force balance determines where the particles will go. Three cases can be distinguished (see figure 1 ).

(A) (B) (C)

Figure I. Three regimes of particle trapping. If the particles are large (several microns), then gravity is one of the dominant forces, and the particles -n•ill float in a layer above the bottom electrode (A). Smaller particles will feel the drag force of the ion wind near the sheath edges, and they will concentrate in layers close to both electrodes (B). The smallest particles (smaller than 0.1 micron) will not feel the gravity or ion wind. and they will float all over the plasma glow (C').

In the following, we will discuss two main cases: silane plasmas and freon etching plasmas.

2. Silane plasmas

2.1. Introduction

All of the experiments of which results are reported here have been done in a planar radiofrequency discharge. In general, the frequency has been fixed to 13.56 MHz, but

Page 189: Advanced Technologies Based on Wave and Beam Generated Plasmas

177

also other frequencies have been applied. An outline view of a typical geometry is given in figure 2. In general the gases used are mixtures of silane (SiH4) and other gases like Ar, He, CH4, NH3, or N2 . In these gas mixtures, silicon or silicon compound particles will be grown . The RF powers used are in the intermediate range (a few Watts for electrode diameters of the order of I 0 em). Base pressures are typically of the order of 10"6 mBar.

One of the techniques which has been used to study the particles is laser induced particle explosion emission (LIPEE). This technique will be discussed in more detail in section 2.2.3. In figure 3, the time development of the LIPEE signal is given for several temperatures of the discharge vessel. One can clearly see that the appearance of the particles strongly depends on the reactor temperature: the higher the temperature, the later the particles appear.

THE DISCHARGE BOX

Figure 2. Outline of the geometry of the RF plasma reactor used for the growth of powder particles in silane plasmas.

10

8~ so·c-......1 ;/

ao·c

20~/ 1 ! ::i ~ f120t.......) i. _CD 4 1 I

j '·j 1soyt I ,~f:; ,_1;

5 10 20 so 100 200 soo 10' 2 10' s to' 10'

Ton (ms)

Figure 3: Laser induced particle explosion emission signal as a function of time for various temperatures of the discharge chamber.

Page 190: Advanced Technologies Based on Wave and Beam Generated Plasmas

178

When the plasma is switched on, the powder formation starts. Figure 4a and 4b present the evolution of the size and the density as a funct ion of time. The size has been measured by both TEM (transmission electron microscopy) and Mie scattering of laser light. Mie scattering also produces the density. In figure 4b the first twenty seconds are depicted. In the first 5 seconds, the density decreases and the size increases. This is the coagulation phase. After that, the particles grow steadily by deposition of amorphous hydrogenated silicon on the surface. The initial density (before the coagulation phase sets in) can be as high as I 0 11 particles per cubic centimeter.

The growth of the particles has an impact on the density and temperature of the free electrons, too. The particles act as a sink for electrons. Once they have reached a certain size, their electronegativity increases strongly. This occurs at about 3 seconds after plasma ignition (see figure 5), in the middle of the coagulation phase. In figure 5, the electron density and temperature

Size kinetics: TEM data -··-----·-·-·······-·-···-·····-... · .. -· 12

80 t coalescence 70 10

60 -I: 1"1 8 I - i : E Eso (.) c: \' a) ....... 0 6 Q) 40 ...... N -(j) 30 -independent growth ·u; 4 c:

20 a.> \ 0

~------------Time (s) 2

10

0 Q

0 10 20 30

Figure 4. Time evolution of the size and density of particles growing in a silane plasma.

are given as a function of time. The electron density has been measured by microwave techniques, the electron temperature by comparing absolute line intensities.

Page 191: Advanced Technologies Based on Wave and Beam Generated Plasmas

Time evolution of electron density and temperature

4. 0 • ..---r----.----.--...--A--,l6.0x 1 o9

3 T ( e V) A A A • 1 2.9 '

A

1. 7 I

0

0

A 9 14.3x10 A

] 9 1z.6xl0

-3 ~ ne (em } ~8.6xl08

2 4 6 8 Time (s)

10

Figure 5. Time evolution ofthe electron density and temperature.

2.2 Nucleation and growth

179

The basics of the trapping, nucleation, coagulation and groMh of particles in silane plasmas have been studied. New diagnostics have been developed to determine the location and density of particles. The mechanism of charging has been elucidated. Diagnostics to study the particle charge and the chemical composition have been developed and applied. Special attention has been given to the coagulation phase: which mechanism makes it possible for the negatively charged particles to clog together?

2.2.1. Crystallinity Several experimental techniques have been applied in order to demonstrate that the smallest particles (up to 5 nm) are crystals. High-resolution Transmission Electron Microscopy has shown that the atoms in the particles are arranged in regular structures, which are identical to bulk silicon crystals (see figure 6).

Figure 6: Transmission electron micrograph of a larger particle. which shows that in that larger particle, crystallites with a size of a few nm are incorporated.

Page 192: Advanced Technologies Based on Wave and Beam Generated Plasmas

180

2.2.2. Jon mass spectrometry

A quadrupole mass spectrometer is attached to the vacuum chamber, and is differentially pumped. Typically, the mass spectrometers are capable of measuring both positive and

negative ions. When one wants to detect negative ions, the plasma will have to be modulated. The electrical field in the space charge region which surrounds a stationary

plasma prohibits the negative ions to leave the glow region : they are trapped. If the

plasma is modulated however, they can cross the sheath and enter the mass spectrometer. Figure 7 displays the mass spectra for positive as well as negative ions,

measured in a modulated silane discharge. It is clearly visible that the negative ions can grow to much higher mass numbers than the positive ions. This is due to the trapping in

the glow region of the negative ions: since they can not leave the plasma, they can also n·ot get to the wall and recombine there: their residence time in the glow can be quite

high. This allows the negative ions to grow to larger clusters. This phenomenon is believed to be the basis of particle nucleation in silane plasmas.

to>

1()<

' negativf ions

10l

102

1()< positive ions

103

102

100 200 300 500

mass (arru)

Figure 7: Mass spectra ofpositive and negative ions in a silane plasma.

Page 193: Advanced Technologies Based on Wave and Beam Generated Plasmas

181

2.2.3. Photo-luminescence

If the particles which are suspended in the plasma glow are irradiated with e.g. an Arion laser, they will show photo-luminescence if they are crystalline. Essentially, the experimental setup used for this diagnostic is similar to the one used for Mie scattering (cf. Figure I 0), with the difference that now the detection is done with a monochromator connected to an optical multichannel analyzer (OMA). Figure 8 gives the measured in­situ photo-luminescence spectra in silane plasmas as a function of the plasma duration. With longer plasma-on times, the crystallites will be larger, and the photo-luminescence spectra shift to the right.

::; ,. ~o.a

~ 'iii c

"' .£ 0.6 .

g "' ~ 0.4

"' .!; r:: " 0 0.2 0 .r:.

"" 600 650 700 750 800 850

wavelenqth (nm)

FigureR. Photoluminescence spectra from particles suspended in a pure silane plasma for different plasma-on times. The incident Ar ion laser heam has a wavelength of 488 nm. The spectra are corrected for the spectral re.sponse of the detection .1ystem and for the background plasma emission.

2.2.4. Understanding of the whole nucleation and growth process Putting together all experimental evidence which was presented before, we can present a picture ofthe nucleation and growth process in silane plasmas. In the plasma geometries which have been used within the consortium, the nucleation and growth proceed in the following steps (for each step the supporting evidence is stated in italics, with the specific graph where the evidence can be found in square brackets): I. Negative ions are trapped in the plasma glow. 2. The single-silicon-atom negative ions become large negative ions (or small clusters)

by recombination with silane radicals. 3. The electronegativity of the clusters reduces somewhat: the charge starts fluctuating,

sometimes becoming positive, neutral or negative. 4. The clusters coalesce .

Page 194: Advanced Technologies Based on Wave and Beam Generated Plasmas

182

5. When the size of the coagulates is sufficiently large, the charge builds up and

remains negative at all times. From this time on, no new nucleation is possible,

because the large coagulates "eat up" almost all free electrons. Only when a

generation of particles is "coughed out" by the plasma, a new generation can

nucleate. 6. The coagulates slowly grow by surface deposition of am0rphous silicon.

2.3. Nanomaterial synthesis

2.3.1. Microcrystalline silicon with controlable grain size

Microcrystalline films have been obtained by depositing Si nanocrystals grown in the

plasma followed by the deposition of a-Si:H (amorphous hydrogen!lted silicon) and

subsequent thermal or laser-induced annealing. The grain size is controllable by

changing the discharge duration and repetition number during the Si nanocrystal

deposition. For a clear illustration, see figure 9.

Figure 9. HRTEM images of a nanostructured silicon film deposited at 50 oc_ On

the left, the as-deposited sample shows ring-/ike and fringe-like contrast features. On the right, the same sample after annealing at 425 °C for 30 minutes is under

crystallization.

2.3.2. Photoluminescent and electroluminescent materials and devices

By repeatedly depositing silicon nanocrystallites on a surface, interchanged with the

deposition of a transparent material, composite films have been obtained which show

Page 195: Advanced Technologies Based on Wave and Beam Generated Plasmas

183

photoluminescence. An electroluminescent device has been produced,consisting of a nanostructured silicon thin film with standard a-Si:H p and n contact layers. The light production in relation to the applied current is not very high yet, but compared to the state ofthe art (e .g. porous silicon) it still is promising (see figure 10).

• 11 (rnA) -o-Pl(nWf

• 12 (rnA) -o- P2(nW) 203243 EL

200 120

100

80 ~

~ ~ ~

60 t:: -...._..,

-...._.., 40 ........ ........ 0....

20

0

-50 -5 0 5 10 15 20 25·20

V (Volts)

Figure 10. Electroluminescent intensity as a function of the voltage applied to the device.

2.3.3. Stable solar cells Solar cells have been produced based on an a-Si:H film in which nanocrystallites have been incorporated. Normally, the stability is the main issue when a-Si:H is used for solar cells: in the first few hours and days after deposition, the efficiency drops from I 0 % to 4%. This is the reason why solar cells based on crystalline silicon (which retain a stable eficiency of I 0%) are still dominating the scene. However, in the cells which apply the nanostructured silicon, the efficiency remains stable at 10 %(see figure 11 ).

Page 196: Advanced Technologies Based on Wave and Beam Generated Plasmas

184

10 ,. • •

~ _._pmSi -6- He 250 ''C -+- Std 250 "C --- Std 150 ' C - -Std 100 'C

8

,-.... ~ 0

I '-" 6 ;>-.. (.,)

~ ~~ ------s:: ().)

(.,)

!..:::: <.;...< 4 w.l

-

2 .....,

0 0 50 100 !50 200

Time (hours)

Figure 11. The efficiency of single junction P-1-N solar cells as a function of time after production of the device. The devices which contain the nanophase material (solid circles) show a stable efficiency which approached 10%.

3. New submicron catalysts

Lately, innovative preparation technologies have been developed for high specific surface catalysts with good thermal and mechanical properties. The catalysts are made from fine powders which are processed in a plasma. New supports like SiN or SiC with thin coverages of Pd have been used .

Various classical (wet-chemical) Pd deposition techniques like impregnation and precipitation on model samples (commercially available SiC and Si3N4 powders) have been implemented and investigated. It appears to be possible to coat the powder particles with small clusters of Pd . The catalytic efficiency of these coated model particles is adequate . For instance, in a test reactor, methane combustion efficiency already starts being fully effective at around 400 °C (see figure 12).

Page 197: Advanced Technologies Based on Wave and Beam Generated Plasmas

100

~ 80

::3 60

~

~ ~0 ~ ~0

()

b} Pd(O.i5%)/ShN~

I

I

L .. ··-·-··-··· 150 :oo 250 300

:emper~~ure · ~c

··- etat 1 .. - etat 3

500

185

Figure 12. Catalytic efficiency of Pd catalysts deposited on model samples using wet chemical techniques.

Using the method of biased Pd wire evaporation, small clusters of Pd can be deposited on the surface of in-plasma grown particles. As can be seen in figure 13, the particles do get a coating with Pd clusters of a few nm, which is the ideal coating morphology for catalytic application.

Figure /3 : TEM picture of SiC particles covered with Pd speckles.

Page 198: Advanced Technologies Based on Wave and Beam Generated Plasmas

186

4. Freon etching plasmas

We will discuss one example: powder generation during the etching of silicon in an RF plasma in CCI 2F2. This case was extensively discussed by Gary Selwyn [I] and Eva and Winfred Stoffels [2]. The plasma etches the surface of the silicon wafer at a fairly high rate . In figure 14 the infrared absorption spectrum of the reactor as measured with and without plasma is given. One can clearly see that the CCI 2F2 feed gas is almost completely dissociated and converted by the plasma (the IR absorption band associated with it decreases in intensity strongly) . New absorptions by CF3CI, CF4 and SiF4 are visible . Especially the relatively large intensity of the latter points to a strong etching reaction.

0.25

0.20 p = 60mTorr f = 16.6 seem

..... plasma of! Prf = 1 00 watt ;:i z = 2 em < 0.15

l -~ c "' 0.10 ,Q J.o

2 ,Q <

0.05 plasma on l

0 I

1000 1100 1200 1300 1400

Wave nwnber (cm-1)

Figure 14. Infrared ahsorption spectrum of an IU;' plasma in CF2Cl2. On the powered electrode a silicon wafer is placed.

Experimentally it has been observed that powder particles appear rapidly after plasma switch on (see figure 15). They are located in a disk (pancake) which floats above the lower electrode (figure 16).

One has observed that the powder production yield is maximum at an admixture ration of I 0 % CF2CI2 in argon. At this admixture ratio also the SiF4

absorption is the strongest, suggesting a relation between the etching process and the powder formation. A further indication of a causal relation can be obtained from IR absorption spectroscopy. If the plasma (containing a load of dust particles) is switched off for a short period and subsequently re-ignited, then the powders will have disappeared after the short break. The IR absorption due to the other plasma species (molecules, radicals) will be the same in both cases.

Page 199: Advanced Technologies Based on Wave and Beam Generated Plasmas

187

6.00 1500 ,.-.._

,.-.._ .. ;:::! '7

1000 ~

E3 5.75 .· ;,.:........--- .._,

~ .~_...- >-. . / ... 0 \I' ..... - ell .._, 5.50 500 c .. (1.)

d ..... c

5.25 0 0 600 1200

time (s)

Figure 15. Electron density and the light scattered by a HeNe laser (indicating the presence of dust) as a function of time afier plasma iJ?nition. After approximately 5 minutes the powder particles appear.

Figure 16: Geometry of the cloud of particles in an RF plasma reactor. The particles collect in rings and domes right above the silicon wafers. Picture courtesy of G.S. Selwyn.

Page 200: Advanced Technologies Based on Wave and Beam Generated Plasmas

188

In this way the absorption spectrum of the dust particles can be isolated. Figures 17 and 18 show a survey and a detail of the powder absorption spectrum respectively.

0 .1 0

0.08 -

= 0.06 i

~ r c: .sa 0.04 r ~ I j 0.02 ..

0.00

-0.02 1000 2000 3000 4000 5000 6000

Wave nwn~r (em-1)

Figure 17. Infrared absorption spectrum (survey) of the dust particles floating over the silicon wafer in an RF plasma in CF2Cl2.

0.0907

0.0895 ;-~ = ..2 0.0483

~ j <

0.0271

0.00110 7112 g75 1188 1400

Waft Dumber (c:m-1)

Figure 18. Detail ofthe infrared absorption spectrum shown in figure 4. The absorption due to the vibration of the various chemical bonds in the dust particles are visible.

In figure 19 the axial profile of the various absorptions is given. This figure has been obtained by translating the reactor vertically while keeping the optics in position. One can see that the Si-F bond has its maximum at a position closer to the silicon wafer (which is located at position 0) than the C-F bond. This again suggests that the particles originate from the silicon surface. Once created there, they are "sucked" into the plasma glow by the electrostatic field and subsequently CF2 radicals can be deposited at their surface.

Page 201: Advanced Technologies Based on Wave and Beam Generated Plasmas

c .2 -Q. .. 0 (I)

.Q as

3

,...._

_,/ -- -!,\

' ' ,' \

.

• '

:0..

. ' ... :.:--.• 6 9

height above rf (mm)

-- Si-F • · scatter

189

12

Figure 19. Axial profile of the various components of the 1 R absorption peaks shown in figure 18.

When the surface of the silicon wafer is analyzed in a Scanning Electron Microscope, one can see that the surface has an exotic appearance: lots of hollow, sea­polyp like structures can be seen [2]. This, together with the observations reported above and the notice that the silicon etching in CF2CI2 is fast, selective and isotropic suggests the following nucleation and growth sequence: • Local oxidation or contamination creates a micro-mask • Under the micro-mask the silicon is not etched, all around it the silicon is etched. A

passivating side wall is deposited on the sides of the growing silicon column under the micro-mask.

• After some time the micromask is eliminated, e.g. by sputtering. • Then also the interior of the column will be etched, leaving the passivating side wall

material standing freely. • This process of hollow column growth continues until the column breaks off. • The column will be charged negatively and will be sucked into the plasma glow by

the electrostatic field in the sheath. • In the glow, the particles can grow further by deposition of e.g. CF2 radicals.

One of the main problems is the damage which the particles can do to device in the Integrated Circuit industry. Gary Selwyn has pioneered a special electrode design. He has implemented a groove in the electrode surrounding the silicon wafer. The effect of this groove is that it will create a potential well for the particles. All the dust will gather in there and will be transported to the pump port. In figure 20 a bar chart shows the drastic improvement in process performance which he has obtained.

Page 202: Advanced Technologies Based on Wave and Beam Generated Plasmas

190

Particles larger than 0.5 micron per 125 mm Si wafer Ell Grooved electrode

• Normal electrode

Manufacturing run 2

Manufacturing run1

0 20 40 60 ~ 100 1W 1~ 100 1~

Figure 20. Bar chart of the process performance improvement by inserting a groove in the electrode which surrounds the silicon wafer. The groove attracts the particles away from the wafer and sends them to the pump port.

5. Conclusion When the whole field started about I 0 years ago, everybody hated the presence of dust in plasmas. Both the IC-industry and the amorphous silicon community wanted to get rid of it. About 5 years ago this attitude started changing, especially in the amorphous silicon community. Nowadays most of the initial problems have been solved. Some new applications even have turned the presence of dust in plasmas to a merit. It has been shown that incorporation of nanometer sized particles in a growing amorphous silicon film can drastically improve the stability of the solar cell which is made with the material. All in all: dust in plasmas, once an enemy, now is liked very much in some cases.

Acknowledgments This paper contains information which has been obtained with the help of the European Commission in the framework of Brite-Euram project #7328 entitled "Micropowder processing using low pressure plasma technology". The contributions of Eva and Winfred Stoffels, Gary Selwyn (IBM/Los Alamos), El-Hadi Boufendi and Andre Bouchoule (Orleans), Alan Howling and Christoph Hollenstein (LausalUle), Jean­Claude Bertolini (Lyon), and Pere Roca I Cabarrocas were essential. Part of the research reported here is supported by the Dutch Technology Foundation (STW), the Dutch Science Foundation (NWO) and the Dutch Foundation for Fundamental Research of Matter (FOM).

References

1. G.S. Selwyn ( 1991 ), Plasma particulate contamination control. II. Self-cleaning tool design, J. Vac. Sci. Techno/. AlO, 1053-1060.

2. E. Stoffels and W.W. Stoffels (1994), Electrons, ions and dust in a radiofrequency discharge, Ph.D. Thesis, Eindhoven University of Technology.

Page 203: Advanced Technologies Based on Wave and Beam Generated Plasmas

PLASMA BASED ION IMPLANTATION

WOLFHARD MOLLER Institute of Ion Beam Physics and Materials Research Forschungszentrum Rossendorf Postfach 510119, D-01324 Dresden, Germany

1. Introduction

For the surface modification of materials, ion implantation [1-3] offers unique

possibilities with a large number of actual and potential applications in widely

varying fields of modem technology. Energetic ions are deposited in a near-surface

layer after a slowing-down process far from thermal equilibrium, with local cooling

rates which are faster by several orders of magnitude compared to any other

treatment. Thus, metastable phases and new materials f 4] may be generated. As a

further advantage, ion implantation is an extremely well-defined process, as its

parameters such as the implanted species, its energy and thereby the depth of

modification, the ion current density and total dose and the angle of incidence can

be controlled very precisely. A conventional ion implantation (II) system ("ion

implanter") is shown in Fig. 1 (a). Some of the benefits of ion implantation can also

made use of in combination with the deposition of thin films [5,6] (e.g., ion beam

assisted deposition or IBAD - see Fig. 1 (b)), where ions serve to strengthen the

interface between the film and the substrate, and to improve and tailor the film

properties. Again, the process can be controlled extremely well.

From these unique properties of ion beam techniques and their very high potential

for well-defined surface modifications, one might expect a broad field of technical

applications. However, at present ion beams are on applied for production where

there are really indispensible, which is mainly in the fields of electronics and

microlectronics. In these fields, the doping with electrically active atoms or the

deliberate injection of defects require only very low doses. For many other possible

applications, industry will always tend to compromise the product quality with the

costs of the production process. In the case of ion beam techniques, there are some

physical limitations to cost-efficiency. II requires a relatively expensive equipment.

Furthermore, it is a "serial" technique due to its small beam diameter of the order

of 1 em. For larger substrate treatment, the beam has to be scanned across the

surface, which requires long processing times in particular if high doses are

191

H. Schluter and A. Shivarova (eds.). Advanced Technologies Based on Wave and Beam Generated Plasmas, 191-244.

© 1999 Kluwer Academic Publishers.

Page 204: Advanced Technologies Based on Wave and Beam Generated Plasmas

192

Magnet

D lon Source

(a)

Low-Energy Jon Source (0.1 ... 5 keV)

(b)

I I I I I I I I Accelerator

+ H.V. (50 ... 500 kV)

Substrate

T

Figure 1. Principles of Ion Implantation (II) (a) and Ion Beam Assisted Deposition (IBAD) (b).

necessary. In addition, both II and ffiAD are "line-of-sight" techniques suitable for flat substrates. For the homogeneous treatment of three-dimensional items, an often complicated substrate manipulation is required which again would add to the process costs.

Both requirements of a "parallel" treatment which would act simultaneously on large areas and of the applicability to 3D components are fulfilled by conventional plasma processes. Conseqently, a new combined technique has been proposed about a decade ago nearly simultaneously in the U.S [7] and in Australia [8], which was called "Plasma Immersion Ion Implantation (Pill or PII)" or "Plasma Source Ion Implantation (PSII)". In the mean time, Pill is a subject of research in close to 1 00 laboratories worldwide. It is also being used in connection with thin film deposition ("Plasma Immersion Ion Assisted Deposition - PIIAD", or similar nomenclatures). Sometimes, this class of processes is denoted as "Plasma Based Ion Implantation

Page 205: Advanced Technologies Based on Wave and Beam Generated Plasmas

193

(PBII)", which is also the title of an International Workshop series which was established in 1993 [9-11] . The potential application of PBII has been technically and scientifically demonstrated for a number of different fields in high technology.

After a brief description of the PBII process and its properties, the present paper will first address the associated plasma boundary physics. A subsequent chapter will summarize the basic physics of ion-surfacce interaction and ion-beam assisted thin-film deposition. Finally, some applications of PBII will be discussed.

2. Principles of Plasma-Based Ion Implantation

2.1 PLASMA IMMERSION ION IMPLANTATION

For ion implantation from gaseous precursors, the item to be treated (denoted as "target" in the following) is immersed into a low-pressure plasma. By biasing the target to a negative high voltage up to about 100 kV, positive ions will be accelerated and implanted into the surface. As to be discussed in detail below, the pla~ma sheath around the target will expand dynamically. In order to obtain the full ion energy at the target surface, the pressure must be kept sufficiently low (below about 0.5 Pa) in order to avoid ion-neutral collisions in the sheath. Thus, low­pressure plasmas have to be employed. Mainly in order to avoid excessive power loads to the target, the high voltage has to be applied in pulses of typically some 10 !-lS duration with repetition frequencies ranging from 10Hz to 1 kHz.

A typical PJII device is shown in Fig.2. It is similar to a conventional plasma treatment chamber. Any type of plasma may be employed being suited for the above low pressure range, such as a filament-assisted DC, an RF, or an ECR plasma.

2.2 PLASMA-IMMERSION ION-ASSISTED DEPOSITION (PilAD)

As a further advantage of Pill, it can be combined with the deposition of thin films similar to ion-beam assisted deposition. With respect to its vacuum conditions, it is compatible with physical vapour deposition (PVD) such as evaporation or magnetron sputtering. Like ion beams for iliAD, Pill may be added using nonreactive or reactive gases, enabling the formation of compound films in the latter case. Other combinations are possible with plasma processing such as plasma etching or plasma-enhanced chemical vapour deposition.

Another possibility of high-rate, ion-assisted solid film deposition is the direct Pill from a plasma from a solid precursor [ 12, 13] . A corresponding device is shown in

Page 206: Advanced Technologies Based on Wave and Beam Generated Plasmas

194

Vacuum Chamber

Turbo­molecular Pump

Fit:,ure 2. Typical configuration of a Pill device

Figure 3. PIII or PIIAD by means of a Filtered Cathodic Arc device

Page 207: Advanced Technologies Based on Wave and Beam Generated Plasmas

195

Fig. 3. A cathodic arc is ignited between a circular cathode and an annular anode forming a plasma of the cathode material. In order to avoid the deposition of chunks and droplets, which are generally emitted from the arc, the plasma is filtered by guiding it magnetically through a bent tube, which is equipped with a slightly positively biased poloidal baft1e structure.

For all processes combining thin film deposition and the plasma immersion technique, the high-voltage pulse duty cycle determines the relative contributions of low-energy deposited atoms and incident ions. As ion sputtering is significant for ion energies being characteristic for PBll, the duty cycle in connection with the fluxes of low-energy and high-energy species may also offer a very versatile range of process conditions, between net deposition and erosion with implantation.

2.3 HIGH VOLT AGE PULSER

Compared to convential techniques of plasma treatment, plasma immersion techniques require a pulsed high voltage supply and an insulated high-voltage feedthrough as a main additional installation. In particular for large-area treatment, peak currents of the order of I 00 A or more are required as to be discussed below, thus resulting in pulse powers of the order of 10 MW. Therefore, the configuration of a suitable high-voltage pulser is nontrivial and might add significantly to the total installation cost.

Different types of pulsers have been proposed in the literature. The most straightforward way is to make use of a standard DC high voltage supply and adding a high voltage switch ("modulator"). Fig. 4(a) shows a corresponding arrangement using a high-voltage tetrode as the switch [14]: A condensor is charged from the positive DC power supply during the pulse-off periods with the tetrode blocked. The target electrode remains essentially at ground potential due to its contact with the plasma, the potential of which is defined by the chamber walls. A low-voltage positive pulse at the tetrode grid will switch the modulator side of the condensor to ground potential, correspondingly rising the target side to the desired negative high voltage. This modulator is very flexible and safe; any electrical breakdown occuring on the device will be automatically limited by the tetrode. Its disadvantage is the current limitation by tetrodes at tolerable cost, and an expensive DC power supply.

Recently, it has been proposed to use the plasma electrons for switching rather than a hard tube [15] (Fig. 4(b)). An auxiliary, insulated anode behind a control grid is attached to the plasma chamber. As before, the condensor is charged during pulse-off time with a low negative voltage at the grid. When the grid is turned to a low positive potential, the anode is shifted to plasma potential, which is close to

Page 208: Advanced Technologies Based on Wave and Beam Generated Plasmas

196

ground due to the contact of the plasma with the chamber walls. Correspondingly, the target rises to high voltage. It can be shown that the operation of the pulser is correlated with the secondary electron yield at the substrate. Its limitations have yet to be explorated.

(a)

ov----------------r---~---------•

From Pulse Generator ------,

(b)

+ H.V.

Plasma Source

Ions

Target

Figure 4. Plasma immersion implantation modulators using (a) a tetrode switch (after Ref. [ 14)) or (b) the plasma directly (after Ref. f 15]).

For other designs, the pulse is formed at low voltage and transformed to high voltage, thus avoiding a high-voltage DC power supply. A specific design [16] employs Fourier composition of sinusoidal wave packets, being transformed and rectified at the high-voltage side. A recent development [17] uses parallel transistor

Page 209: Advanced Technologies Based on Wave and Beam Generated Plasmas

197

networks, each feeding high-current pulses at 1 kV maximum to a single tum on the primary side of a special transformer, which delivers a voltage amplification of 100. In this way, high-voltage pulses of up to 100 kV and 100 A can be generated at pulse durations up to 50 IJ.S. For these designs, special attention has to be directed to the protection of the low-voltage solid state electronics in case of high voltage failures.

2.4 SECONDARY ELECTRONS

Unfortunately, only a fraction of the pulse power is fed into the ion current. Depending on the pulse voltage, the corresponding ion energy and the individual ion-target combination, a high yield of secondary electrons (up to about 1 0) will be produced at the target surface. The electrons will be accelerated across the plasma sheath. Due to their high energy, they will only little interact with the plasma and hit the chamber walls. Besides this undesired power dissipation, the secondary electrons cause two additional problems for PBII: As the secondary-electron emission-coefficient is mostly unknown and depending on the actual surface state such as topography or contamination, a direct ion current measurement and thereby dose control is not feasible. Furthermore, at high energies, X-ray production at the chamber walls may require additional safety measures. Without additional shielding, a conventional stainless steel chamber will only permit pulse voltages up to about 35 kV.

3. Plasma Boundary Physics

The treatment of the plasma boundary with respect to PBII is of interest both for a new understanding of basic phenomena and for practical aspects of application. For the latter, the definition of the implanted dose is a nontrivial task since a simple current measurement is obstructed by secondary electron emission, as discussed above, and high-frequency displacement currents. Thus, one might envisage to obtain a reliable prediction from a theoretical description of the boundary phenomena. Further, the energy distribution of the implanted ions is of interest for any specific application, being again determined by the plasma boundary physics. A further, crucial question is the uniformity of the treatment of three-dimensional structures.

From the application of a quickly rising high-voltage pulse to the target immersed into the plasma, a highly nonstationary situation arises which is a subject of recent novel modelling and theory. However, we will first recall the simple laws of the

Page 210: Advanced Technologies Based on Wave and Beam Generated Plasmas

198

static plasma boundary, which form the base for a simplified treatment of the PBII boundary phenomena.

3.1 STATIC SHEATH

r--------- - -

Plasma Bulk s~~~h Sheath

Target -Ya --- ~~~~ » k:e

-l Lp ' ' ' : Xs I ..,...

I

"o I

n(xl "s

0 X

0

+<x) Pou!ntial ;

I I

~.

Figure 5. Schematic of the plasma boundary at high negative bias.

A low-pressure, non-thermal plasma is considered with an electron temperature H:. of a few eV and ion temperatures below 100 meV. Fig. 5 shows a schematic of the plasma boundary in front of a target which is negatively biased with a voltage <A being large compared to the self-bias, and qualitative diagrams of the electron and ion densities, n,.and n;, respectively, and the electrostatic potential ¢as function of the distance x. A sheath is formed with a thickness x, being large compared to the Debye length, within which the electrons are essentially repelled and the ions accelerated, thus decreasing the ion density from the plasma density no towards the target.Assuming a collisionless sheath without any source or sink of charged particles, a simple consideration 1181 shows that the ions must enter the sheath with a velocity V; exceeding the Bohm velocity

Page 211: Advanced Technologies Based on Wave and Beam Generated Plasmas

199

(1)

where m; denotes the ion mass. According to Riemann [19], this so-called "Bohm

criterion" holds marginally so that the ion velocity at the sheath edge is given by the

Bohm velocity. Although this is under debate, we will follow this assumption from

now as no better simple approach is available.

The acceleration of ions from their thermal velocities in the bulk of the plasma requires the existence of a presheath, which is assumed to be still quasineutral.

Different models for a geometrical, ionizing, collisional or magnetic presheath have

been formulated in the literature rt 9]. For simplicity, however, the presheath is

described here by a simple potential drop being consistent with u, = u 8 . Setting the

potential of the plasma bulk to ¢o = 0, it becomes at the sheath edge

kT, <j>(x) = -

2e (2)

with e denoting the elementary charge.Assuming a Boltzmann distribution of the

plasma electrons, the plasma density in the presheath decreases as function of the

potential according to

n (x) = n (x) = n exp( e<j>(x) l e 1 0 kT '

e

(3)

so that the plasma density at the sheath edge is given by

n = n e -112 ~ 0 6n " 0 . () . (4)

The diffusive ion current density emitted from the plasma is then

(5)

Page 212: Advanced Technologies Based on Wave and Beam Generated Plasmas

200

On the other hand, the ion tlux in the collision-free sheath is limited by space charge according to the Child-Langmuir law

4E ~ iA-1312 . o e 'l't } · = - - --

1 9 2 m; x~ (6)

Equating eqs. (5) and (6) yields for the sheath thickness

X~=~ ~ _2_ I<Pr4 [ ll/2 ( ]1/4 3 0.6n0 ekTe

(7)

Fig. 6 shows the evaluation of eq. (7) for a range of target voltages and plasma densities being characteristic for Pili. It is seen that already voltages below 10 kV yield sheath widths of some em except for the highest plasma densities. This is especially of concern with respect to the treatment of two- or three-dimensional contours on the target surface, as it will be discussed in sect. 3.3. Furthermore and trivially, the sheath width must be significantly smaller than the vessel dimensions. For the practical treatment of many smaller items simultaneously, one has also to optimize the packing density, which again would be counteracted by an excessive sheath width .

E' (.) -(/) (/) Q)

c ..:.1: .!::! .c. t­..c. 1U Q)

.c. fl)

Voltage (kV)

Figure 6. Width of the statit: sheath at different target voltages and plasma densities, for a nitrogen plasma with N2 +ions

Page 213: Advanced Technologies Based on Wave and Beam Generated Plasmas

201

According to eq. (5), the ion flux of a given species is only given by the plasma density and the electron temperature, resulting in particle fluxes (for singly charged ions) between 1.6·1 0 14 cm-2s-1 und 1.6·1 0 17 cm-2s-1 for the parameters given in Fig.6. For planar geometry, the energy flux to the target is easily calculated from eq. (5):

(8)

For the parameters of Fig. 6, eq. (8) yields energy fluxes around 10 W/cm2 at medium plasma densities and voltages, and exceeding 100 W/cm2 at high density or voltage. In vacuum, these data represent excessive thermal loads which cannot be cooled away for practical applications. This is an essential reason for operating PBII in a pulsed mode, thereby reducing the average energy flux by one to three orders of magnitude. One might argue that the energy flux could also be reduced by reducing the plasma density. However, this would in most cases increase the sheath width above tolerable limits, as discussed above. Naturally, the pulsing reduces the dose rate significantly. Nevertheless, the particle fluxes given above indicate that the treatment times remain sufficiently small even at the highest doses given by the stationary sputter limit (see sect. 4), which are typically some 1017 cm-2 . At a typical pulse duration of 50f.!S and a repetition frequency of 1 kHz, such doses require treatment times in the order of only 1000 s at medium plasma density. In general, the treatment time is not a critical issue for PBII.

3.2 DYNAMIC SHEATH

A schematic of the sheath behaviour during a high-voltage pulse applied to the target is shown in Fig. 7. For flat-top pulses of sufficient duration, the sheath will finally be static according to sect. 3 .1. Before the pulse, the plasma will be tightly attached to the target with a sheath thickness of a few Debye lengths, i.e. between 10·2 and 101 em. When the pulse is applied, the initial reaction of the sheath depends on the rise time of the pulse. In any case, the plasma electrons are pushed away on a time scale of the inverse of the electron plasma frequency, which is around 10 GHz. The ions, however, can only follow with the inverse of the ion plasma frequency, being around 10 MHz. Thus, for quickly rising pulses with a time constant of 0.1 f.!S or less, a so-called ion matrix sheath [20,21] of ions will be left behind the electrons, containing ions with an initial density equal to the plasma density. For an infinitely quickly rising pulse with the full amplitude f/Ju, the Poisson equation yields for the thickness of the ion matrix sheath (always for planar geometry)

Page 214: Advanced Technologies Based on Wave and Beam Generated Plasmas

202

ell (t) t

0

I l»kT,/

~· 10 ... 100 J.lS

~ ~ 0.1 f.'S

~

\ ~ _L

+++++++++++++ +++++++++++++

Ion Matrix Sheath

j_ ++ ++ + + + + ++ ++ + + + + + + ++ + ++ + •

Quasi-static CL Sheath

\ ++ ++ + + +

+ ++ ++ + + + ++ + ++ + ++ +

+ + + + + + + ++ + + + + ++ +++ +

Stationary CL Sheath

Figure 7. Schematic of the sheath behaviour during a Pill pulse

IM X-'

I / t

I I

(9)

Due to ion acceleration, the ion matrix sheath is quickly denuded. The solution of the ion equation of motion shows that the flight time of the ions to the target surface is independent of the starting position and given by

1t

2wf'; (1 0)

where w1,; denotes the ion plasma frequency. As the ions start from different potentials across the sheath, their kinetic energies Ei at the target surface exhibit an energy dist1ibution (the ions are always assumed to be singly charged)

( 11)

Page 215: Advanced Technologies Based on Wave and Beam Generated Plasmas

203

with a mean energy of el¢u,V3, thus favouring lower energies compared to the full applied voltage. This is of practical importance since monoenergetic implantation such as for II is desired in many cases.

For slowly rising pulses or times exceeding tif, the plasma boundary will further move according to dynamics to be discussed in the following. This regime, which will finally approach the static sheath, is denoted as the quasi-static Child-Langmuir sheath [22,23] in the sense that each ion emitted from the plasma boundary experiences an essentially static sheath, due to its short fligh time. As for the static sheath, the supply of ions from the plasma is given by diffusion; a further contribution, however, arises from the continuous penetration of the sheath edge into the plasma, adding a "peeling-off' flux of ions to eq. (5):

(12)

Together with eq. (6), this yields the equation of the dynamics of the quasi-static sheath:

(13)

from which the sheath width x, can be calculated as function of time and a constant or slowly varying voltage at the target.

Eq. (13) is valid under the assumption that the plasma boundary including the presheath are not altered with respect to the static situation. However, this is questionable in view of the fact that sheath edge velocities obtained from eq. (13) often exceed the Bohm velocity by far. Any rigorous theoretical treatment of the dynamic response of the presheath is missing up to now. However. a simplistic view may be formulated in the following way [24,25]. As sketched in Fig. 8, the static presheath exhibits a characteristic width L1, within which the ion density approaches the plasma bulk density (Fig. 8 (top) for the static situation before the pulse is applied). With a quickly moving sheath edge when the target voltage is applied, the presheath may find insufficient time to continuously re-establish itself, so that the sheath edge penetrates into the presheath (Fig. 8 (middle)). Finally, after a long time

Page 216: Advanced Technologies Based on Wave and Beam Generated Plasmas

204

1

0.5 0 Xs xp t<O c::

0 c:: 1 >-

~ t/1 c:: Q) 0.5 0 Q) xp t>O > ... 0 ~ Q) 1 0::

0.5 t __. 00

0 0 1 2 3 4

Normalized Distance xI Lp

Figure 8. Schematic representation of the presheath dynamics. (After Ref. [24].)

with the sheath edge velocity having slowed down, the presheath will regain its

original width, as shown in the bottom of Fig. 8. Quantitatively, one may derive a

charactistic time constant for the presheath re-formation from its characteristic

length L" and the characteristic ion velocity, v8 :

(14)

With varying position of the sheath edge, xJt), the characteristic position of the

presheath, x,(t) (see Fig. 8), is then assumed to vary in linear response to the

difference of the actual characteristic thickness of the pre sheath and its stationary

value, i.e.

Page 217: Advanced Technologies Based on Wave and Beam Generated Plasmas

The solution is

dx/t)

dt

xp)+LP -x/t)

T:p

L + x (t) ( I - exp( _ _!__ )] p " r;

p

205

(15)

(16)

Further, the electrostatic potential within the static presheath can be described by a reasonable fit [24,25] to a more complicated analytical result for a geometrical presheath [19], according to

<j>(x) kT [ x-x l - 2e" exp -5 LP• ' (17)

which fulfills eq. (2). With the potential always fixed at x1, to its static value of ¢J{x) = -kT /2e·exp(-5), the potential at the sheath edge in the dynamic case, ¢,/.x,), will be larger than -kT ,12e case since-};-.) becomes smaller than {,- (see Fig. 8). Therefore, the ion density at the sheath edge increases according to eq. (3) , whereas the ion velocity decreases according to

(18)

Starting with t = 0, xJt) = 0, and with a given pulse shape ¢,(t), eq. (13) can now be solved numerically in time steps, with the modified ion density and velocity replacing 0.6n0 and v8 , respectively.

Fig. 9 demonstrates an experimental verification of these mechanisms. A spherical target was immersed into a nitrogen plasma with the given parameters and pulsed with a maximum voltage of 5 kV. The results have been obtained by space- and time-resolved Langmuir probe measurements. Before the pulse is applied, the contour of the plasma density indicates a presheath width of L1, "' 5 em, which is

Page 218: Advanced Technologies Based on Wave and Beam Generated Plasmas

206

........ (')

I

E u

0 .,....0

>­:!: (/) c: Q)

0 ro E (/)

ro 0..

Half Sphere

3

Pulse 5 kV, 50 J.LS

Distance (mm)

-0-<D - -0 -- 5 tl 10

-- 'i]- · 25 '.> 50

---+--100

Figure 9. Dynamic Response of sheath and presheath to the application of a Pili pulse to the target, as obtained from Langmuir

probe measurements. (After Ref. [24].)

also confirmed by a tit of eq. ( 17) to the data. Subsequently, after a pulse duration of 5 and 10 ~-ts, the sheath penetrates into the presheath, while the latter retains its original contour at larger distances from the target After 25 and 50 f!S, the presheath is seen to relax. (The density in the plasma is slightly increased, which might be due to the transient propulsion of electrons into the plasma due to the applied voltage, or arise from electrons emitted from the opposite walls due to the bombardment with energetic secondary electrons from the target (see sect. 2.4)). After termination of the pulse at 50 ~-ts, the plasma density has nearly restored its original contour at 100 f!S.These findings are in qualitative agreement with the above predictions. Quantitatively, the average velocity of the sheath edge within the first 10 !AS is about 4 km/s, exceeding the Bohm velocity of 2 km/s by a factor of two, so that a transient alteration of the presheath is expected. The characteristic response time of the presheath, according to eq. (14), becomes 25 ~-ts, in excellent agreement with the experimental result of Fig. 9.

The calculations described above can now be applied to predict the sheath dynamics, with L" taken as fit parameter, e.g. from static experiments. Fig 10 shows corresponding results at varying pulse voltages (a rectangular pulse shape is assumed) and for two different geometries of the target. (It should be noted again that, for simplicity, some of the above equations have only be formulated for planar geometry.) The model predictions are compared to Langmuir probe data, with a very good agreement between model and experiment. Note that at identical voltages

Page 219: Advanced Technologies Based on Wave and Beam Generated Plasmas

50 planar spherical 0.4 Pa N2 kTe = 1 eV .. .

"

E' 40

E

... 5 -1010 cm"3 4·1010 cm-3 . no= no= - - ---

__ ___ ____ .,. __ Q)

30 0 c

.A . -- ... " .. ' ' Rl

1ii . I --- -- --- -~., - ~

.. -- - ... ' ---

0 20

10

y r

· ""--" . ' Model Expl. v

~ 15 kV · ·· A

"" 10 kV: ~ - -- • 5 kV: -- • ~

0 0 10 20 30 40 0 10 20 30 40

Time (f.ls)

Figure 10. Sheath width as function of time during a Pili pulse at three different voltages, for two different geometries.

(After Ref. [24].)

207

and nearly identical plasma parameters, the sheath expansion for the planar target is significantly larger than for the spherical one. This is also confirmed by simple analytical calculations [26] which neglect the ion drift term of eq. ( 12), i.e. being valid mainly for the initial transient phase of the sheath expansion: The sheath expansion increases in the order spherical ~ cylindrical ~ planar geometry. This is helpful for the application, as it allows an increased packing density when many smaller items shall be treated in one large plasma volume.

In the literature, also numerical models have been developed to describe the dynamics of the quasi-static Child-Langmuir sheath. A simple two-fluid model [27] is based on the continuity equation for the ions in the sheath being free of sources or sinks,

(19)

the transport equation of the ions in the absence of a magnetic field,

Page 220: Advanced Technologies Based on Wave and Beam Generated Plasmas

208

(20)

and the Poisson equation

(21)

At a boundary of the computational cell defined far enough in the bulk plasma, zero potential and zero ion velocity are assumed. This neglects the existence of a presheath. For the electrons, simplified Boltzmann kinetics are assumed according to eq. (3), so that the Poisson equation reads

!!____ ( n - n0exp( ~ )] E I kT

0 e (22)

Eqs. (19,20,22) are solved numerically for a given pulse shape, starting from ¢, = 0 and determining the locally resolved densities and the potential for each time step. An example is given in Fig. 11 [28], which shows the sheath edge velocity as function of the sheath width formed during the first 5 fJ.S of a 5 kV pulse applied to the target. The model result is compared to experimental data from laser-induced fluorescence (LIF) and from a Langmuir probe. Reasonable agreement is found. The initial sheath velocity during the rise of the pulse exceeds the Bohm velocity by more than a factor of 10.

With the pla<>ma parameters and the sheath dynamics known, the time-resolved ion flux can be calculated according to eq .(6). For a pulse of sufficient duration, there is a large initial contribution of the peeling of ions off the sheath edge due to its high velocity. At long times, the current is exclusively given by the diffusive flux of ions from the plasma. This is indicated in Fig. 12 [ 14] showing typical voltage and current contours of Pili pulses. Whereas the voltage remains roughly constant during the flat-top time of the pulse (a modulator as shown in Fig. 4(a) has been employed), the current shows a high initial transient. However, it is doubtful if this transient can be attributed to the ion flux alone, since displacement currents might be present during the rise period of the applied voltage.

The models discussed above allow a rather precise prediction of PBII ion fluxes and, consequently, ion doses per pulse. This becomes particularly important for 20

Page 221: Advanced Technologies Based on Wave and Beam Generated Plasmas

209

2.5

- • LIFdala U) :::1. 2 - • probe data E 0

~~==== model ->. 1.5 ~ 0 0 <D > .s::. -~ (I) 0.5 .s::.

UB U)

- ----------------0

0 2 3 4

Sheath Width (em)

Figure 11. Sheath velocity as function of the sheath width, as observed during the first 5 ~-ts of a Pili pulse with a flat-top voltageof 5 kV in a Nitrogen plasma.

~ ..... r::: Q) ... ... :::J u

> ~ -Q) 0'1 1.11 ..... 0 >

u8 denotes the Bohm velocity. (After Ref. [28].)

40

30

20

10

0

B

6~ ! L

:f ·10 0

'" '.

10

ov 100V

.. ... . . 250V

20 30

Time (J.Ls) 40

Figure 12. Voltage and current contours for -45 kV, 20 ~-ts pulses applied to a 500 cm2 target in an RF nitrogen plasma. Different DC bias voltages

have been applied to an auxiliary electrode. (After Ref. [14] .)

Page 222: Advanced Technologies Based on Wave and Beam Generated Plasmas

210

targets, with a resulting dose distribution which is in general non-uniform along the surface. This will be a main subject of the following section.

3.3 30 TARGET GEOMETRY

The analytical models desribed in sect. 2.2 apply to one-dimensional, i.e. planar,

cylindrical of spherical target geometry. However, for the technical application of PBII, three-dimensional items are of particular interest. Therefore, it will be decsribed below how a reliable modeling can also be set up for these problems.

Plasma

Figure J 3. Pili into a cylindrical item mounted on a holder. The contour along the

surface indicates the dose distribution along the surface. Ion trajectories and equipotential lines are indicated.

For a realistic situation with a cylindrical item mounted on a holder (see Fig. 13), the analytical model (see sect. 3.2) can be extended to a higher dimension in the following way [29,30] . Assuming a constant charge density within the sheath

between the plasma and the target structure, the potential distribution in the sheath

and the position of the sheath edge can be obtained from a simple and quick numerical calculation. Provided the holder is sufficiently long (cut off at the bottom of Fig. 13), it can be assumed to exhibit I 0 symmetry along its lower fraction, so that the 10 model can be employed to describe the sheath dynamics there. The

constant charge density for the 20 electrostatic sheath calculation has been adjusted

Page 223: Advanced Technologies Based on Wave and Beam Generated Plasmas

211

so that the sheath width around the bottom of the holder fits the result of the analytical 1 D dynamics at each time step. A snapshot of a resulting potential contour is shown in Fig. 13. Neglecting the ion diffusion current and thus restricting the model to relatively short pulse durations, the amount of ions locally liberated from the sheath edge can be obtained for each time interval and traced along the ion trajectories, summing up to the local ion fluence at the surface of the target. From this, the local total ion dose per pulse can be integrated over time. The resulting local dose is shown in Fig. 13 as an analogue contour along the surface of the cylindrical pin, for Pili in nitrogen at a pulse voltage of 30 kV. It is seen that the implantation is laterally non-uniform with a substantial decrease towards the holder. Nevertheless, the non-uniformity would be expected to be tolerable for mechanical applications or even desired as, e.g., for drills. It should also be noted that the predictions of this simple model are in surprisingly good quantitative agreement with local dose measurements along the surface [29,30].

The simplified model discussed above is subject to a number of simplifications. Its advantage, however, is its minor numerical effort so that PC evaluations are feasible . With considerably larger numerical effort, the two-fluid model as desCiibed in sect. 3.2 is directly suitable for two-dimensional structures. As an example, calculations for square bars [31] show that the maximum ion dose is not found directly at the edges, but close to them. This is due to the fact that the ions are too fast close to the target surface as to follow the electrical field lines .

An important problem is also the PBII treatment of hollow structures such as trenches or bores. Fig. 14 shows a schematic. Initially, the plasma fills the trench provided its dimensions are large compared to the Debye length . (Thus, a conventional low-pressure plasma will not fill microelectronic structures with micrometer or even submicrometer dimensions.) Depending on the dimensions of the structure (now in the mm or em range) and on the width of the expanding sheath, the plasma will be expelled from the hole. During this phase, all faces (bottom and sidewalls) will be implanted. When the sheath width becomes comparable to or larger than the hole dimensions, the plasma detaches as depicted in the bottom of Fig. 14. In this situation, a significant ion incorporation at the sidewalls cannot be expected, since the ions are emitted normally to the sheath edge and follow approximately straight lines in a non-collisional sheath. The application of Pill to hollow structures has been treated both using the simple analytical/numerical model [301 and the two fluid model[32J, as discussed above. Recently, a more extended numerical model has been described [33] which employs a time-dependent particle-in-cell (PIC) simulation [34] of the plasma. Fig. 15 shows results from this model for a typical Pill plasma applied to a trench embedded into a rectangular bar, valid for a pulse of 2 ~s rise time, I 0 ~s duration and a maximum voltage in the order of I 0 kV. The trench dimensions are macroscopic, in the order of 1 em. The top figure gives a snapshot of the inner section of the potential

Page 224: Advanced Technologies Based on Wave and Beam Generated Plasmas

212

--· --· ,. . .,. ..

Figure 14. Dynamic sheath formation around a hollow structure (schematic)

distribution just when the pulse plateau has been reached, at an aspect ratio (depth:width of the trench) of 1: I. The plasma boundary (<I> "' 0) has been widely

expeJled from the trench. Nevertheless, one might expect a small contribution of side wall implantation due to the shape of the potential contours. This is not confirmed by the time dependence of the integrated average surface concentrations (Fig. 15 bottom) which demonstrate that the sidewall implantation (curves 3) occurs only at times below I ~s, again due to the fact that the fast ions do not follow the

electric field lines close to the target surface. The sidewall dose decreases strongly with increasing aspect ratio. Compared to the outer top faces (curve l), also the bottom dose (curve 2) is strongly reduced and depends significantly on the aspect ratio. Furthermore, it is seen [33] that the average impact energy of the ions at the sidewalls is only a fraction (about 30 %) of the average impact energies at the top and bottom of the structure, due to the fact that implantation at the sidewalls occurs only during the rise time of the pulse.

Page 225: Advanced Technologies Based on Wave and Beam Generated Plasmas

-2 -1 0 2

Normalized Position

1.0 _J

TJ = 3:2

l Jl CLI 0.8 1'f = i :2 Ill 0 c

"C 0 .6 outside M i CLI

.~ (;; 0.4 2: bottom ····~ E ... '\- ··· ·· ·· ········· ·· ·· 0 z 0.2 .... ··~

.3: interior side 0.0

0 2 4 6 8 10

Time (J1S)

Figure 15. Results of a particle-in-cell simulation of the sheath dynamics for a rectangular bar containing a trench. Normalized potential contours (<j> = 0 in the plasma, 4> = I at the target) at 2 f..I.S

(top), integrated average doses on different faces vs. time, for two different aspect ratios lJ (bottom). The length unit is I em. (After Ref. [33].)

213

Therefore, for a collisionless sheath which is necessary to make a substantial fraction of full-energy ions available, a nearly uniform trench or bore implantation can only be obtained for very short pulse significantly below 1 !J.S, provided the dimensions are still large compared to the Debye length. With very short pulses, new

Page 226: Advanced Technologies Based on Wave and Beam Generated Plasmas

214

technical and economical difficulties arise such as excessive cost of the high-power pulser and electrical intert'erence. Another way to increase the uniformity of the 3D treatment in particular of hollow structures is to compromise it against the energy spectrum of the ions. which will be addressed in the following subsection.

A further important issue of practical relevance is the inner treatment of long tubes. Similar to trenches and bores, the plasma inside a tube can only be sustained if the inner diameter is large compared to the maximum sheath width. In addition, the plasma potential inside a long tube may follow the target voltage applied to the tube. A a remedy, it has been proposed to mount a coaxial inner electrode at ground potential which fixes the plasma potential and improves the uniformity of the treatment along the inner surt'ace. Corresponding fluid model calculations are available [35].

Presheath

Ions ns • • • • • • • • • • • • • • Sheath • • • • • •

• • 0 • • Symmetric Multiple

charge exchange scattering

Figure /6. The collisional sheath (schematic). Main processes (left), ion energy distribution at the target (right).

3.4 COLLISIONAL SHEATH

E· I

Mainly for completion of the discussion of 30 PBll, the collisional sheath shall be briefly addressed in a qualitative way. At sufficiently high pressure, where the mean free path length of the ions in the sheath becomes comparable to the sheath width or smaller than it, scattering processes occur during the passage of the ions through

Page 227: Advanced Technologies Based on Wave and Beam Generated Plasmas

215

the sheath, which may influence significantly the energy distribution of the ions as

well as their angular distribution at the target surface. At sheath widths in the order

of I em, collisions become significant at pressures of the order of I0-2 mbar and

below, if only kinetic collisions in the gas are taken into account. The two main processes are shown schematically in Fig.16 (left). Multiple scattering with often

equal ion and neutral masses is associated with strong angular deflections and energy losses. Although the electric field (in particular in a planar geometry) will

always bend the trajectories back to towards normal incidence, a more or less

broadened angular distribution will remain at the target surface, in addition to a

significant energy loss. The same net effects result from symmetric charge

exchange, where a fast ion is replaced by a slow ion after a charge transfer collision.

Cross sections of charge transfer collisions may be higher than kinetic cross sections

by up to an order of magnitude [36], such as in rare gases where strong resonance

effects are observed. Fig. 16 (right) demonstrates that a low-energy tail is added to

the full-energy peak which would arise from a reactangular-shaped pulse in the

collision-free regime, if the ion matrix sheath contribution is neglected. For

sufficiently high pressure, the full-energy peak may be fully suppressed and

replaced by a continuous energy distribution with a maximum at zero energy [37].

p(e)

0 ~0 0 o/r '\

Eii.'i~ 0

'\o 0 Unsca1tered

J Scattered 0

Figure 17. Int1uence of scattering on the angular distribution of ions impinging onto a flat target (lett) and consequences for PBII

into trenches. (After Ref. [391.)

Two remarks must be added in order to characterize the complexity of the problem. At increasing pressure, the sheath thickness will also decrease counteracting the influence of scattering. Furthermore, the sheath dynamics will be influenced by the

Page 228: Advanced Technologies Based on Wave and Beam Generated Plasmas

216

collision processes. Fluid-model calculations for a collisional sheath have been given in the literature [38].

Fig.17 shows a schematic of an angular distribution resulting from scattering in the sheath. Evidently, this can be made use of for the implantation of holes and trenches. With the plasma detached and forming a sheath edge which is essentially parallel to the surface, which is in particular realized for electronic microstructures, the tails of the angular distribution will enable an implantation also into the sidewalls . However, the implantation depth will not only be strongly reduced and smeared out due to the reduced average ion energy, but also due to oblique incidence. It is difficult to predict how an additional scattering in the gas volume of the trench will influence the implantation both into the bottom and into the sidewalls.

4. Ion - Surface Interaction

As discussed in section 3, the plasma boundary physics determine the characteristics of the ions impinging onto the surface of the target such as their flux and their energy and angular distributions. With these boundary conditions at the solid surface, solid state phenomena determine the effects of ion implantation on the final properties of the modified surface and subsurface region. Generally, the surface modification results from an extremely complicated interplay of physical and chemical effects, which act on widely different time scales. An individual ion is slowed down to energies in the order of the solid state binding energies (some e V) within about 10· 14 s. Associated collision cascades thermalize after about 10"12 s. During these phases, radiation defects are formed and partially annealed again . Subsequently, thermal diffusion of the implanted atoms and of the defects occurs at sufficiently high target temperature. The time constants of these diffusional processes may reach the typical durations of experiments or practical applications, i.e. I 03 s. During all these processes, the stoichiometry and the structure of the subsurface region may be altered. Different kind of chemical reactions may occur such as the formation of new phases [4], which may be homogeneous or form precipitates. In particular during the initial collisional phase and the thermalization of the cascade an intimate mixing of the subsurface atoms occurs, which again may be enhanced or even hindered by hot chemistry. All these phenomena are influenced by the presence of radiation defects.

For conventional II and PBII, the tluxes of ions are sufficiently low to exclude any interaction between the individual collision cascades, as far as the fast collisional phase (t < I o·' 2 s) is concerned. Therefore, for a discussion of the ba<;ic phenomena,

Page 229: Advanced Technologies Based on Wave and Beam Generated Plasmas

217

it is often sufficient to describe the effects of an individual incident ion. For brevity, the present section will only address the most prominent collisional effects. Due to the importance for mechanical applications of PBII, it will conclude with a brief and phenomenological discussion of the diffusion of the implanted atoms.

4.1 STOPPING AND RANGES OF IONS

lcMsl e

Collision Cascade

Nuclear ~ Collision ~ \ · ~

~- Electronic ~ Interaction

+ Tn

Figure /8. Schematic of an ion trajectory and its associated collision cascade (top) and a section indicating the atomic interactions in the center-of-mass system (bottom).

Fig. 18 (top) represents the trajectory of an individual ion with mass and atomic number m, and 4, respectively, and kinetic energy~ incident on a solid with atoms of m2 and Z2. The interaction with the atomic nuclei and the target electrons (see Fig. 18 (bottom)), being of statistical nature, results in significant scatter of the individual trajectories. Along each trajectory, an average energy loss per travelled distance scan be defined by the stopping power -dE/dx or the stopping cross section

s 1 dE

ndx (23)

Page 230: Advanced Technologies Based on Wave and Beam Generated Plasmas

218

where n denotes the atomic density of the target substance. Assuming that the interactions of the ion with the atomic nuclei (so-called "nuclear" or "elastic" interaction) and the electrons ("electronic" or "inelastic" interaction) act independently, the total stopping cross section is composed of a nuclear and an electronic fraction,

S '·' S +S n e (24)

Both contributions can be calculated from a collisional cross section a which depends on the collisional energy transfer T, resulting from the collision:

(25)

In case of the nuclear interaction, the elastic energy transfer is given by

T II (26)

with B denoting the scattering angle in the center-of-mass system. The nuclear interaction cross section can be determined classically for a given interaction potential [40,41]. Conventionally, such potentials are formulated as screened Coulomb potentials [42) according to

(27)

with the internuclear distance r, the screening length a, and the screening function <1>. Universal approximations have been derived for the screening function, being valid for all ion-target combinations, such as the so-called "universal" potential [43]

<l>u(y) O.l82e -:u'"+O.Sle -0942'"+0.28e - 0.403' +0.0282e -0·202'" , (28)

with the "uni versa!" screening length

Page 231: Advanced Technologies Based on Wave and Beam Generated Plasmas

0.8853 a0

Z o.z3 2 o.n I + 2

219

(29)

with a0 denoting the radius of the first Bohr orbit. In the following, the standard example will be the implantation of nitrogen into iron . For this case, au= 0.13 A. A universal formulation of the nuclear scattering cross section can be achieved when introducing reduced Lindhard variables [42] E for the energy and p for the pathlength, according to

E 4nE0am2

E Z1Z2e 2(m 1 +m2)

, (30)

na 2n 4m 1m2

p s . (m,+mz)2

(31)

The reduced nuclear stopping cross section is then obtained m a good approximation [44] by

3.44y'E log(E+2.718)

l +6.35y'E +E(6.882VE -1.708) (32)

The nuclear interaction also results in angular scattering by each individual collision and thereby to multiple scattering along the trajectory, thus mainly determining the statistical nature of the individual trajectory. On the other hand, angular scattering is negligible for the collisions with electrons due to their low mass. At sufficiently high velocity of the projectile (according to the Thomas-Fermi model, v 1 > v0Z/'3

where v0 denotes the velocity of the first Bohr orbit), the ion is fully stripped to a bare nucleus so that the interaction with the target electrons is subject to a pure Coulomb potential. The energy loss due to target excitation and ionization has to be treated using quantum mechanics [451. First order Born approximation yields the Bethe-Bloch formula

s: 2 2 4 nZ1 Z2e

(41tEo)2

m, 1 [ 4m,.El --log-- , me E m/ (33)

Page 232: Advanced Technologies Based on Wave and Beam Generated Plasmas

220

with the electron mass m" and the mean ionization potential /, which can be approximated by I= 1 O·Z2 e Y. In the other limiting case of V; < < vyZ/1, electrons from the target are quickly attached to the incident ion, so that electronic interaction takes place between two complete atoms. Classical scattering theory in combination with the Pauli principle then yields the Lindhard-Scharffformula[46]

(34)

thus S,. being proportional to the projectile velocity. The intermediate range is difficult to describe still today. Therefore, interpolation formulae between eqs. (33) and (34) have been proposed according to

+ (35) s ('

This forms the base of semiempirical tables which list the electronic stopping over a wide range of ion energies and for many ion-target combinations ["47-501 .

The stopping cross section according to eqs. (32-34) are shown in Fig. I 9 for the representative case of nitrogen incident on iron. At energies below about 5 keY, the nuclear stopping dominates. It hecomes small compared to the electronic stopping at energies exceeding 1 00 ke V.

From the total stopping cross section (eq. (24)), the mean total pathlength of the ion trajectories is obtained according to

(36)

For ion implantation, however, the mean projected range R;, (see Fig. I 8) is of interest which may be considerably smaller than the mean total pathlength due to multiple scattering. Only at high energies when substantial scattering only occurs towards the very end of the trajectory where the nuclear scattering cross sections becomes important, or in the case of heavy ions, m1 >> II! , where angular deflections become small kinematically, eq. (36) is a reasonable estimate of the implantation depth. A corresponding correction is [51]

Page 233: Advanced Technologies Based on Wave and Beam Generated Plasmas

221

,...... N 2 E

(,)

> Q)

C') ... . 0 ... c: 0 .... (,) (I) II)

IJ) IJ)

0 ... u Cl c: a. a. 0 .... 0 II)

103 106

Energy (eV)

Figure 19. Nuclear stopping cross section according to eq. (32), and electronic stopping cross sections according to eq. (33) (BIB) and eq. (34) (LIS).

(37)

On the other hand, for light ions, the projected range may deviate significantly even at high energies where the electronic stopping largely dominates. In this situation [52]:

RP = R, A.(l - 2A) (38)

Fig. 20 gives the evaluation of eqs. (36,38) for the case of nitrogen incident on iron. The difference between total and projected mean range is about one order of magnitude up to energies around 10 keY .

With ions incident at an angle a with respect to the surface normal, the mean projected range scales geometrically if this angle is not too close to rrJ2, i.e.

Page 234: Advanced Technologies Based on Wave and Beam Generated Plasmas

222

(39)

It is further worthwile to address the case of molecular ions, which are often present

in PBII. When entering the surt·ace, the energetic molecule quickly splits into its

atomic constituents, with the kinetic energy being distributed proportionally to their

masses. Thus, a diatomic molecular ion of only one element, such as N/, can be

regarded as two incident atoms with half the energy. Consequently, the mean

projected range becomes

E' () -Q)

C'l

~ 10~ F0TI+ffii3JTfl]~~~ 1.'11 Q)

~

Energy (eV)

(40)

Figure 20. Mean total and projected ranges of nitrogen ions in iron vs . ion energy, according to eqs. (36) and (3R).

The above range formulas are useful for quick estimates. For more precise

calculations of ranges and range distributions, computer simulations of the binary­

collision approximation type are available [53], such a<; the well-known TRIM code

[54,55]. A trajectory plot of a TRIM simulation is given in Fig. 21 for nitrogen

implanted into iron. A large scatter of the individual ion trajectories is evident. The

calculation shows that 4 out of 100 ions are collisionally backscattered and

Page 235: Advanced Technologies Based on Wave and Beam Generated Plasmas

223

0 Depth 50 nm

Figure 21 . TRIM'95 trajectory plots for 100 nitrogen ions incident on iron at an energy of 20 keY. The point of incidence is indicated by the arrows. (a) Side view of primary ion trajectories only; (b-d) including

collision cascades: (b) and (c) side and top views, respectively; (d) view along the direction of incidence.

reemitted through the surface. A mean projected range of 23.4 nm is listed by the calculation, which lies between the two curves of Fig. 20. The straggling of the mean projected range is substantial (standard deviation 11.5 nm). It is further shown that, in addition to the primary ions, TRIM traces the full collisional cascades .

Fig. 22 shows the ion range distribution together with the deposited energy distributions, as obtained from the TRIM simulation. As both electronic and nuclear stopping power decrease at decreasing energy, the energy distributions are always shallower than the range distributions. Only a small fraction of the total electronic energy Joss is due to the recoil atoms.

4.2DAMAGE

As already discussed in sect. 4.1, atoms of the target substance may be knocked off their lattice sites by nuclear collisions and, e.g., contribute to a collision cascade. Some of these atoms may receive sufficient energy to be transported sufficiently far away from their original site. After the thermalization of the cascade, Frenkel pairs

Page 236: Advanced Technologies Based on Wave and Beam Generated Plasmas

224

E (.) -In

0 ..... -

.......... E t:

~ N

0 ....... -

E' t:

N 0 ..... -

3

2

1

0

3

IONS 2

1

lon Range

Electronic Energy Deposition

Depth (nm)

vp) " {

()

I 0.8 T'

2U"

Figure 22 .

Ion range and energy deposition distributions for nitrogen incident on iron at 20 keY, from a TRIM'95 computer simulation with 1000 incident ions. The range distribution is normalized to 1, the energy deposition distributions are normalized to the energy of incidence.

consisting of an interstitial atom and a vacancy at the original site, which represent the simplest configuration of a radiation defect [56]. For Frenkel pair formation, a minimum threshold energy of the recoil, the displacement threshold Ud, is required, being in the order of some 10 eV depending on the material. In the regime of the so-called "linear" cascade, which is valid for most applications of PBII, the number of Frenkel defects per primary recoil atom with an energy transfer T can be estimated according to the modified Kinchin-Pease model [57]:

; T<U" else (41)

; T'>2.5U"

T* denotes the fraction of the initial recoil energy which is dissipated by nuclear rather than electronic interaction, with T z 0.9 T as a good approximation. For the total damage produced by an incident ion of energy Em eq.( 41) can be applied with reasonably accuracy by replacing T with E0 and inserting the integrated nuclear energy deposition forT.

Page 237: Advanced Technologies Based on Wave and Beam Generated Plasmas

225

The evaluation of eq.( 41) shows that the number of generated defects exceeds the number of implanted ions by far. The TRIM simulation (see Fig. 22) yields 180 Frenkel pairs per incident ion, with a depth distribution closely following the nuclear damage distribution.

It should be noted that only the collisional damage has been addressed which remains after the thermalization of a collision cascade associated with a single ion track. Under real conditions involving elevated temperatures and high doses, point defects will migrate, saturate, annihilate and form extended defects, all depending on the specific material. Any details of these phenomena cannot be discussed here.

4.3 SPUTTERING

As already indicated in Fig 18, target atoms can be sputtered [58] from the surface provided the collision cascade penetrates the surface and contains atoms of sufficiently high energy to overcome the surface binding energy, U,. The surface binding energy is conventionally set equal to the enthalpy of sublimation, with values between 2 e V and 8 e V for different materials. Again for the regime of linear cascades, Sigmund l59] has derived a simple expression for the sputtering yield Y" which denotes the number of sputtered atoms per incident ions:

(42)

~ = 0.2 ... 0.6 is tabulated as a function of the mass ratio. The energy dependence of the sputtering yield is given by the energy dependence of nuclear stopping. However, sputtering at low energies is overestimated. Collision kinematics in connection with the surface binding threshold suppress the sputtering below a threshold energy E,h of the incident ions, which is not accounted for by eq. (42). Bohdansky et al. [60] introduced a corresponding correction according to

y til = y . ~ E0 J ; ·' ·' E

til

(43)

with the threshold energy

Page 238: Advanced Technologies Based on Wave and Beam Generated Plasmas

226

u .\

y(l-y) m2

m 8U,(- 1 ) 21'i ; else

(44)

m2

with the energy transfer factor (see eq. (26)) y= mprAm 1+m2) 2•

"C Q)

>= 1 C')

c:: ..... Q) -:; 0.5 c..

(/)

0

Figure 23. Sputtering yield for nitrogen ions inc ident on iron without (solid line) and with (dashed line) threshold correction (eqs . (42) and (43)).

Fig. 23 displays the sputtering yield for the standard case. The threshold correction

becomes significant at an energy below 1 keV. At energies being characteristic for

PBII, the sputtering yield varies only weakly with energy. It should be noted that

surface contaminants such as oxides may reduce the sputtering yield by up to a

factor of two due to an increased surface binding energy.

For high-dose implantations, the influence of sputtering on the ion implantation has

to be taken into account since the surface is continuously eroded. With j 0 denoting

the incident ion flux, the flux of sputtered ions is given by

.l, ~ Y, j 0 nu, (45)

Page 239: Advanced Technologies Based on Wave and Beam Generated Plasmas

227

where v, denotes the velocity of surface recession. A schematic is shown in Fig. 24.

At low incident fluence, ions are implanted according to their range distribution (see Fig. 22). With increasing fluence, the surface erosion penetrates into the previously implanted zone. Finally, when the surface recession approaches the mean projected range of the ions and the sputter yield is larger than one, a stationary profile is established with a balance of surface erosion and additional implantation.

c(x) Initial

X

c(x) Transient

X

CIX) Stationary

X

x'

Figure 24. Schematic of the formation of sputter-controlled implantation profiles . x' denotes the depth in a fixed laboratory system,

x the actual depth taking into account surface recession.

Page 240: Advanced Technologies Based on Wave and Beam Generated Plasmas

228

Approximating the range distribution by a Gaussian with mean depth R;_, and a standard deviation a, the time-dependent implantation profile results as

c(x,t) n I ( x+ui-Rl ( x-R)] - erf P - erf __ P ,

Y, a a (46)

which converges in the limit of high fluences towards

(47)

From eq. (47), and assuming a<<R;, the atomic concentration of the implant at the surface (x=O) becomes Y,-'. Thus, sputtering with a yield larger than one limits the implanted atom concentration. For the above simple analytical treatment, any variation of the sputtering yield during implantation has been neglected. For more general predictions, dynamic binary-collision computer simulations such as TRIDYN [61 ,62] should be applied.

4.4 DIFFUSION

Out of many additional phenomena which occur during ion implantation, as briefly mentioned in the introduction to the present chapter, the influence of diffusion on implantation profiles shall be briefly addressed due to its importance for the application of Pill. As the direct implantation depth is small (see sect. 4.1 ), i.e. typically below 100 nm, diffusion at a sufficiently high temperature may be used to achieve sufficiently large depths of modification, as, e.g., for tribological purposes.

Diffusion during ion implantation is governed by Pick's law in connection with a source term given by the range distribution of the ions, fR (x),

oc(x,t)

at (48)

with the diffusion coefficient D which can be written as

-D D kT

= o e , (49)

Page 241: Advanced Technologies Based on Wave and Beam Generated Plasmas

229

D0 denoting a preexponential rate factor and U d the activation energy of diffusion. Relatively simple solutions of eq. (48) [63] can be obtained for a semi-infinite medium if the range distribution is approximated by a delta function, fR ( x) == ""x­i?,). A zero initial implant concentration is assumed at t == 0. The 6 source term results in a kink of the solution at x =J?;, with two different analytical solutions left and right to if,.

The boundary condition at the surface is of essential influence. For an ideally permeable surface with the boundary condition c(x=O,t) = 0, the solution is given by:

<-c(x R , t) > p

[ ff ( ( -2] ( j Dt (x+R) - 2~ 2 -;- exp - 4D; - exp

(50)

_ ( x+Rl _ { R-x]] - (x+R) erfc __ P ± (R - x) eifc ±-P-

P J4Dt P J4Dt

Altematively, for an ideally impermeable surface with d.:lox(x=O) = 0, the result is:

< ·-c(x > RP , t)

i; 2D

_ ~ x+R l - (x+R ) erfi __ P

P J4Dt

_(x_+R__,_P_)2] - exp( 4Dt

(x-R;)2]] 4Dt

(51)

Evaluations of eqs. (50) and (51) are shown in Fig. 25 for a given set of parameters. Implantation and diffusion with a permeable surface establish a triangular profile in the limit of large fluence, whereas the near-surface region is quickly filled for an impermeable surface. With the permeable surface, most of the implant is lost by outdiffusion. In both cases, an implantation depth largely exceeding the ion range is established within rather short times and with concentrations in the percent range.

The beneficial influence of a diffusion barrier a the surface has important consequences for Pill. If such a barrier is formed, e.g., by an oxide layer of extended thickness, the pulse voltage has to be chosen sufficiently high in order to guarantee a deposition of most of the ions "behind" this layer. Thereby, it has to be

Page 242: Advanced Technologies Based on Wave and Beam Generated Plasmas

230

4 ..........

'7 E

' Perme ble Surface (,) 0 N

0 ..... -+-1:: 0 .. ~ .... -1:: Q) (,)

1:: 0 0 u .......... - '7 1:: E ~ (,) c. N .§ 0 ..... -

1

o~~w_~~~--~---L--~

0 Depth (!J.m)

1

Figure 25. Diffusion-controlled implantation profiles for different boundary conditions at the surface, according to eqs. (50) and (5 I). The parameters are

R;, = 0.1 f.tm, io = 1015 cm-2s I' Do= w·) cm2s·l' ud = l eV and T = 400"C.

taken into account that the surface barrier might vary dynamically due to sputtering and re-oxidation from the gas phase. This results in a complicated balance which is the subject of recent in-situ studies [64].

PBII offers the possibility of energetic implantation behind the diffusion barrier and is thus superior to other techniques of diffusive treatment such as indiffusion from a gas atmosphere or from a low-pressure plasma. With these conventional techniques, the diffusant is introduced either thermally or with very low kinetic energy, so that a surface barrier might limit the efficiency of the process drastically.

Finally it should be noted that the diffusion of the implant might be influenced by additional effects such as trapping [65] or the formation of phases and/or precipitates [66].

Page 243: Advanced Technologies Based on Wave and Beam Generated Plasmas

231

5. Applications

At present, PBII techniques have not yet been established in broad fields of industrial production although several applications appear to be very promising as it will be shown below. For the transfer from scientific research and laboratory development, further aspects such as safety, duty time of the device, up-scaling and the possibility of large-area processing, and in particular the processing costs determine the industrial application critically. PBII has to compete with a number of techniques which are well-established and have been developed through many years, such as plasma nitriding for tribological purposes or broad-beam ion implantation in microelectronics. Therefore, it has to be clearly demonstrated that PBII allows to realize new and improved surface properties at no or tolerable increase of the production cost. In this concluding chapter, a few examples will be addressed, without, however, stressing the economical aspects.

5.1 MICROELECTRONICS

The technical development in microelectronics ("roadmap") is determined by an continuously increasing miniaturization at a simultaneously increasing wafer diameter. With shrinking lateral dimensions, also the dimensions in the normal direction have to shrink. For the indispensible fabrication steps using ion implantation, the ion energies are to be reduced correspondingly. The transport of low-energy ions or the slowing down of high-energy ions represents a serious problem for the implanter technology, in particular if large areas are required. Both requirements mentioned above can be met by PBII, so that this technique has entered the research laboratories of large producers of ion implantation equipment for microelectronics [67].

The main application of ion implantation in electronics industry is the doping of semiconductors. The formation of ultra-shallow p+/n junctions by Pill has been successfully demonstrated [39]. A two-step process is employed: For pre­amorphization, in order to avoid channeling during the doping implant, SiF/ ions from an SF4 plasma are implanted into the crystalline silicon.Subsequently, BF, +

ions from a BF, plasma deliver the boron dopant. During subsequent annealing at temperatures exceeding 1000 °C, the doped surface layer is recrystallized and the fluorine removed. Junction depths down to 40 nm have been achieved.

High-speed dynamic random-access memories (DRAM's) with a high packing density require large-area trench capacitors which can be realized by shallow high doping of the trench walls. Fig. 26 shows a cross-sectional TEM micrograph obtained after Pill trench doping. A surprisingly high uniformity of the doping

Page 244: Advanced Technologies Based on Wave and Beam Generated Plasmas

232

Figure 26: Conformal trench doping using Pili from a BF3 plasma at 6.5·1 0 3 mbar and 5 kV target voltage, for two different aspect ratios of 5 (left)

and I (right). The depth of the trenches is about 5 f.1m. (After Ref. [68] .)

depth is observed [68], which is ascribed to the scattering of ions in the plasma sheath (see sect. 3.4). A higher target voltage (1 0 kV) reduces the sidewall doping depth with respect to the bottom, as the ion scattering is reduced at larger energies. Trenches with aspect ratios up to 12 at a trench width of I ~-tm have been successfully treated in this way.

With decreasing feature size, it becomes also necessary to screen the near-surface layer of the wafer, which carries the microelectronic structures, against the underlying bulk, both in order to avoid electrical field penetration and to block diffusing impurities. For this purpose, buried Si02 layers can be employed. These are conventionally produced by high-dose ion implantation of oxygen into the silicon wafer and subsequent thermal annealing (the so-called SIMOX structure: Separation by IMplanted OXygen). Fig. 27 (top) shows the oxygen depth profile obtained from Pill in an oxygen plasma at a target voltage of 50 kV. The individual contributions of the main fraction of molecular ions with an energy of 25 ke V /atom, and the small fraction of atomic ions with 50 keY energy can clearly be identified (see sect. 4.1 ). This represents an unwanted profile broadening for the purpose of SIMOX formation. Nevertheless, a well-established buried layer with sharp and smooth interfaces is obtained after annealing, as seen in Fig. 27 (bottom).

In SIMOX structures, the quality of the top crystalline silicon layer is reduced due to irradiation defects which remain even during the annealing at very high temperature. In order to overcome this problem, an alternative process has been proposed which is called "Smart Cut". A schematic representation of the process

Page 245: Advanced Technologies Based on Wave and Beam Generated Plasmas

c: 0 ;:: ~ 1021 c: Ql u c: 0 0

From o; _,) From o•

.)

0 1~9+-----------~~----------~-.

0 0.1 0.2

Depth (f.Lm)

233

Figure 27. SIMOX formation by Pili from an oxygen plasma: As-implanted oxygen profile (top) and cross-sectional TEM of the resulting structure

after annealing at 1270 "C for 2 h. The target voltage was 50 kV, the implanted dose 3·1017 0/cm2 (BOX= Buried Oxide.)

(After Ref. [69].)

sequence is shown in Fig.28. The silicon wafer is first oxidized thermally. It is then bombarded by light ions (hydrogen or helium), which cause very little damage. At a sufficiently high dose, gas-filled cavities form in a shallow band close to the mean projected range of the ions. The structure is then attached with its oxidized surface to another wafer using the conventional technique of anodic bonding. With a proper thermal treatment, the bonded two-wafer structure then cracks along the plane containing the bubbles. A final surface lapping finishes the process. It has been demonstrated [70] that buried oxide layers of a thickness of about 30 nm can be formed under a crystalline silicon layer of about the same thickness, when the "smart cut" is induced by Pili using 35 keY helium ions.

Page 246: Advanced Technologies Based on Wave and Beam Generated Plasmas

234

Oxidation

••••••••••••••

Bonding Cracking

Figure 28. The "Smart Cut" technique to form buried oxide layers.

5.2 TRIBOLOGY

Surface treatment with the aim to reduce friction and wear is indispensable for many machine components, tools, and also medical purposes such as for artificial joints. Beyond the broad applications in electronics, this is the main field of the application of ion implantation in industrial production The high potential of ion implantation in tribology has been recognized since long [71,72]. Nevertheless, cost problems have impeded a major and broad breakthrough, although ion implantation is routinely applied to the hardening of surgical prostheses [73].

Therefore, with its prospectives for cost reduction, PBII is a prom1smg new technique in particular in this field. However, as the maximum ion energy in PBII is limited with respect to conventional implantation (see sect. 2), its main advantage is the ability to deliver large doses for the formation of deep modified layers in connection with diffusion (see sect. 4.4).

Diffusive processes using nitrogen are broadly applied to the surface hardening of metallic components, in particular steels. A number of different techniques are conventionally applied in industrial production, such a salt bath nitriding, nitriding from the gas phase, and plasma nitriding. The latter exhibits characteristic advantages, being environmentally clean, and allowing an efficient low-temperature processing due to the activation in the plasma. For a large variety of different tool and machine components, a longstanding experience with plasma nitriding has been acquired in mostly small companies with successful applications mostly for conventional tool steels. In this area of standard materials, it will be difficult to

Page 247: Advanced Technologies Based on Wave and Beam Generated Plasmas

235

compete using Pili. The investment and production costs of Pill are higher compared to plasma nitriding, as the considerably lower working pressure requires a secondary vacuum pump, a costly high voltage modulator has to be provided, and a reduced packing density results from the sheath expansion (see sects. 1-3).

Physically, the main advantage of Pili is the availability of higher ion energies, with a number of potential beneficial consequences for practical application. As already stated in sect. 4.4, nitrogen ions can be implanted behind a diffusion barrier at the surface. Thereby, the efficiency of the nitriding process can be significantly improved with higher nitrogen incorporations achieved within shorter times. In materials for which only a certain maximum temperature is allowed, e.g. in order to avoid the formation of undesired phases, Pili nitriding might therefore be advantageous as the processing times could be held within tolerable limits even at large depths of nitriding.

The nitriding of austenitic stainless steels is of particular interest [74,75]. Their chemical inertness enables applications in widely different fields. However, they exhibit poor mechanical surface properties such as low hardness and a high wear rate. With conventional nitriding, specific problems have been encountered. In order to avoid a transformation of the chromium oxide into chromium nitride at the surface, by which the anticorrosive properties would be lost, the process temperature of the process is limited, thus requiring very long processing times. Although the detailed mechanisms have not yet been investigated, one might anticipate that surface nitride formation can be avoided by Pill due to the relatively large depth of implantation. In addition, the process would be accelerated due to the efficient incorporation of nitrogen behind the oxide barrier.

Recent results [76-78] confirm the high potential of Pili for the nitriding of stainless steel. Fig. 29 shows concentration depth profiles obtained after Pill nitriding, with a nitriding depth of about 40 J.tm. The processing time was about 5 hours. The nitrogen profile shows a diffusion-like fraction (see sect. 4.4) with the source just below the surface, which, however, appears to be supe1imposed to a profile of nearly rectangular shape extending to the full depth. The detailed diffusion mechanisms are still unknown. It is evident, however, that the implanted nitrogen becomes trapped with a maximum atomic concentration of about 20% to form a new phase which is called "S" or "expanded austenite" phase [79]. By X-ray diffraction, this phase has been identified to maintain the austenite stucture with a lattice expansion of about 7%. Within this phase, nitrogen diffusion appears to be faster than in the stainless steel bulk, so that nitrogen is continuously added to the interface between the S-phase and the underlying bulk, thus extending the thickness of the nitrided layer. No or merely a very small fraction of chromium nitride can be detected at sufficiently low temperature.The nitrided surface fulfills well the practical requirements. The surface hardness is increased by a factor of 2 ... 3. Fig.30

Page 248: Advanced Technologies Based on Wave and Beam Generated Plasmas

236

~ r-----------------------------~ -~ Cl ~ 25 .c C)

~ 20

...... c 15 0

~ 10 .. c 41 u c 5 0 0

0

0

T ,., 0,0

Pll, 40 kV, 380°C

9x1018Nicm2

6.3 12.5 18,8

N

25,0

X6CrNiMoTi17.12.2

Cr

Ni

31 ,3 37,5 43,8

Depth (J1m) 50,0

Figure 29. Elemental depth profiles obtained from GDOS (glow discharge optical emission spectroscopy), after Pill treatment of stainless steel in a nitrogen plasma. Note that the absolute concentration are subject

to large errors, in particular for the light elements.

X5CrNi18.10

Pill

1 hr . .. 370°C

~ untreated t t_ Pill treated j

Figure 30. Wear rate (abraded volume per travelling distance during a pin-on-disk wear test for a stainless steel (left) and a tool steel (right), before and after the nitrogen PIII process.

Page 249: Advanced Technologies Based on Wave and Beam Generated Plasmas

237

shows the wear rate of a similar stainless steel in comparison to a standard tool steel, after a rather short PIII treatment with otherwise similar parameters as for Fig. 29. Compared to the untreated surfaces, the wear rates are drastically reduced, by about three orders of magnitude in case of the stainless steel. Simultaneously, the corrosion behaviour is not deteriorated or even slightly improved.

It has been stated in sect. 2 that PBII processes can readily be combined with other PVD processes. This may be important for the industrial practice as additional steps such as cleaning or a final deposition of a thin protective coating might be performed in connection with PBII, under similar conditions and in the same process chamber. A fine example of this kind has been given in refs. [80,811. Fig. 31 gives a schematic of the process sequence.

Pill Pm Sputter Cleaning Carbon Implantation

Pill Sputter Cleaning

PECVO OI..C Deposition

C2H2

Figure 31. Combined processing using Pili (from top left to bottom right). PHI sputter cleaning and implantation is combined with

plasma-enhanced chemica! vapour deposition of a hard carbon film. (See ref. [80] .)

The final aim is the deposition of an anti-wear coating consisting of a hard carbon film with very good adhesion. To accomplish this, the surface is sputter-cleaned by energetic Ar+ bombardment using a 2 kV Pili treatment. Then, a supporting layer is formed by Pill of carbon from a methane plasma at 20 kV.With methane compared to higher hydrocarbons, the carbon atom receives the highest possible kinetic energy at a given target voltage, so that the implantation depth is optimized. The implantation step leaves some graphitic soot on the surface, as carbon is also deposited between the Pili pulses. This soot is again polished away by Ar+ sputtering. Finally, the hard carbon film is deposited using acetylene (yielding better

Page 250: Advanced Technologies Based on Wave and Beam Generated Plasmas

238

film properties compared to methane). The final thicknesses of the films were up to 7 f.Am, with excellent adhesion on most of the materials investigated. This combined process has been proposed for the deposition of an anti-wear layer for automobile pistons. Fig. 32 shows an array of pistons mounted in a very large process chamber (about 1.5 m diameter and 2.5 m length).

Figure 32. Array of automobile pistons mounted in a large Pill processing chamber, for the combined process depicted in Fig. 31.

(After ref. [81].)

6. Conclusions

It has been demonstrated in the present lecture that PBII represents a new class of surface processing techniques which allow new routes of the physical and chemical treatment of surfaces. PBII is very versatile, and can be easily combined with other CVD or PV D processes. For the physical understanding and the optimization of the PBII processes, established knowledge of ion-surface interaction can now be combined with recent results describing the plasma boundary both analytically and using computer simulation. Promising areas of indust!"ial application have been identified both for electronics and for mechanical wear reduction. The development of laboratory PBIT devices is already at a rather advanced stage. Nevertheless, the

Page 251: Advanced Technologies Based on Wave and Beam Generated Plasmas

239

upscaling to profitable industrial processes has still to be demonstrated. The very near future will show ifPBII will make its breakthrough to become a key or cross-sectional technology in individual or different areas of modern industrial production using new materials or material properties.

7. References

1. Williams, J.S. and Poate, J.M. (eds.) (1984) Ion Implantation and Ion Beam Processing, Academic Press, New York.

2. Rimini, E. (1995) Ion Implantation: Basics to Device Fabrication, Kluwer Academic Publishers, Boston.

3. Nastasi, M., Hirvonen, J.K. and Mayer, J.W. (1996) Ion Beam Processing: Fundamentals and Applications, Cambridge University Press, Cambridge.

4. Nolti, F.V. (ed.) (1983) Phase Transformation During Irradiation, Applied Science Publishers, London.

5. Smidt, F.A. (1990), Use oflon beam assisted deposition to modify the microstructure and properties of thin films, Intern. Mater. Rev. 35,61- 128.

6. Wolf, G.K. and Ensinger, W. (1991), Ion bombardment during thin film deposition and its influence on mechanical and chemical surface properties, Nucl.lnstntm.Meth. B 59/60, 173- 181.

7. Conrad, J.R. ( 1987), Sheath thickness and potential profiles of ion-matrix sheaths for cylindrical and spherical electrodes, J. Appl. Phys. 62, 777-779.

8. Tendys, J., Donnelly, I.J., Kenny, M.J. and Pollock, J.T.A. (1988), Plasma immersion ion implantation using plasma generated by radio frequency techniques, Appl. Phys. Lett. 53, 2143- 2145.

9. Malik, S.M., Sridharan, K., Fetherston, R.P., Chen, A., and Conrad, J.R (1994), Overview of plasma source ion implantation research at University of Wisconsin­Madison, J. Vac. Sci. Techno/. B 12, 843-849.

10. Johns, S.M., Bell, T., Samandi, M., and Collins, G.A (1996) Wear resistance of plasma immersion ion implanted Ti6A14V, in: Collins, G.A., and Short, K.T. (eds.), Surface and Coating Technology 85 No. 1-2, 2"d International Workshop on Plasma-Based Ion implantation, Sydney, NSW, Australia, 12-15 Feb. 1995, p. 7-14 .

11. Brenscheidt, F., Mandl, S., Giinzel, R., Wieser, E., Moller, W., Fischer, W., and Herrmann, M. ( 1997), Tribological properties of silicon nitride ceramics modified by titanium and subsequent oxygen implantation, in: Moller, W., Giinzel, R. and Wieser, E. (eds.), Surface and Coatings Technology 93 No. 1-3, 5'h International conference on Plasma Surface Engineering. Garmisch-Partenkirchen, Germany, 9- 13 Sept. 1996, p. 675-679.

Page 252: Advanced Technologies Based on Wave and Beam Generated Plasmas

240

12. Anders, A. (1997), Metal plasma immersion ion implantation and deposition: a review, in: Moller, W. eta!., Surface and Coatings Technology 93, 3rd International Workshop on Plasma-Based Ion Implantation, Dresden, Germany, 15-18 Sept. 1996, p. 15 8- 167.

13. Bruckner, J., Gtinzel, R., Richter, E., and Moller, W. ( 1998), Metal plasma immersion ion implantation and deposition (MPIIID): chromium on magnesium, in: Surface and Coating Technology 103-104, lOth International Conference on surface Modification of Metals by Ion Beams, Gatlinburg, TN, USA, 21-26 Sept. 1997, p. 227-230.

14. Collins, G.A., Short, K.T. and Tendys, J. (1997), Characterization of high voltage pu1ser performance in radiofrequency plasmas, in: : Moller, W. eta!., Surface and Coating Technology 93, 3rd International Workshop on Plasma- Based Ion Implantation, p. 181-187.

15. Gtinzel, R. (1998), An integrated high voltage modulator for plasma immersion ion implantation, 4th intern. Workshop on Plasma Based Jon Implantation. Dearborn, Michigan (to be published inJ. Vac. Sci. Techno!. B).

16. Ensinger, W., Klein, J., Usedom, P. and Rauschenbach, B. (1997), Characteristic features of an apparatus for plasma immersion ion implantation and physical vapour deposition, Surface and Coatings Technology 93, 175-180.

17. Le Creur, F., Arnal, Y., Lesaint, 0 ., Pelletier, J., Maulat, 0. and Roche, M. (1998), A new line of high voltage-high current pulse generators for PBII, 4th Intern. Workshop on Plasma Based Jon implantation, Dearborn, Michigan (to be published in J. Vac. Sci. Techno!. B).

18. Chen, F.F. (1974), Introduction to Plasma Physics, Plenum Press, New York. 19. Riemann, K.-U. (1991), The Bohm criterion and sheath formation, J. Phys. D 24,493-

518. 20. Lieberman, M.A. (1989), Model of plasma immersion ion implantation, J. Appl. Phys.

66, 2926-2929. 21. Conrad, J.R., Radtke, J.L., Dodd, R.A., Worzala, F.J. and Tran, R.C. (1987), Plasma

source ion-implantation technique for the surface modification of materials, J. Appl. Phys. 62,4591-4596.

22. Sander, K.F. ( 1969), Theory of a thick dynamic positive- ion sheath, J. Plasm. Phys. 3, 353-370.

23. Stewart, R.A. and Lieberman, M.A. (1991), Model of plasma immersion ion implantation for voltage pulses with fmite rise and fall times, J. Appl. Phys. 70, 3481-3487.

24. Mandl, S. ( 1997), Die Randschichtausbreitung bei der Plasma-Immersions­Ionenimplanatation, PhD Thesis. Technical University of Dresden.

25. Mandl, S., Gtinzel, R., Richter, E. and Moller, W. (1998), Sheath and Presheath Dynamics in Plasma lrnrnersion Ion Implantation, J. Phys. D 31, 1109- 1117.

26. Scheuer, J.T., Shamim, M. and Conrad, J.R. (1990), Model of plasma source ion implantation in planar, cylindrical, and spherical geometries, J. Appl. Phys. 67, 1241 -1245.

Page 253: Advanced Technologies Based on Wave and Beam Generated Plasmas

241

27. Widner, M., Alexeff, 1., Jones, W.D. and Lonngren, K.E. (1970), Jon Acoustic Wave Exciation and Ion Sheath Evolution Phys. Fluids 13, 2532-2540.

28. Goeckner, M.J., Malik, S.M., Conrad, J.R. and Breun, R.A. (1994), Laser-induced fluorescene measurement of dynamics of a pulsed planar sheath, Phys. Plasmas 1, I 064-1074.

29. Mandl, S., Barradas, N.P., Brutscher, J., Gtinzel, R. and Moller, W. (1997), Comparison of measured and calculated dose for plasma source ion implantation, Nucl. Instrum. Meth. B 127/128,996-999.

30. Mandl, S., Reuther, H., Brutscher, J., Gtinzel, R. and Moller, W. (1997), Measured and calculated dose distribution for 2D plasma immersion ion implantation, Surface and Coatings Technology 93, p. 229-233.

31. Sheridan, T.E. and Alport, M.J. ( 1994 ), Two--dimensional model of ion dynamics during plasma source ion implantation, App/. Phys. Lett. 64, 1783-1785.

32. Sheridan, T.E. ( 1995), Pulsed sheath ion dynamics in a trench, J. Phys. D 28, 1094-1098. 33. Paulus, M., Rauschenbach, B., Stals, L. and Rude, U. (1998), Simulation of plasma­

immersed ion implantation of trenches, Intern . Conf on Ion Implantation Technology, Kyoto (to be published in IEEE Trans.).

34. Birdsall, C.K. and Langdon, A.B., Plasma Physics via Computer Simulation, Institute of Physics Publishing, Bristol.

35 . Zeng, X.C., Kwok, T.K., Liu, A.G., Chu, P.K., Tang, B.Y. and Sheridan, T.E. (1997), Effects of the auxiliary cathode radius during plasma immersion ion implantation of a small cylindrical bore, Appl. Phys. Lett. 71, 1035-1037.

36. Lieberman, M.A. and Lichtenberg, A.J. (1994) Principles of Plasma Discharges and Materials Processing, John Wiley and Sons, New York.

37. Davis, W.D. and Vanderslice, T.A. (1963), Ion Energies at the Cathode of a Glow Discharge, Phys. Rev. 131,219- 228.

38. Sheridan, T.E. and Goeckner, M.J. ( 1995), Collisional sheath dynamics, J. App/. Phys. 77,4967-4972.

39. Jones, E.C. , Linder, B.P. and Cheung, N.W. (1996), Plasma immersion ion implantation for electronic materials, Jpn. J. Appl. Phys. 35, 1027- 1036.

40. Sigmund, P. ( 1972), Collision theory of displacement damage, ion ranges, and sputtering, Rev. Roum. Phys. 17, 823-870.

41. Biersack, J. P. and Ziegler, J. F. ( 1982), Refmed Universal Potentials in Atomic collisions, Nucl. lnstr. Meth. 194, 93-100.

42. Lindhard, J., Nielsen, V. and Scharff, M. (1968), Approximation method in classical scattering by screened Coulomb fields, K. Dan. Vidensk. Selsk. Mat. Fys. Medd. 16, 10-32.

43 . Ziegler, J. F., Biersack, J.P. and Littmark, U. (1985) Stopping Power and Ranges of Ions in Matter, in Ziegler, J.F. (ed.) The Stopping and Range of Ions in Solids, Vol. 1, Pergamon Press, New York.

44. Matsunami, N. , Yamamura, Y., Hikawa, Y., Itoh, N., Kazumata, Y., Miyagawa, S.,

Page 254: Advanced Technologies Based on Wave and Beam Generated Plasmas

242

Morita, K., Shimizu, R. and Tawara, H. (1983) Report /PPJ-AM-32, Institute of Plasma Physics, Nagoya University.

45. Fano, U. (1963), Penetration of protons, alpha particles, and mesons, Ann. Rev. Nucl. Sci. 13, l-66.

46. Lindhard, J. and Scharff, M. (1961 ), Energy Dissipation Ions in the keY Region, Phys. Rev. 124, 128- 130.

4 7. Andersen, H.H. and Ziegler, J.F. ( 1977) Hydrogen, Stopping Power and Ranges in All Elements, in Ziegler, J.F. (ed.) The Stopping and Range of Ions in Solids , VoL 3, Pergamon Press, New York.

48. Ziegler, J.F. (1977) Helium, Stopping Power and Ranges in All Elements, in Ziegler, J.F. (ed.) The Stopping and Range of Ions in Solids, VoL 4, Pergamon Press, New York

49. Ziegler, J.F. (1980) Stopping Cross Sections for Energetic Ions in All Elements, in Ziegler, J.F. (ed.) The Stopping and Range of Ions in Solids , VoL 5, Pergamon Press, New York

50. Littrnark, U. and Ziegler, J.F. (1980) Range Distributions for Energetic Ions in All Elements, in Ziegler, J.F. (ed.) The Stopping and Range of Ions in Solids, VoL 6, Pergamon Press, New York

51. Lindhard, J., Scharff, M. and Schiett, H. ( 1963 ), Range concepts and heavy ion ranges (notes on atomic collisions, II), K Dan. Vidensk Selsk Mat. Fys. Medd. 33, 14-42.

52. Schi0tt, H.E. (1966), Range-energy relations for low-energy ions, K Dan. Vidensk. Selsk Mat. Fys. Medd. 25, 9- 20.

53 . Eckstein, W. (1991) Computer Simulation of Ion-Solid Interactions, Springer-Verlag, Berlin.

54. Biersack, J P. and Haggmark, L.G. (1980), A Monte Carlo Computer Program for the Transport of Energetic Ions in Amorphous Targets, Nucl. Instr. Meth. 174, 257-269.

55 . The TRIM program is being continuously updated by J.F. Ziegler, with annual new versions denoted by, e.g ., 'TRIM-96' .

56. Merkle, K.L. (1976) in Petersen, N .L. and Harkness, S.D. ( eds.), Radiation Damage in Metals, American. Society for Metals, Metals Park, Ohio.

57. Robinson, M. T. and Oen, 0. S. (1982), On the use of thresholds in damage energy calculations, J Nucl. Mater. 110, 147- 149.

58 . Sigmund, P. (1981) in Behrisch, R. (ed.), Sputtering by Particle Bombardment I, Springer-Verlag, Berlin.

59. Sigmund, P. (1969), Theory of Sputtering. L Sputtering Yield of Amorphous and Polycristalline Targets, Phys. Rev. 184, 383-416.

60. Bohdansky, l ( 1984), A Universal Relation for the Sputtering Yield of Monoatomic Solids at Normal Ion Incidence, Nucl. Jnstr. Meth. B2, 587- 591.

61. Moller, W., Eckstein, W. and Biersack, J P. ( 1988), Tridyn - Binary collision simulation of atomic collisions and dynamic composition changes in solids, Camp. Phys. Comm. 51, 355-368.

62. Moller, W. (1989) in Kelly, R. and Fernanda da Silva, M. (eds.), Materials Modification by High-Fluence Ion Beams, NATO ASI Series E, 155, Kluwer Academic Publishers, Dordrecht

Page 255: Advanced Technologies Based on Wave and Beam Generated Plasmas

243

63. Crank, J. ( 1985) The Mathematics of Diffusion, Clarendon Press, Oxford. 64. Moiler, W., Fukarek, W., Griguii, S., Kruse, 0. and Parascandola, S. (1998), Dynamic in

situ diagnostics using high- energy ion beam analysis, Nucl.Jnstrum.Meth. B 136-138, 1203- 1211

65. McNabb, A. and Foster, P.K. (1963), A New Analysis of the Diffusion of Hydrogen in Iron and Ferritic Steels, Trans. Metal!. Soc. AIM£ 227, 618-627.

66. Myers, S.M. and Rack, H.J. (1978), Ion- beam investigations of Sb diffusion solubility in Fe">, J. Appl. Phys. 49, 3246--3254.

67. Felch, S.B., Bersuker, G., Larsen, L.A., Lee, B.S. and Shi, J. (1998), Characterization of Shaiiow Junction Transistors Manufactured with Plasma Doping, Intern. Conf on !on Implantation Technology, Kyoto (to be published in IEEE Trans.).

68. Yu, C. and Cheung, N.W. (1994), Trench Doping Conformality by Plasma Immersion Ion Implantation (Pill), IEEE Elec. Dev. Lett. 15, 196-198.

69. Chu, P .K. (1997), Synthesis of SOT Materials Using Plasma Immersion Ion Implantation, in: Alexander, D.E., Cheung, N.W., Park, B. and Skorupa, W. (eds.), Materials Modification and Synthesis by !on Beam Processing, MRS Symposium Proceedings Vol. 438, Materials Research Society, Pittsburgh.

70. Lu, X., Iyer, S.S.K., Min, J., Fan, Z. , Liu, J.B., Chu, P.K., Hu, C. and Cheung, N.W. ( 1996), SOl material technology using plasma immersion ion implantation, Proc. IEEE Intern. SOl Confer., p.48-49.

71. Hirvonen, J.K. (1989), Ion Beam Processing for Industrial Applications, Mater. Sci. Engn. A 116, 167- 175.

72. Mikkelsen, N.J. and Straede, C.A. ( 1992), Enhanced wear resistance of production tools and steel samples by implantation of nitrogen and carbon ions, Surface and Coatings Technology 51, 152- 156.

73. Sioshansi, P. and Tobin, E.J. (1996), Surface treatment of biomaterials by ion beam processes, Surface and Coatings Technology 83, 175-182.

74. Zhang, Z.L. and Bell, T. ( 1985), Structure and corrosion resistance of plasma nitrided stainless steel, Surf Engn. 1, 131-136.

75. Menthe, E., Rie, K.-T., Schultze, J.W. and Simson, S. (1995), Structure and properties of plasma-nitrided stainless steel, Surface and Coatings Technology 74175,412-416.

76. Collins, G.A., Hutchings, R., Short, K.T., Tendys, J. , Li, X. and Samandi, M. (1995), Nitriding of austenitic stainless steel by plasma immersion ion implantation, Surface and Coatings Technology 74175, 417-424.

77. Blawert, C., Knoop, F.M., Weisheit, A. and Mordike, B.L. (1996), Plasma Immersion ion implantation of stainless steel: austenitic stainless steel in comparison to austenitic--.ferritic stainless steel, Surface and Coatings Technology 85, 15- 27.

78. Mandl, S., Giinzel, R., Richter, E. and Moller, W. ( 1998), Nitriding of austenitic stainless steels using plasma immersion ion implantation, Surface and Coating Technology 100/101, 372-376.

Page 256: Advanced Technologies Based on Wave and Beam Generated Plasmas

244

79. Samandi, M., Shedden, B.A., Smith, DJ., Collins, G.A., Hutchings, R., and Tendys, J. (1993), Microstructure, corrosion and tribological behaviour of plasma immersion ion­implanted austenitic stainless steel, Surface and Coating Technology 59, 261-266.

80. Walter, K.C., Nastasi, M. and Munson, C. (1997), Adherent diamond-like carbon coatings on metals via plasma source ion implantation, Suiface and Coatings Technology 93, 287-291.

81. Mantese, J., Brown, LG., Cheung, N.W. and Collins, G.A. (1996), Plasma Immersion Ion Implantation, MRS Bulletin 21 No.8, 51-56.

Page 257: Advanced Technologies Based on Wave and Beam Generated Plasmas

WAVEGUIDE STATIONARY AND NONSTATIONARY DISCHARGES: MODELLING AND EXPERIMENTS

D. GROZEV, K. KIROV, I. KOLEV A, K. MAKASHEVA AND A. SHIVAROVA Faculty of Physics, Sofia University BG -1164 Sofia, Bulgaria

1. Introduction

Discharges produced in electromagnetic (EM) wave fields are the last ones entered the rich collection of the gas discharges. With their status of the most recent type of discharges and with the extended research on them, the wave sustained discharges support the development of modern trends in the field of the gas discharge physics. Based on the mechanism of the ionization nonlinearity, the gas discharges are nonlinear dissipative systems composed by two interrelated components: electric fields and plasmas. Creation of discharges and their evolution are processes of dynamics [ 1) of nonequilibrium dissipative systems.

There are two kinds of wave sustained discharges with an unmagnetized plasma production: discharges produced in the field of wave beams [2- 4) and discharges (5-8) sustained in the field of propagating surface waves (SWs) (9 - 11].

In the first case, nonstationary discharges are produced by transverse bulk waves. The discharge starts with a breakdown in the focus region of high-power microwave­beam shots and exists as a nonstationary free localized structure which extends forming discharge channels against the incident wave beams.

In the second case, the discharge is sustained by an EM surface wave, a proper mode of a plasma-dielectric waveguide structure. The wave and the discharge exist simultaneously: the wave creates the discharge and the produced plasma ensures the wave propagation. According to the name of the mode producing the discharge, they are called surface wave sustained discharges (SWSDs). Since a propagating waveguided mode maintains the discharge, these discharges are called also travelling wave produced discharges and waveguide discharges. The SWSDs exist both in stationary and nonstationary regimes.

The wave-field produced discharges belong to the category of the rf and microwave discharges. However, whereas the description of a discharge production in HF fields is very often limited to the frameworks of the gas discharge physics, the description of

245

H. Schluter and A. Shivarova (eds.). Advanced Technologies Based on Wave and Beam Generated Pklsmas, 245-270. © 1999 Kluwer Academic Publishers.

Page 258: Advanced Technologies Based on Wave and Beam Generated Plasmas

246

discharges produced in HF wave fields requires large extension of the electrodynamical part of the problem. The models of the wave-field discharges combine basic relations of the gas discharge physics with basic electrodynamical laws.

This is a review on SWSDs. Presentation of the current status of their modelling and of the experiments with them is aimed.

Stationary regimes of SWSDs has been mainly studied up to now. The stationarity is both with respect to the applied HF signal and the gas discharge conditions. The wave excitation is in a continuous wave (cw) regime. A HF signal of a constant frequency f = (!)/21r and a given power Po is applied. A gas discharge tube with radius

R is filled with a neutral gas at a given pressure p. Nonstationary regimes of SWSDs are only touched in the literature. These studies

start [12) with a discharge creation by pulses carried by SWs. Since the nonstationary regimes give the dynamics of the discharge production and the nonlinear evolution of the field <=:> plasma system, the importance of their investigation is obvious.

In the SWSDs, the wave and the discharge are unified in a unique nonlinear structure. Its description should aim comprehention of the mechanisms which govern the self-consistent wave <=:> discharge behaviour. Therefore the discharge models should give the relations between the wave characteristics (frequency (Jl. wavenumber ~ and

space damping rate a., electric field intensity 1£1 2 ) and the plasma parameters (density n and electron temperature Te , or electron energy distribution function F(8), in general).

Besides, since the discharge production - as a process of strong nonlineariy - has a threshold, the threshold field intensity should also be present in the models.

The flexibility of the SWSDs makes them very attractive for involvement in gas discharge applications. SWSDs can be produced in different gases, in wide ranges of vanat10n of the frequency ([= 500kHz+ 10 GHz) and the gas pressure (p = w-s Torr+ 1 Atm) and in vessels with di!Terent size (e.g., radius of the gas discharge tube of R = 0.5 mm + 15 em) Plasmas with different values of the density (n = (108 + 5x 1015) em--') could be produced by applying HF power which is not too high ( P ~ 200 W, or up to 700 W at p = 1 Atrn) .

Although having the numerious possibilities for applications as a main motivation for the extended research on SWSDs. the interest in their study has also fundamental aspects. Their modelling combines gas discharge physics with physics of the waves and requires involvement of electrodynamical concepts in the gas discharge description. Investigation of SWSDs is a research on a contemporary level. This is a study on phenomena of strong ionization nonlinearity. Moreover. the problem is two­dimentional both with respect to the plasma nonuniformity (inhomogeneity both in transverse and longitudinal directions) and wave behaviour (a wave which has a field distribution in a direction transverse to its propagation).

Both stationary and nonstationary regimes of SWSDs are discussed here. The presentation starts with general comments on the pattern of the discharge production. The theoretical part is based on the fluid model theory of stationary discharges (13 - 25] developed recently in collaboration with Prof. Yu. M. Aliev and Prof. H. Schluter. The comments on the experiments on stationary SWSDs emphasize

Page 259: Advanced Technologies Based on Wave and Beam Generated Plasmas

247

on the diagnostic methods used and their reliability. Experimental results on stationary [26 - 29] and nonstationary [30 - 34) SWSDs obtained in the Laboratory of Plasma Physics and Gas Discharges (Fac. Phys., Sofia Uni.) are presented. The experimental studies in nonstationary regimes include pulsed regime operation of the discharge and discharge evolution under conditions of fluctuations of the applied power and changes of the gas pressure.

2. Stationary Regime of SW Discharge Maintenance

2.1. QUALITATIVE DESCRIPTION

Figure I is a schematical picture of a SWSD. A HF constant power at a given frequency w is applied to the SW launcher. Plasma with a given density n is created inside the launcher and this density value determines the value of the wavenumber k = ~ + ia

which the wave starts its propagation with. The discharges considered here are produced in the field of an azimuthally-symmetric SW: a TM mode with field components E r. B~ , E z * 0 and field variation of the type oc exp Hkz - w t )]; r, <p and z are the cylindrical frame co-ordinates. Such a wave is a proper mode of an open plasma waveguide: plasma column of radius R in a glass tube surrounded by the free space.

S W launcher l I I

E,

Figure 1. Schematical presentation of a SWSD.

The energy flux Sz of the SWs is mainly in the free space. Part of the wave energy goes for creating the discharge. Therefore the wave energy flux decreases along the discharge and this causes a decrease of the density n of the produced plasma. The density n' at a given distance from the launcher is lower and this - new - value of the density determines a new value k' of the wavenumber with which the wave continues its propagation. Plasma parameters (density n, electron temperature 7~) and wave

characteristics (k, Sz , IE(z~2 ) change along the column and their variations arc self­

consistently related to each other. Just this axial wave ~ discharge stmcture, composed by interrelated plasma- and wave- characteristics, is the final result aimed in the modelling of the SWSDs.

The waves are called surface waves because their field amplitudes having maximum at the plasma-dielectric interface, decay both in the plasma and the dielectric away from the boundary (Figure 2(a)). In the model of radially homogeneous plasmas (with respect to SWSDs this is related to a recombination controlled regime and to a diffusion

Page 260: Advanced Technologies Based on Wave and Beam Generated Plasmas

248

controlled regime in cases when effects of radial inhomogeneity like resonance absorption could be neglected), the E, -field component is the larger one inside the

plasma (and the electron heating through collisions in the volume goes predominantly through it) whereas in the free space, the E, -field component is the larger one (and the

radiophysics methods for the discharge diagnostics are based on measuring just its spatial distribution). Figure 2(b) is a schematical presentation of a SW phase diagram

(w = const. and the plasma frequency w P = (4ne2n/mJ!2 varies with the variation of n;

e and m are the electron charge and mass, respectively). The wave, starting at high

plasma densities (respectively. small w/-;;; P - values) with the vacuum wavenumber

kv = r4c (where c is the vacuum light speed) propagates up to its total absorption

which is at plasma densities close to the SW resonance Ctl ~(r) = ~ w (where cd is

the permittivity of the surrounding dielectric); respectively, w ~(r) = J2 (1) in the case of

a plasma-vacuum interface (cd = I).

Plasma ~ Vacuum

I' ,I

I' F., , I

1\ I \ \

\

',

r

1!~'2 -------=.,.-=...-:=...-:::--

/ Ul!Vc kR

(b)

n

I I I

(c)

Figure 2. Schematical presentation of (a) transverse distribution of the SW-field amplitudes in radially homogeneous plasma column; (b) SW phase diagram and, (c) axial density profile in SWSDs.

In SWSDs, the density decreases along the column length (Figure 2(c)). On the phase diagram (Figure 2(b)). this corresponds to a movement from its bottom to the wave resonance. Extension of the column is ensured by increasing the applied power Po.

The self-consistency of the axial wave-discharge structure goes through the

nonlinearity (i.e .. through the no 1£12 - coupling) and through the wave dispersion

properties (i.e .. through the k o n - coupling). The SWSDs arc sources of dense plasmas. This is illustrated in Figure 3(a) where

possibilities for plasma production by SWs and bulk EM waves - at the same frequency - arc compared. When a discharge is produced by bulk EM waves, the increase of the power (above the threshold value ensuring the breakdown) leads to an increase of the density. However this n-increase is up to the critical density

na = (mw 2 / 4ne2 ) which puts the upper limit of n. Further increase is not possible

because of the skinning of the bulk transverse waves. However, the waveguide plasma-

Page 261: Advanced Technologies Based on Wave and Beam Generated Plasmas

"" (I)

(l)p

bulk EN! waves

1

Jt+~;d SWs

0 -oo "" (a)

T

i ncr2

i

(b)

1 1:.~/ for breakdown : in the /aunche). n;;,., n

i fieri

i (J)I

249

Figure 3. Illustration for plasma production by SWs: (a) compared to the bulk EM waves, SWs produce dense plasmas; (b) threshold for breakdown in the launcher and n <:::> lfl2- relation along the column.

dielectric structure makes the frequency range below w P (w < w P ), i.e., the density

range above ncr (n >ncr), also transparent for EM wave propagation and this is the range of the EM SWs. There is again a critical density for SW propagation

kr ==ncr (1 + sd )) but in this case it is the lowest density at which SWs could be sustained. Therefore, when SWSDs are produced, the applied power should be increased to a threshold value Eth which ensures plasma maintenance in the launcher (Figure 3(b))

at a density equal to n;r . Further increase of the power leading to a density increase in

the launcher causes an extension of the column length. The SW critical density n;r now appears (Figure 2(c)) at the discharge end. The SWs propagate in overdense plasmas and there is no a limit for the density of the produced plasmas (Figure 3(a)). The curve in Figure 3(b) which presents the self-consistent plasma-density<=> field-intensity variation along the discharge length in SWSDs (see, Subsection 2.2.4), gives also the threshold

field intensity Eth for discharge maintenance in the launcher. E~ch denoted there is the

field intensity related to the Schottky condition: 2.4/R == (v;/DA)112 = L01 , where v; is the frequency of direct ionization, DA is the ambipolar diffusion coefficient and LD denotes the diffusion length.

2.2. FLUID-MODEL THEORY OF SW SUST AlNED DISCHARGES

The models of the waveguide discharge include electrodynamical and gas discharge parts. Description in the frameworks of the fluid plasma model involves the particle balance equation and the electron energy balance equation as a gas-discharge part of the problem.

The electrons are heated in the wave field. The electron temperature

expressed in terms of the maintenance field intensity comes out from the balance

Page 262: Advanced Technologies Based on Wave and Beam Generated Plasmas

250

between the power gained by the electrons from the lield and the losses in the discharge. The latter could be through thennal conductivity ( chamcterized by Lx , the length of

thennal conductivity) and collisions: elastic (with frequency 8v of energy transfer where v is ti1e clastic collision frequency of momentum transfer and 8 = 2m!M, with }vf being the mass of the neutrals, is the portion of energy transferred at a single collision) and inelastic (for excitation and ionization with frequencies, respectively, v. and v, ).

TI1e mechanism of losses determines the type of the regime of electron heating: nonlocal

and local . The relation 7~ ~EJ2 ) is ti1e final result of the thennal nonlinearity.

After having 'l~ ~EJ2 ). it could be proceeded to the charged particle balance equation

which gives the balance between charged particle gain through ionization and charged particle losses tluough diffusion and recombination. 1l1e dependence of the ionization

frequency vi(rJ on 1~ results in its dependence vi'r.:J2 ) on the maintenance lield

intensity. The predominant mechanism of particle losses - diffusion or recombination -detennines the discharge regime: nonlocal or local, respectively. The relation of the

plasma density n~t'J 2 ) to the maintenance field intensity is ti1e final result for the

ionit.ation nonlinearity.

2.2.1 . Initial S'et of Equations The initial set consists of equations describing the wave propagation and equations describing the plasma of the discharge.

The elcctrodynarnical part of the problem is treated on the basis of the wave energy balance equation:

isjdz = -Q (I)

in which, the energy flux S(z)=(c/8n)Re fJ-:Ar.z)B;(r , z)dS1 and tile Joule losses s l

Q(z) = (1/2) f 0 r JE(r . z t dS1 are defined after integrdtion over ti1e cross section S1 of sl

the waveguide; 0 r = vw~ ~~)/ 4n(cu 2 + v2 ) is tlle real part of tllc plasma conductivity. The

second equation which enters the electrodynamical part of the problem is the SW dispersion law:

D(ul, k. c)= 0 (2)

where s = 1- [w~ (;:;)/w(w + iv )] is the plasma pennittivity.

The particle balance equation ( ) 2 2

!1DAn +v in+rs,n - prn =0 (3)

and the electron energy balance equation

- (5/2)v · (vcnviJ+ (3/2)nv.c:. = (1/2)0 rJE(r, z t , (4) as mentioned before, constitute tite gas discharge part of the problem. Charged particle gain through direct ionization (witi1 frequency vi) and step ionization (with rate

Page 263: Advanced Technologies Based on Wave and Beam Generated Plasmas

251

coefficient psi) and losses through ambipolar diffusion and recombination (with recombination coefficient p,) are taken into account. The electron energy balance

equation includes the Joule heating term Q = (1/2 p, IE(r, z t and energy losses through

thermal conductivity (with coefficient x = (5/2}nD. where D. is the electron diffusion coefficient) and collisions. Losses at inelastic collisions for excitation with frequency v. are taken as being the predominant one; u. is the excitation energy of the first atom excited state.

n, r. , 1£12 and k'are the four unknown quantities. n, r. , 1£12 enter the gas-discharge

part and k, 1£12 , n enter the electrodynamical part of the problem. Plasma density and field intensity enter both electrodynamical and gas-discharge parts and this ensures the self-consistency in the description of the discharge. Moreover, the same quantity - Q -enters the gas discharge part as a Joule heating and the electrodynamical part as Joule losses of the wave power. The pammeter e, the losses per electron in average, widely used (8, 35- 37] in the models of SWSDs, coming out from the Joule heating term Q = n e' is a quantity directly related to the maintenance field intensity

( e(z) oc LIE(r, z t dS _L J .

2.2.2. SW Dispersion Properties

Classification on the Phase Diagrams. At w = cons/. , the dispersion properties of the SWs are described by the phase diagrams; a= wR/ c = kvR is their parameter. The experiments are usually carried out at a < 0.3 (Figure 4(a)). In the range of the high­density plasma., i.e. close to the launcher (Figure I) when very high P0 is applied, the

slow waves in thick cylinder cr = 0.1

slo w waves in thin cylinder

0.1 r, r (a)

F1gure 4. Schcmatical presentation of SW dispersion behaviour on the phase diagram in (a) and of the mechanism of wave damping through resonance absorption in (b).

wave is fast (its phase velocity v ph ~c) and the wave field in the plasma region is

strongly bound to the boundary. i.e.. K PR » I. thick cylinder approach

Page 264: Advanced Technologies Based on Wave and Beam Generated Plasmas

252

( K P = ~2 - ~,) 2r./ c2 )t describes the field decay into the plasma). With the density

decrease, the field penetration into the plasma becomes deeper ( K PR << 1, thin cylinder

approach). In the beginning of this region the waves are still fast (v ph "" c). However,

slow waves (v ph <<c) with quasi-static behaviour cover the larger part of the region of

a thin cylinder. Slow waves in thick cylinder approach (~< PR » 1) which are strongly

damped cover the top of the SW phase diagram. Appearance of such a region in SWSDs is violated by effects of transverse density inhomogeneity commented in the next Subsection. In fact, at reasonable values of Po, the discharge is maintained by slow SWs with a weak variation of their field into the plasma (Figure 2(a)).

SW Damping. The damping of the SWs is very important when SWSDs arc described because the mechanisms of the wave damping detennine the mechanisms of electron heating.

Wave damping through collisions means, related to the discharge maintenance, Joule heating in the plasma volume. It could be local or nonlocal. However it always goes predominantly though the Ez -field component (Figure 2((a)).

l11e resonance absorption of SWs in radially inhomogeneous plasmas is another

mechanism of damping. In general, SWs propagates at n >ncr, where n is the averaged

- over the column cross section - density. However, there may be a point r, on the

density profile where (up(rs) = w holds, and the SW transforms into local plasmons, i.e., longitudinal bulk waves. The latter could dissipate into the plasma either through

collisions or through Landau damping. The scale length L~) = jVnjnJ 1 of the density

inhomogeneity at r = r_, is essentially involved in the description of the resonance

absorption. The linear mode transformation of the SWs into bulk waves causes a resonance enhancement of the field (the Er -field, mainly) at r = r, . As a result, the

electrons arc heated in the region of resonance absorption and, through thermal conductivity. everywhere. The mechanism of heating is nonlocal.

2.2.3 . Regimes of Healing and Discharge Production The scaling of the terms in the electron-energy and particle-balance equations ((4) and (3)) determines, respectively, the regimes of electron heating and discharge production.

Let us devide Eq. (4) to the coefficient ofthennal conductivity X· Then the first tenn and t11e Joule heating term scale. respectively. the variations of the temperature and of

the field intensity. The corresponding characteristics lengths are Lr = ~V1~ jjr.)- 1 and

LE == IVIEf /1£2 r 1 The second tenn introduces the characteristics length

Lx =(xjnv0 )112 of thermal conductivity Ux =1. 1 P/~ and Lx ="A1 P/~vlv., at

energy transfer, respectively, t11rough clastic (vc = ov) and inelastic (vc = v.) collisions;

Page 265: Advanced Technologies Based on Wave and Beam Generated Plasmas

253

A. fp is the electron mean free path). When losses through collisions predominate,

LT » Lx (or R > Lx if 4 is estimated to LT ~ R) and the heating is local. Such a regime is at comparatively high p if the field is weakly inhomogeneous. Strong variation of the field would lead to strong local increase of the temperature and this would switch on the mechanism of thermal conductivity. The heating is nonlocal at 4 $ Lx, i.e., when losses through thermal conductivity are larger or comparable with those through collisions.

Let us now devide Eq. (3) to D A . Then the first tenn presents the scale of density

variation (with a characteristics length Ln = ~Vn/nl'- 1 ) and the last one introduces the

diffusion-recombination scale length LD/r = L0 (v;/PrnY12 = (DA/Prn)l12 through which, losses due to recombination and diffusion are compared. Recombination controlled regime holds at Ln >> Lo;r (or at R >> Lv;r if Ln ~ R) whereas the regime

is diffusion controlled if Ln $ L01r (respectively, R $ Lojr ).

Therefore, depending on the ratio of the discharge radius to the scale lengths Lx and L01,, different cases of locality/nonlocality of the discharge maintenance are possible:

local heating with local particle balance ( R > Lx, L01, ), local heating with nonlocal

particle balance ( Lx < R < L01, ), nonlocality both of heating and particle balance

( L01" L.x. > R ).

2.2.4. Self - Consistent Axial S'tructure ofSWSIJs The self-consistent axial structure [13- 24) of the SWSDs expressed in interrelated variations of plasma (n(z ), 7~ (z )) ;md field ~E(z t) characteristics, and resulting from the coupled electrodynamical and gas-discharge parts of the problem, comes out as a solution of the set ofEqs. (1) - (4).

Nonlinearity in the particle balance (step ionisation and recombination) and nonlocality in the electron heating (thermal conductivity effects) could be sources of the plasma <:::> field coupling. Nonlocality in the particle balance in longitudinal direction, i.e., axial diffusion, could be also a source of coupling. In the results presented below, cases when the first two reasons show evidence are treated. The solution ofEqs. (1)- (4) can be obtained either by solving consequently each of them or by deriving a general equation for one of the unknown Qlk'Ultities (e.g., n(z)).

Solution of Eqs. (1)- (4). The results for the thermal nonlinearity, i.e., electron temperature expressed in tenns of the maintenance field intensity, obtained from Eq. (4) are given first:

T =- U. T =- u. d T =- U. (5 b ) e lnijE(z t I E;2 r e tn(( E 2 (z )) I H/) an e ln(t.?, )(z )/ E/ )' a, ,c

Page 266: Advanced Technologies Based on Wave and Beam Generated Plasmas

254

In the case (Sa) of local Joule heating in the plasma volume, the SW field intensity itself

is a maintenance field intensity and directly appears in the expression for r. , whereas in

the case 5(b) of nonlocal Joule heating in the plasma volume, the discharge is sustained

by an effective field intensity (E2(z )) = [ nfEf2 dS1 If ndS1 which involves the density 8L s~

in its definition. When the mechanism of heating goes throuth resonance absorption

(5c), the maintenance field intensity E(2r) = Pr ~ - 2-\ ,R \~£, (.- = R )', with n K PR 10 K PR

[ ~ ]2,-,2/I(K R)L(r) co

Pr = rc - s ( P ) N - • is defined through characteristics of the resonance KP / 0 KPR R v

absorption (the scale length L:~J of the density inhomogeneity at the point rs ); / 0. 1 are

the modified Bessel function. In all the cases. the nonnalizing field is the same:

[ ( I J ]1/2 E; = {lmj e2 \ v". jv ((!) 2 + v 2 )rr. where \;·. is the slowly-varying function in the

excitation frequency v. = ~·. exp(- U. /Te).

After having T. ~Ef2 ), it is easy to have the frequency of the direct ionisation

v, = ;; exp(- U; fT.) and the rate Ps, = r",; exp(- U)TJ of the step ionisation expressed

in terms of the maintenance field intensity:

v I = ;, { ((fEn I E,2 )' psi = r:; { ((fEn IE/ J (6a,b)

in the case corresponding to (5b). In the cases of (Sa) and (5c), (fEn is replaced by

fEf2 and£(~) · respectively. Here U, is the ionisation energy. s = U;/U. and ;,. p·:,, are

slowly varying functions of Te .

After having v; kf2 ) and p ,; ~Ef2 ), the next step - obtaining a result ~ <=> fEf2 ) for

the ionization nonlinearity from the particle balance equation (3) - could be done. The

particle gain is through direct ionization (v,n) and step ionization ~,.,n 2 ). The

contribution of the latter increases with the density increase going to saturation

( p 5;n2 ~ (ps, /1 + J.U1 )n 2 where 1.1. is a coefficient). The particle losses are through

ambipolar diffusion and recombination. The contribution of the latter increases with the

density increase. In a recombination controlled regime [13 - 16). Eq. (3) simplifies to v; = r:·'rn and

directly results in an expression for the density presented in terms of the field intensity:

Page 267: Advanced Technologies Based on Wave and Beam Generated Plasmas

255

'(I) 0 "(2) 2

- v, lEI- - V; 1£1 s n---, n--- . (7a,b) r;o > £2s r, r.t r, i

In (7a,b), direct and step ionization are combined in expressions of tl1e form: 0 (l) 0 (2)

v; = v; exp{- U./Te), v; = v, exp(- U; fT.}, respectively, at Te > (2/3XU; - u.) and

Te < (2/3XU;- u.) . The diffusion controlled regime [21 - 241 is more choosy for treatment and the self­

consistency in the discharge behaviour may easily be missed in its description. Now diffusion losses and direct ionization are the main terms in Eq. (3). However, in order to have a self-consistent resull for the discharge structure. the nonlinear terms - step ionization or recombination - although being smaller, should be kept. Just they relate the density at the discharge axis to 7~ . and through it. to the maintenance field intensity.

This appears first in the condition for the discharge maintenance s(no) = d ~vi I[) A

where s(no) is a given function of the density no at the discharge axis (a case of a planar discharge with thickness 2d of the plasma slab). and later. in the transverse density profile which, for a discharge with a cylindrical geometry is of the type:

n(r)=n(r=O)J0( 2·4r) with n(r=0)=~[(~)2 DA -1]. (8)

R P Nt. R V;

TI1erefore, the density at the discharge axis is expressed in terms of 7~ . Here PNL = Psi - p, and 10 is the Bessel function. Without having the nonlinear terms in Eq. (3) kept, the condition for discharge maintenance is given by the Schottky relation

n/2 = d ~v; fDA (in the case of a planar discharge). Te and n stay unlinked and self­consistent description of the axial structure of the SWSDs can not be achieved.

Finally, the results for the ionization nonlinearity in a diffusion controlled regime, which relate the density at the discharge axis to the maintenance field intensity, are:

2[l' (IEOJS 1 ,. [[ ,-2 ls 1 n(r = o) = DA (3_iJ --:;-- - 1 ' n(r = o) = ~. l!..sc2h -I , (9a,b) Pr R Esch Ps, (1£1 )

respectively, in the cases of nonlinearities predominantly due to recombination losses and to step ionization. Therefore. with the recombination as a mechanism of nonlinearity, the increase of the field intensity leads to increasing density. When step ionization is the nonlinear mechanism. density increase and field decrease are self­consistently related. In the cases of local heating in tl1e plasma volume and nonlocal

heating by resonance absorption. (1£12 ) in (9) should be replaced by j£1 2 and £(2,),

respective7. A complete picture for the (n ¢:::> 1£12)-linkage over a wide region of density variation ~~ E (to9 - l014 )em -J) is schematically given in Figure 3(b). Saturation in the step ionization connects the two regions of comparatively low and high densities. i.e.,

Page 268: Advanced Technologies Based on Wave and Beam Generated Plasmas

256

the regions just below and above the Schottky level described. respectively, by (9a) and (9b). At comparatively low densities. t11e nonlinearity is due to step ionization. Since its contribution increases witl1 the density increase and helps the particle production by direct ionization, lower field intensity is necessary for t11e discharge maintenance. However, witll the density increase, t11e step ionization goes to saturation and this leads

to tlle appearance of a plateau in the ~t..f <::::> n )-dependence. ll1e furtller increase of t11e

density switches on the mechanism of recombination losses and this leads to an increase of the maintenance field intensity. In generaL in tlle density range of the diffusion controlled regime, tlle deviation of the maintenance field from tlle Schottky level is small (within about 20%).

The second equation which, besides (9) , relates t11e same quantities - n and 1£1 2 -

comes out from tlle electrodynamical part of the problem. In tlle case of SW propagation in tllin cylinder approach (i.e .. the case of small a-values) it is, as follows:

d(-2 ") -~IE:(z.r=Rf -niE.{z.r = R~ =-In I ~ 2 , (IOa,b) dz E ( ·(z r = R r J '

respectively, in tlle region of local Joule heating in the plasma volume which covers the main (tlle longest) part of t11e discharge (region I in Figures 5(b,c)), and in the region of nonlocal heating by resonance absorption at the discharge end (region II in

Figures 5(b,c)); here E?r)(z)= (j[/2)g 2 k/;;XL~~ ~/RXco/v~Ez(z, r = R~ 2 . In (lOa,b),

I= (v/coRXI/ f)nc and f and g are slowly varying functions over tlle phase diagram

( f = 0.2 and g = ~R~~~ at c,)/c,) P = (0.3 --0 5) ). The left-hand parts of (lOa) and (lOb),

coming from the Poynting vector. are the same. In the right-hand parts, tlle corresponding maintenance field intensities appear.

Therefore tlle set of four equations (I)- (4) for t11e four unknown quantities -

k, n, 1£12 and 7~ -is reduced to a set of two equations (9), (10) for n(z) and IE(z t resulting, respectively. from the gas-discharge and electrodynarnical parts of the

problem. Variation of n and ll:'j2 along the discharge means variation of Te (z) and of the

parameter e(z). as well. The final results for t11e self-consistent axial variation of the averaged (over the

discharge cross section) density n and the SW field intensity in a diffusion controlled regime (Figure 5(a)) of SW discharge maintenance by slow EM propagation in thin cylinder approach (a < 0.3) is. as given below.

(i) In the mean (tlle longest) part of the discharge (schemalically denoted as region I in Figures 5(b.c)) where t11c discharge is maintained by Joule heating in tlle plasma volume, a linear axial decrease of the density is self-consistently related to a slight linear decrease or increase of t11e field intensity:

dz K R -ln:e~ I dl£;12

\' (L) J Ill 2 ---=----, Esch 1l11.2·

dz R .f 4ne-(lla,b)

-dn I vw 111

Page 269: Advanced Technologies Based on Wave and Beam Generated Plasmas

257

Here K = 2 and \j/ 1 = -0.2R2p)D A and '1' 2 = ~.) s~; correspond to nonlinearities associated, respectively, with recombination losses and step ionization. The mechanisms of nonlinearity in the particle balance give slight modifications of the density profile (not presented here). However, they determine the total profile of the maintenance field intensity and through it, the rJz)- and e(z) - variations, and ensure the self-consistency

of the discharge description.

n

no

n

1£!21 recomb. losses

r----Eich--~

T fl'lep ionization 1

~~--------~--~·~ _ I I • 0

(a) R r lil I

(b) II z I

(c) II z

Ftgure 5. Illustration of the structure of discharges sustained in a diffusion controlled regime: radial density profile in (a) and axial profiles of the averaged (over the cross section) plasma density in (b) and ofthe

maintenance field intensity in (c).

(ii) At the discharge end, the discharge maintenance is through the mechanism of resonance absorption which determines a faster decrease of the density according to a power law (as it is schematicaly shown in Figure 5(b) (region II)) and a law of the SW field intensity variation (Figure 5(c)) which follows the density dependence:

3 2 -2 ( ) - _- 3 nc g 1t ( ) J . J2 _ 2 v n z 2 n-n, 31---=3-- z-zr , Ez =--2 ---2-Esch·

8nrfR ng 0) nc (12a,b)

In this case the self-consistency of the discharge structure is ensured by the mechanism of heating. The nonlinear mechanisms in the particle balance gives only small contributions not commented here. Similarly to the case of discharge maintenance by Joule heating in the plasma volume, the case of heating through resonance absorption

shows up also with slight variations of the maintenance field intensity £(2,) .

In a recombination controlled regime of a discharge produced by Joule heating in the plasma volume, a linear dependence as given by (11a) is the result [13- 16] for the density profile (Figure 6(b)). In this case the value of K is K = 3 or 2+(1/s) depending on

0 (a)

R

n

.. z

(b) (c)

Figure 6. Illustration of the structure of discharges sustained in a recombination controlled regime by Joule heating in the plasma volume: radial density profile in (a) and axial profiles of the plasma density in (b) and of

the maintenance field intensity in (c).

Page 270: Advanced Technologies Based on Wave and Beam Generated Plasmas

258

the conditions commented with respect to relations (7a) and (7b). The maintenance field intensity decreases linearly (Figure 6(c)).

Therefore, under conditions of Joule heating in the plasma volume, both diffusion and recombination regimes show up with a linear density profile along the discharge length. The density gradient can be written in an unified fonn (as given by (lla), [15, 16]) where the coefficient K accounts for the discharge regime andfis a constant specifying the wave dispersion behaviour. v, m and R which account for the discharge conditions are combined in a factor (vw/R) which is related to the space damping rate at the discharge end.

Generalized Equation for the Axial Structure of lhe Discharge. As it has been mentioned before, the mechanism of the wave damping detennines the mechanism of electron heating which the discharge production is based on. The possibility for having the axial structure of the discharge described directly by the space damping rate a. outlines also the importance of the wave damping.

By using general electrodynamics relations, Eq. (l) which is basically involved in the electrodynamical part oftl1e problem, can be reduced to (17, 20]:

d(Q!a.'/dz = -2Q. (13) The infonnation from the gas discharge part of the problem is kept in the Joule losses tenn Q. Relation (13) is general and it is valid no matter the discharge regime, tile mechanisms of plasma heating and wave damping, the type of the waveguide mode and the geometry of the guided structure, the ratio between co and v. It is a general equation for description of wave sustained discharges.

After introducing the parameter 8 through the relation 8(n} = Q /~ , (13) reduces to the well-know [35- 37) formula:

d~ - [ ~ d8 ~ da. ]-l dz = - 2a. 17 _1 + 8 d~- ct d~ (1 4)

The infonnation from the gas-discharge part of the problem is now kept in 8 , a quantity

related to the maintenance field intensity ( IE(z t , ( E2 (z }) or E?, )(z) ). As it has been shown in the previous subsection. the variation of the maintenance

field intensity in a diffusion controlled regime is weak and. if self-consistency in tile description is not aimed. it 1nay be assumed that d8/ d~ ~ 0 . In a recombination

controlled regime (~/t-)Xd8/dn)= I holds [20). Therefore, Eq. (14) reduces to the following formulae:

- - - -dn 2a.n

and dn 2a.n

(15ab) 1 _ 11 ~!5;; dz 2 _ n "': dz

ci d n ct dn respectively. in diffusion and recombination regimes, which are unique in their simplicity. The space damping rate a. that could be easily obtained from the SW dispersion relation. is the only quantity involved in the detennination of the axial density profiles. The difference of the numerical factors in the denominators of ( l5a) and ( 15b)

Page 271: Advanced Technologies Based on Wave and Beam Generated Plasmas

259

is directly related to the different values of K in diffusion and recombination regimes, as it has been discussed in relation to expression (lla).

With the use of (13) and (15), the description of the axial density profile in a diffusion controlled regime has been extended to conditions which are beyond the limits of discharge maintenance at weak collisions (v << w) by slow SW propagation in a thin cylinder approach (small a-values) commented before. It has been obtained that: (i) a linear density profile covers the main (the longest) part of discharges maintained by Joule heating in the volume at weak collisions (v << w) even when tl1e wave propagation is in the complete, electromagnetic, rdllge of the wave existence, i.e., at arbitrary a­values [17]; (ii) a quadratic law dependence describes the density profiles when Joule­heated discharges are sustained by fast SW propagation under conditions of weak (Figure S(b ), region III) and strong ( v » w) collisions [20]; (iii) different types of dependences forming smooth density profiles appear in consequence along the discharge length (Figure 7(a,b)) when both t11e complete pattern of SW behaviour (as shown in Figure 4(a)) and the different mechanism of electron heating - Joule heating in the plasma volume and in regions of resonance absorption - show evidence in the mechanism of the discharge maintenance [ 18. 19].

112 slow

fast waves waves thin slab thick

slab 0 = z

(a)

res. res. jvolum~ volume abs. abs.1 colils! collisions

0 Z Zi

(b)

res. abs.

zz zo z

Figure 7. Axial density profiles of maintenance of planar discharges by heating in regions of resonance absorption ( {vlw) < (7tLNwlc) in (a)), and by the two mechanisms of heating- in the plasma volume and in

regions of resonance absorption- predominating in consequence along the discharge length (7tLN I d > {v lw) > 7tL Nw I c} in (b); •;,, I and 2 denote the type of the law ofthe density variation: square-root,

linear and quadratic; "fast" and "slow" denote the character of the waves: fast waves (will~ c) and slow

waves (will<< c} and "thin" and "thick" denote the regimes of the wave behaviour: thick slab approach and thin slab approach.

2.2.5. Concluding Remarks on the Theoretical Part The maintenance of SWSDs is a nonlinear phenomenon which combines in a self­consistent way plasma parameters (n, Te . ... ) and wave characteristics ( IF.f , p, a, ... ).

The permittivity }; NL ~), k, 1£12 ) of the plasma in the discharge is a nonlinear pennittivity

and it results from a strong ionization nonlinearity: the total plasma density n(£1 2 ) is determined by the wave field intensity. The self-consistency of the phenomenon of discharge maintenance could come out from different sources: nonlinearity in the

Page 272: Advanced Technologies Based on Wave and Beam Generated Plasmas

260

particle balance, nonlocality in the electron heating, nonlocality in the particle balance (longitudinal diffusion).

2.3. OVERLOOK ON DIAGNOSTICS METHODS AND EXPERIMENTAL RESULTS

Compared to the outcome from the research on theory and applications of SWSDs, the information accumulated in their diagnostics is not too much.

On the one hand this could be attributed to cautiousness in using some of the standard methods of plasma diagnostics. This is understandable, since when SWSDs are diagnosted care should be taken for not disturbing too much not only the plasma but also for not perturbing the wave propagation and not introducing changes in the waveguide configuration. In SWSDs, the wave sustains the discharge and disturbance of the wave properties causes changes of the plasma characteristics.

On the other hand this could be associated with the purposes of the experiments on the discharge diagnostics. The axial density inhomogeneity specifies these discharges and it is understandable that its determination has been aimed in the experiments. Even methods applicable particularly to these discharges have been developed. As a result, a

lot of data have been accumulated about ;;(z). The other type of data accumulated from

many experiments are those about the spatial distribution of spectral line intensities I {r) and excitated state population densities N. (r). Such studies are motivated both by the

development of the kinetic modelling of the discharges and by the research on their applications. However there is still lack of results about other parameters, like electron temperature r •. and, respectively, e , which are also very important with the information they give about the mechanisms of discharge maintenance.

The methods applied to diagnostics of SWSDs with indications about their capabilities for detennination of plasma- and discharge- parameters are schematically shown in Figure 8.

ii'(d !'M~C row a-v~-----­

diagnostir s : • cavity m rthod • microwave

interferometr y

F(< )=-> T,(r,z),n(r, z )

Pro he d iagnos tics

7777/Z/7777777 ) .. ······-- ----

I Radiophy s ic s 0 ptic a I diagnostics sp e ctroscopy

methods m et hods . interferogram s . FRD method . test waves kinetic m odd T 1 ; I ( r, z ), N • ( r, z) "-> n ( z), T

:l.(z) "" i!( z )

E , (r)i, fl pha><

E ,(z), <p(z) !l diagram

• (z)

Figure 8. Diagram presenting the methods used in the diagnostics of SWSDs.

Page 273: Advanced Technologies Based on Wave and Beam Generated Plasmas

261

Microwave, probe, and optical spectroscopy diagnostics are the standard methods which have been used. The cavity method is used in the first experiment (38] on diagnostics of SWSDs for calibration of data from measurements of the total light

emission in obtaining the ;:;(z) -dependence. The results are combined with

measurements of the SW wavelength 1-sw and it was shown that the experimentally obtained phase diagram is quite close to that of SWs in homogeneous plasma columns. The latter was widely used later in the development of the technique of the radiophysics diagnostic methods. The microwave interferometry. although being attractive, as a method which does not disturb the discharge - in its completeness of plasmaBwave­field structure- is supprizingly applied too little in the diagnostics [39] of SWSDs even up to now. The probe diagnostics with its capability for getting quite complete information - electron energy distribution function F(~c:) and spatial distributions of

electron temperature r. and plasma density n - for gas discharges, in general. creates difficultes [27] and put questions about its applicability to SWSDs. The optical spectroscopy methods seem to be the most proper tool for diagnostics of SWSDs, although being - with respect to the plasma parameters - of indirect methods. Data for the gas temperature 7~ and 1 (r). N. (r) are the direct results from the experiments.

Further extension of the method to obtaining results for the plasma parameters ( n , r. ) involves results from kinetic modelling of the discharge.

The methods widely used over the years in the diagnostics of SWSDs denoted in Figure 8 as "radiophysics methods" include the interferogram method and the field radial decay (FRD) method [6) as well as the test wave method [40] recently applied. These methods, specially developed for diagnostics of SWSDs. are based on measurements of the propagation characteristics and on the relation of the plasma parameters to the wave

behaviour. Data for n(z) are the final results. The radiophysics diagnostic methods are, in general, indirect. The direct data from the measurements concern the space

distribution of the SW field in the free space. Obtaining results for ;;(z) involves use of theoretical SW phase diagrams.

2.3.1. Radiophysics Diagnostic Method A simplified manner of application of interferogram and FRD methods is demonstrated in Figure 9(a) with the only puspose to give brief comments of them. The signal is taken by a radially-oriented antenna, i.e .. the £,- SW field component in the free space is

picked-up. The result for n comes out after using the theoretical phase diagram for homogeneous plasma waveguide. In the interferogrcun method. the A sw is measured from the registrated interferogram obtained by mixing the signal from the antenna (which is movable in z- direction. i.e .. along the discharge) with a reference signal. In the FRD method, the antenna is movctble in both z- and r (radial)- directions. In this case the radial field distribution at given z-position is measured. Fitting with theoretical field distribution of SWs in a homogeneous plasma waveguide leads to the result for (3 and then the phase diagram is used for obtaining n . Although being double indirect, the FRD methods gives results [26) which are in a good agreement (Figure 9(b)) with those

Page 274: Advanced Technologies Based on Wave and Beam Generated Plasmas

262

1------------~ TO ~UAJN

~========~~~~~-~M

(a)

" " Z lrml

(b}

Figure 9. Experimental set-up involving interferogram and FRD measurements in (a) and data for 1he axial

density profile obtained by using these methods in (b) (figures I and 5 tram [26)).

from the intelferogram method. In both cases the field distribution of the SW which

sustaines the discharge is measured. The test wave method is based on measurements of

the propagation characteristics (amplitude and phase) of small-amplitude (test) waves

along SW sustained plasma columns. Although being also indirect, it provides more

possibilities for changing in the fitting procedure - by varying the test wave frequency -the sensibility of the wave propagation characteristics to different plasma parameters

(the (v/w)-ratio and the parameters related to radial and axial inhomogeneity). Plenty of results have been accumulated in the literature (see, e.g., their summary in

Ref [7]) about ;;(z). The linear axial decrease of the plasma density is basically proved

as well as a dependence of the type of d;;j dz oc wp / R for its gradient which completely

agrees with the result dn/ dz oc vm/ R (see, relation (II a)) obtained under conditions of

weak collisions and Joule heating in the plasma volume. Indications about deviation

from a linear profile at the beginning and the end of the discharge which show behaviour

similar to that in Figure 5(b) arc also given (6). In experiments 1411 under conditions of

strong collisions, a behaviour of ;;(z) reminding a quadratic law dependence (as

mentioned in Subsection 2.2.4) has been also obtained. No matter the usc of the interferogram metl10d in numerious experiments and its

establishment as a basic tool - at least up to now - in the diagnostics of SWSDs, the manner of its application and the application of the radiophysics diagnostic methods, in

general, require caution and further check provoked by the recent development of the

theory of SW propagation in collisional inhomogeneous plasmas 125]. First, the applicability of the methods requires validity of the geometrical optics approach

(WKB - approximation): ldk 1(z)/dzl < I. Secondly. the experimental evidence J39,

41 - 43) of the influence of plasma inhomogeneity and collisions on t11e SW dispersion behaviour show that these effects should be taken into account. Besides, the further extrapolation in t11e methods to getting indirect data for other plasma parameters (e.g.,

determination of the collision frequency v from comparison of experimental and

t11eoretical results for t11e density gradienl i.e., from the dependence dn/ dz oc vw/ R)

need reconsideration 126). Therefore it looks like t11e basis of the radiophysics methods

Page 275: Advanced Technologies Based on Wave and Beam Generated Plasmas

263

should be checked. E.g., more experimental results are necessary for the SW dispersion behaviour. Although the test wave method could be very helpful in this direction, maybe, the main efforts should aim direct measurement of the plasma parameters: n through, e.g., microwave interferometry, and T, through other methods for plasma diagnostics.

2.3.2. Probe Diagnostics The lack of experimental data for T, was the main reason for making the try [27) to involve probe measurements in tile diagnostics of SWSDs. The start [6, 44] in kinetic modelling of the discharges and necessity of experimental results for F(E) for verification of the models were also motivations for the efforts made for application of the probe diagnostics to SWSDs. However, it appeared that using the knowledge (about the influence of the HF field on the ion sheath around the probe and on the distortion of the probe characteristics) and the experience (how to prevent this influence) accumulated in the literature (see, e.g., Ref. [45)) on probe diagnostics of HF discharges, was not enough. In the particular case of waveguide discharges, inserting probes (and reference electrodes) influences the discharge not only by the direct contact with the plasma but also through the changes of the waveguide configuration. The distortion of wave field configuration is found [46] to be too strong. Obviously, the method should be applied with cautiousness. E.g., care should be taken for preventing the power leakage through the probe circuit by making its impedance (with respect to the ground) high enough. It could also appear that the probe diagnostics is a proper method if values of plasma parameters should be known in plasma reactors. In this case the changes anyhow made in the waveguide configuration can be used for installing the probe system.

2.3.3. Optical Spectroscopy Diagnostics It looks like that the brief comments on the diagnostic methods given above would lead to the conclusion that the optical spectroscopy diagnostics is the most proper tool for SWSDs. And this is exactly the case. The experimental arrangements shown in Figure 10 give possibilities for applying methods of emission and absorption

~ ~ INTERFEROMET ER : 1 FABRI -PEROT 1 I E I L, __ _ ____________ ...J

Figure 10. Experimental arrangements tor applying optical spectroscopy diagnostics meth01b (figure I from [28]).

measurements as well as for measuring the gas temperature T~ . The optical spectroscopy methods arc very valuable since quantities like I. N-, Tg which are of great importance for the applications of the discharges are directly measured. Having experimental data

Page 276: Advanced Technologies Based on Wave and Beam Generated Plasmas

264

for these quantities is also important for verification of theoretical predictions. E.g., based on the shapes of line intensity profiles measured (Figure ll(a)) conclusions could be made about locality/nonlocality of the electron heating. Also, data for population density of excited states are important for verification of results from kinetic modelling of the discharges. The difficulties in the usc of the optical spectroscopy diagnostics

1.2 4.o4 •0 -#.If 6 . 0 ,.. . z &::' 6.0 011\ 4.0 ... • • a 4 .0 (I""

• z 3.5 ... • X Z =- 3.0 em 3.5 3./S e • z :::: 2.5 ern • .., • z w 1.5 On't ~0.8

0 z w 1.0 .... 7'3.0 3 . 0

.., ~f. .. ~ ~z.s Z./S

'-~0.4 .£2. 0 z.o L 0 c t.S

e.g.e e.2 e.1 e.e 1.a ' ·0 ... o 4 .5 ts.s e.if·0 red lei poe\~ lon T, (oV)

(a} (b) F1gure 11. Radial profiles of the intensity of the 504.7 run line in a He discharges at different distance from the column end in (a), and region of(n, T,)· values deduced from comparison of experimental and theoretical

results for the population densities of the 21P. 23P, 41S and 43S excited state (Figure 2 from [28] and Figure 9(b) from (29], respectively).

appear when experimental results about plasma parameters- n, T.- are aimed (28, 29]. In this case the method becomes indirect and involves kinetic modelling of the discharge. E.g. , from comparison of theoretical and experimental results for N., the couple (n , T.) can be obtained as fitting parameters (Figure ll(b)). Being indirect, such a procedure requires use of other diagnostics techniques as reference methods.

2.3.4. Concluding Remarks on Oiagnostics of Stationary SWSDs No matter the activity in the diagnostics of stationary SWSDs, this type of experiments are still a debtor both to the theory and the applications. There is like a gap, which should be filled with information necessary for the theory (for its verification) and for the applications (for providing data for the discharge parameters. which control the technological processes). The other conclusion that could be made is related to the peculiarities of the SWSDs. The methods for plasma diagnostics known as proper tools for other types of discharges have to be applied here as a complex of tools.

What is known from the experiments made up to now? The linear density profile over the main (the longest) part of the discharge in a diffusion controlled regime under conditions of weak collisions (v << w) and small cr-values is proved. There are also plenty of experimental results for I (r) and N· (r). The probe diagnostics is also well developed in the direction for having results for F(r:;} .

What is yet missing: (i} conclusions about the type of the heating made from experimental results for I (r, z) and N- (r, z): (ii} results for T. and e and conclusions about the mechanisms of heating (The first measurements of e [47] gives a big promise in this direction.); (iii} experimental results in a recombination controlled regime; (iv) diagnostics at the discharge end, etc. Thus, the diagnostics of stationary discharges is a

Page 277: Advanced Technologies Based on Wave and Beam Generated Plasmas

265

field with many possibilities for an activity in it both with respect to developing ell:perimental techniques and getting experimental results.

3. Nonstationary Regimes of SWSDs

This part is based on experiments [30- 34) on space-time evolution and self­organization of SWSDs under nonstationary conditions. All the measurements are in microwave (2.45 GHz) discharges in an argon gas. Three nonstationary regimes are concerned: (i) discharges in pulsed regime operation in the gas pressure range p = (O.l - 10) Torr [30- 32]; (ii) perturbations of the applied power causing development of modulation instability and formation of soliton-like bunches [30]; (iii)

variation of the gas pressure (in the pressure range p = (10- 200) Torr) which changes the self-organization of the discharge and leads to its contraction in a rotating filamentary-like structure [33, 34].

With respect to the discharge applications, conditions of quite and stable plasmas should be looked for. The observations - like those of modulation instability and filamentation of the discharge- point out that the SWSDs are unstable and this is not a surprise, at all. Perturbations of the two parameters externally controlled - the applied power and the gas pressure/gas flow - lead to development of instabilities. However, studies on the instabilities are important not only with respect to the applications. They are basic phenomena and unavoidable stages of the discharge pattern. In general, the importance of the nonstationary regimes is in the dynamics of the ionization nonlinearity they present.

3.1. PULSED REGIME OPERATION

Investigations of discharge rnaintenance by pulses of HF and microwave power provide information not only for the pulsed regime of operation of the discharges but also for the

T pllDL 1 Detector ,

topu"1'

Collimaton -

Oscilloscope PhotO<OOitiplicn

Gcmrator f~ 2Al GHz

w 00 Figure 12 (a): Experimental set-up for studies ofSWSDs in pulsed regime operation (characteristics of the applied pulses tp =(0.8- 2) ms, T ~I 00 ms), (b): Changes along the discharge length ofthe P(r)- and n(t)­

pulses (in thin and thick curves, respectively) at Po = 310 Wand p ~ 0.5 Torr (Figure I from (32] and Figure 1 from [301, respectively).

Page 278: Advanced Technologies Based on Wave and Beam Generated Plasmas

266

dynamics of the stage of the breakdown of stationary discharges. The properties of the

ionization front - the velocity of its propagation and the rise time of the pulses - are basic characteristics of the discharges in a pulsed regime operation.

An experimental set-up for studies on pulse regime operation is given in Figure 12(a). The microwave power picked-up in the free space by a radially orientated antenna and the total light emission considered as giving infonnation about the plasma density are the registrated signals. The time evolution of microwave power P(t) and plasma density n(t) at different positions along the discharge length (Figure 12(b)) are the measured quantities. The observations show that the variation of the pulses of P(t)

and n(t) along the discharge has different behaviour depending on the pressure p and the applied power P0. Respectively. the type of the z-variation of the total energy of the microwave pulses is different at comparatively low and high pressure. This can be attributed to difference in the regime of the discharge maintenance, e.g., diffusion and recombination ones. The ionization front velocity ~~ is higher at higher Po and lower p.

Along the discharge length. Vr decreases and the rise time of the pulses increases (Figure 13). With the values of v1 obtained, it can be concluded that the longitudinal diffusion is the mechanism driving the ioni7.ation front. A separation of a solitary-like field-plasma structure from tl1e leading edge of the pulses is also observed.

% 10

~ >,_o.s

... .. . . .

0.4 Torr

• 200W • 160W • 100W . . . . . . ... . ·. ..

0.0 L________.__~ . .......:._..._..._..._ ... 20 40 60

z[cm] (a)

200 ;n 3

c p

100

o I .. . ....... . . .

. •

10 15 20 25 z (cm J

(h)

J 30

Figure 13. Variation along the discharge length of the ionization front velocity in (a) and of the rise time of the density pulses in (h) (Figures 7 and 4 from [32[. respectively).

3.2. INSTABILITIES CAUSED BY FLUCTUATIONS OF THE POWER APPLIED FOR DISCHARGE MAINTENANCE

Registrated in the experiments (Figure 12(a)) in pulsed regime operation, this instability developes on t11e stationary level of the pulses. It is caused by perturbations of the microwave power applied for the discharge rnaintenance and shows up in a pronounced manner close to the discharge end (Figure 14). Starting from coherent variations of nand

it'l2 , with a modulation index which increases along with the pulse propagation. the

instability ends with splitting of the main pulses into consequence of plasma B wave­power bunches of soliton-like type. The results shown in Figure 14 are obtained under conditions of a diffusion controlled regime witl1 predominating nonlinearity related to recombination losses (the increasing branch of the curve in Figure 3(b)). A perturbation­scheme analysis applied to the tl1eory of stationary discharges (Subsection 2.2.4) shows that such conditions ensure development of modulation instability.

Page 279: Advanced Technologies Based on Wave and Beam Generated Plasmas

267

z-42 .$cm O.H 0.30 0.35 0.40

Time(cm] Time(cm )

Figure 14. Development of modulation instability at increasingz over a distance of about 10 em close to the discharge end; P(t) and n(t) variations are in thin and thick curves, respectively (Figs. 5(a), (d) from [30]).

3.3. FILAMENTATION OFTIIE DISCHARGE

Contraction of the discharge in an azimuthal filamentary wave-energy B plasma-density structure (Figure 15(a)) is a stage of the discharge self-organization in the pressure range p = 30- 140 Torr. The dynamics in the discharge behaviour is observed with the

" I clp/cii•0 .3'2 . TOH.S . I

dffi..l i:l ffi . .l

: a t n ·( )

Flgure J 5_ Ohst:r~~a;ifH'i of tht:~ fibrr:~nta("' ~-tnh..-:U.H"~ in (.H.} and rei.::erds df ~.ignah~ f~·r;·m phorornuttipht.~IT in (l~) Fip<f'C' 2fb) ;snd 5 ih>n> p41).

increase of the gas pressure p. The influence of the pressure and the rate of its variation are discussed f34]. Starting with a stationary discharge at p = I Torr, the increase of the gas pressure leads to shortening of the colunm, accompanied first with strong instabilities at the discharge end: oscillations, strata. dipole type structures. With the further p-increase. the discharge tnmsforms into an azimuthally rotating filamentary wave-energy B plasma structure. The behaviour of the structure is registrated by measuring the total light emission (by photomultipliers (Figure 15(b)) and collimators which - because of the azimuthal rotation of the filamentary structure - are displaced in a plane perpendicular to the discharge axis) and the correlation between plasma density and field intensity (measured by a photomultiplier and a radially orientated antenna). The p-range of the stage of regular rotation of the structure (with Vr being in the limits (7- 12) rad/s) narrows and shifts towards lower pressures with the increase of the rate

Page 280: Advanced Technologies Based on Wave and Beam Generated Plasmas

268

dpjdt of the p-variation. The different type of the correlation (in phase/out of phase) between field intensity and plasma density, depending on the pressure, calls for difference in the type of instabilities responsible for the formation of the filamentary structure. Bending of the filament ends and vibrations in axial direction also characterize their behaviour.

3.4. CONCLUDING REMARKS ON THE OBSERVATIONS IN NONSTATIONARY REGIMES

The nonstationary regimes of the discharge behaviour is a wide field for research which is of interest in both fundamental and application aspects.

4. Conclusions

The present status of the development of the research on nonlinear phenomena forces development in many fields, including the physics of the gas discharges and their description in terms of self-organization of dynamical nonlinear system governed by strong ionization nonlinearity. With the research on surface wave sustained discharges (SWSDs) not only a new class of discharges - waveguide discharges - has been discovered. This activity contributes also a lot to the general development of the physics of the gas discharges. With the clear and well-defined conditions of self-organisation of the wave-field<=> discharge system, the SWSD is a very good prototype for studying HF and microwave discharges, in general. Moreover, there are many "white" spots and areas in the field of the SWSDs which ask for involving efforts for investigations and give promises for new, important and interesting results. Experiments on diagnostics of stationary discharges is such an area. The nonstationary regime of the discharges is a completely new direction. The applications of the discharges open also many possibilities for research.

Acknowledgements

The work is in the frameworks of projects supported by DFG (436 BUL - 113174/0), Volkswagen Foundation (I 72824) and NATO LG- 971240 as well as of the National Foundation for Scientific Research in Bulgaria. Supports by Professor H. SchlUter, Professor M. Moisan, Alexander von Humboldt - Foundation and Gennan Ministry of Science and Technology for equiping the experimental set-ups are highly acknowledged.

References

l. Gaponov -Grekhov. A. V. and Rabinovich. M. I. (1987) Autostructures. Chaotic dynamics of ensembles, in A. V. Gaponov-Grekhov and M. I. Rabinovich (eds.), Nonlinear Waves: Structures and BifUrcation, Nauka, Moscow, pp. 7-44.

Page 281: Advanced Technologies Based on Wave and Beam Generated Plasmas

269

2. Vikharev, A. L., Gil'denburg, V. B.. Golubyev, S. V., Yercmin, 13. G., Ivanov, 0. A.. Litvak, A. G. , Stepanov, A. N. and Yunakovsky, A. D. (1988) Nonlinear dynamics of a freely localized UHF discharge in electromagnetic wave beam, ZhETF 94, 136- 145.

3. Litvak, A. G., ed. (1988) A High-Frequency D1scharge in Wave Beams. Institute of Applied Physics, AN USSR, Gor'kii.

4. Vikharev, A. L.. Gorbachev. A.M .. Ivanov, 0. A. and Kolysko. A. L. (1994) Plasma parameters and stimulated UV emission of filamentary structures in a high-pressure microwave discharge, JTEP 79, 94-!0l.

5. Moisan, M., Beaudry. C. and Lcprince. P. (1974) A new HF device for the production of long plasma columns at a high electron density. Phys. J.ett. SOA. 125 ·126.

6. Moisan, M. Ferreira. C. M .. Hajlaoui. Y .. Henry. D .. Hubert. J. , Pantel, R., Ricard, A. and Zakrzewski, Z. (1982) Properties and applications of surface wave produced plasmas, Rev. Phys. Appl. 17,707- 727.

7. Moisan, M. and Zakrzewski, Z. ( l '.l86) Plasma sustained hy surface waves at microwave and rf frequencies: experimental investigation and applications, in J. M. Proud and L. H. Luessen (eds.), Radiat1ve Processes in Discharge Plos11Ws. Plenum, New York. pp. 381-430.

8. Ferreira. C. M. and Moisan, M., eds . (1993) M1crowave D1scharges: Fundamentals and Applications Plenum, New Y ark.

9. Trivelpiece, A. W. and Gould. R. \\'. ( 1959) Space charge waves in cylindrical plasma columns, .!. Appl. Phys. 30. 1784--1793.

10. Moisan, M .. Shivarova. A. and Trivdpiece. A. W. (1982) Experimental investigations of the propagation of surface waves along a plasma column (review paper). Plasma Phys. 24, 1331 - 1402.

II. Shivarova. A. and Zhelyazkov, I. ( 19R2) Surface waves in gas-discharge plasma, in A. D. Boardman (ed.), Electromagnetic Surface Modes. Wilev. Chichester. pp. 465-520.

12. Bl6yet, E., Leprince, P .• Llamas.!\!. and Marec. J. (1981) Ionization by a pulsed plasma surface wave, Phys. Lett. A 83, 391 ·-392.

13. Aliev, Yu. M., Boev, A. G. and Shivarova. A. P. (1982) On the non-linear theory of a long gas discharge produced by an ionizing slow electromagnetic wave. Phys. Lett. A 92A. 235-237.

14. Aliev, Yu. M., Boev, A. G. and Shivarova, A. P. (1984) Slow ionizing high-frequency electromagnetic wave along a thin plasma columa. J. f'hys. D: Appl. Phys. 17. 223J .. ·2242.

15. Shivarova. A. (1992) Nonlinear surt:tcc modes. in P Halevi (ed.). Spatial Dispersion in Solids and Plasmas, Elsevier. Amsterdam. pp. 557···<>16.

16. Aliev, Yu. M. , lvanova, K. M .. 1\loisan.l\-1. and Shivarova. A. 1' . (1993) Analytical expressions for the axial structure of surface wave sustain~d plasmas under various regimes of charged particle loss, Plasma Sources Sc1. Techno/. 2, 145-152.

17. Aliev, Yu. M. , Ghanashev, 1. , Schliikr. II.. Shivarova, A. and Zcthoff. M. (1994) Analytical estimations on the axsial structure of plasma-waveguide discharges. Plasma Sources Sci. Technof. 3, 216-225.

18. Aliev, Yu. M .. Maximov. A. V .. Schllit~r. H. and Shivarova. A. (1994) Axial structure of surface-wave­sustained discharges influenced hv local plasma resonances. J. Plasma Phys. 52, 321 ·- 337.

19. Aliev, Yu. M., Maximov. A. V .. Schllitcr. H. and Shivarova. A. (1995) On the axial structure of surface wave sustained discharges, Phys1ca SL·npta 51. 257- 262.

20. Aliev, Yu. M., Maximov. A. V .. Uhanashev. L Shivarova. A. and Schlilter, H. (1995) IEEE Trans. Plasma Sci. 23, 409-414.

21. Aliev, Yu. M., Georgieva. M .. Shivarova. A. and Schluter. H. (1995) Nonlinear permittivity of surface wave produced plasmas. J. l'hys. D: .'lppl. Phys. 28. 19'17- 2001.

22. Aliev. Yu. M., Georgieva. M .. Ur<tssc. S .. Schlukr, H. and Shivarova. A. (1996) Contr. Plasma Phys. 36. 573-582.

23. Aliev. Yu. M., Schlilter, H. and Shi1arnva. A. (1996) A non-local hydrodynamic approach to plasma heating in surface wave sustained discharges. Plasma Sources Sci. Techno/. 5. 514--516.

24. Aliev, Yu. M., Grosse, S .. Schluter. I!. and Shivarova. A. ( 1996) Nonlinearity: Basis of self-consistent modeling of surface wave produced plasmas in diffusion controlled regimes, Phys. Plasmas 3, 3162 .. 3175.

25. Aliev, Yu. M .. Schlilter, H. and Shivarova, A., Guided Wave Produced Plasmas. Springer, Berlin, in preparation.

26. lvanova. K .. Koleva,l. , Shivarova . . \. and Tatarova. E. (1993) Radiophysics plasma diagnostic methods applied to surface wave sustained micr<maw discharges. PhyS~ca Scripta 47.224--229.

27. Kortshagen, U .. Shivarova. A .. Tatan>\·a. E. and Zamtirov. D. ( 1994) Elec1ron energy distribution function in a microwave discharge creakd '" propagating sur!:tce waves ( 1994) .f. Phys. D: Appl. Phys. 27. 301 -311.

Page 282: Advanced Technologies Based on Wave and Beam Generated Plasmas

270

28. lvanova, K. , Koleva, I. and Shivarova, A. ( 1995) Optical spectroscopy diagnostics of a helium surface wave sustained discharge. I: experiment, Plasma Sources Sc1. Techno/. 4, 444-449.

29. Dountchev, L., Koleva, I. and Shivarova, A. (1996) Optical spectroscopy diagnostics of a helium surface wave sustained discharge. II: modelling and evaluation of experimental data, Plasma Sources Sci. Techno/. 5, 531 - 543.

30. Grozcv, D., Kirov, K. , Maka--'heva. K. and Shivarova, A. (1997) Modulation instability in pulsed surface­wave sustained discharges, IEEE Trans. Plasma Sc1. 25. 4 I 5-422.

31. Grozev, D., Kirov. K .. and Shivarova. A. (1998) Pulsed waveguided discharges, in J. Marec (ed.) Microwave Discharges: Fundamenwl and Applications. 3'd Int. Workshop (Fontevraund, 1997), in press.

32. Grozev, D .• Kirov, K., Makasheva. K. and Shivarova, A. ( 1997) Surface wave sustained discharges in pulsed regime operation, M. C. Bordage and A. Gleizes (eds.) 23'd ICPIG (Toulouse, 1997), Univ. Paul Sabatier, Toulouse, vol. I, pp. 156-- 157.

33. Djermanova, N., Grozev. D .. Kirov. K .. Shivarova. A. and Tsvetkov, Ts. (1997) Filamentation of surface wave sustained discharges. M. C. Bordage and A. Gleizes (eds.) 23'd ICPIG (Toulouse. 1997). Univ. Paul Sabatier, Toulouse, vol. 2, pp. 192- 193.

34. Djermanova, N., Grozev. D .. Kirov, K .. Makasheva. K .. Shivarova. A. and Tsvetkov, Ts. (1998) Sell~ organization of surface wave sustained di scharges in the pressure range !rom 10 up to 200 Torr, J. Appl. Phys., submitted.

35. Glaude, V. M. M., Moisan, M .. Pantd. R .. l.eprince. P. and Marec. J. (1980) Axial electron density and wave power distribution along a plasma column sustained by the propagation of a surface microwave, J. Appl. Phys. 51, 5693--5698.

36. Ferreira, C. M. (1983) Modelling of low-pressure pla~ma colunm sustained by a surface wave, J. Phys. D: Appl. Phys. 16, 1673- 1685.

37. Zakrzewski, Z. ( 1983) Conditions of exiskn.:c and axial structure of long microwave discharges sustained hy travelling waves, .J. Phys. /): Appl. Phys. 16. 171 180.

38. Zakrzewski, Z. , Moisan, M .. Glaude. V. M. M .. Beaudry. C. and Lcprince, P. (1977) Attenuation of a surface wave in a unmagnetized R.F. plasma column. Plasma Phys. 19, 77-83.

39. Golubyatnikov. G.. Kostrov, A., Shivarova, A .. Tatarova, E. and Zamtirov, D. (1992) Dispersion behaviour of surface waves in surface wave produced plasmas, NATO ARW on Microwave D1scharges: Fundamentals and Applications (Vimeiro. 1992), Abstracts: p. 57.

40. Berndt. J., Grozev, D., Schluter. II. and Shivarova. A. (1998) Test surface waves as a diagnostics tool for SWSDs, in H. SchlUter. and A. Shivarova (eds.). Advanced Technologies Based on Wave and Beam Generated Plasmas (NATO AS!, Sozopol 1998). Kluw.:r.

41. Granier, A., Boisse-Laporte. C., Lcprincc. P .. Marec. J. and Nghiem, P. (1987) Wave propagation and diagnostics in argon surface-wave discharges up to 100 Torr, J. Phys. D: Appl. Phys. 20. 204-209.

42. Grosse, S. (1995) Investigations on microwave excited surtace wave plasmas in argon. PhD Thesis, Ruhr­Uni. Bochum.

43. Darchicourt. R. , Pasquiers. S .. Boissc-Laporte. C .. Leprince. P. and Marec. J. (1988) Influence oftbc radial electron density profile on the determination of the characteristics of surface-wave-produced discharges, J. Phys. !J: Appl. Phys. 21 , 293- 300.

44. Kortshagen, U .. Schluter, H. and Shivaro va. A. (1991) Determination of electron energy distribution functions in surface wave produced plasmas: I. Modelling, J. Phys. D: App/. Phys. 24, 1571 - 1584.

45. Godyak, V. A .. Piejak, R. B. and Alcxandrovich. B. !Iii. (1992) Measurements of electron energy distribution in low-pressure RF di scharges. Plasma Sources Sc1. Techno/. 1. 36-58.

46. Grosse, S., Schluter, H. and Tatarova. E. ( 1994) On electron energy distribution function measurements in microwave discharges sustained by propagating surface waves. Plasma Sources SCI. Techno/. 3, 545- 555.

47. Moisan. M., Barbeau. C., Claude. R .. FcrTCira. C M., Margot. J.. Paraszczak. J., Sa, A. B. Sauve, G. and Wertheimer, M. R. (1991) Radio lrcquency or microwave plasma rea~'101·s? Faclof' determining the optimum frequency of operation . .J. Vac. SCI. Techno/. 89. 8 25.

Page 283: Advanced Technologies Based on Wave and Beam Generated Plasmas

NONUNIFORMITY ASPECTS IN MODELLING AND NONCOLLISIONAL HEATING OF HF DISCHARGES

H. SCHLUTER Ruhr- Universitiit Bochum, Inst. f. Experimentalphysik II, D-44780 Bochum, Germany

1. Introduction

In stationary HF discharges with predominantly diffusive electron particle losses, substantial nonuniformities of electron density are to be expected. The finite pe­netration of HF fields into the plasma is basically associated with nonuniformities also in the electric field strength. Moreover, the nonuniformities of density and field strength interact, sometimes in a rather intricate way.

Models of HF plasmas only partially incorporating nonuniformities and using spatially averaged field strenths and/ or electron densities, may yield in cases good estimates and even reasonably accurate descriptions of some discharge features. Due to usually partial penetration of the electric fields, the actual values of density (and related properties) in outer plasma regions will have more weight than can be inferred from computations with spatially averaged values. Obviously detailed inclusion of density and field nonuniformities is in general desirable, e.g. for more accurate and fully selfconsistently calculated results on expected spatial distri­butions of particles and fields and associated wave properties. This pertains to the spatial distribution of electron temperature in particular, which in cases may (locally) follow rather closely the profiles of field strengths, but in other cases be determined (nonlocally) by the field strength behaviour over larger discharge sections. Potential noncollisional absorption may also depend sensitively on field nonuniformities.

Essential discharge features and trends can be recognized and decribed by using a fluid approach, even for the electron plasma component [1], implying a Maxwellian electron energy distribution function (EEDF), as also pointed out above in this volume. The accuracy can of course be increased when deviations from such a distribution are taken into account, calling for a kinetic description. For the low degrees of ionization often encountered, noticeable depression of the tail of the EEDF can occur due to strong energy losses by inelastic processes not counterbalanced sufficiently by electron-electron interactions [2]. This is the case e.g. for inert gases with degrees of ionization well below 10-2 , whereas additional processes in molecular cases may tend to accelerate (or in ca..<;es complicate) the trend to Maxwellian distributions.

Below a kinetic description via Boltzmann equation for the electrons is used. The heavy particles are described here in a fluid approach. Largely inert gases are addressed, mostly argon discharges. The gas temperature is treated as a known

271

H. Schliiter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 271-310. © 1999 Kluwer Academic Publishers.

Page 284: Advanced Technologies Based on Wave and Beam Generated Plasmas

272

(and essentially uniform) parameter, to be taken e.g. from interferometric Doppler

measurements, though a balance consideration for the gas temperature (and its

spatial variation) could be added. In the following scenarios are presented to obtain the EEDF either in a nonlocal

or a local approximation. The terminology nonlocal-local pertains here to the

formation process of the isotropic part of the EEDF and addresses in particular

the role of space charge fields in this process associated with density nonunifor­

mity. The nonlocal approach is stressed by presenting detailed numerical results

of modelling for surface wave (SW) sustained discharges , which exhibit radial

nonuniformities strong compared to weak axial ones. Potential specific effects

from nonuniformity are pointed out, and as far as presently available experimental

checks and laboratory evidence are reported.

The transition from a nonlocal to a local regime is demonstrated in some detail

for an inductive discharge [3], where actually nonuniformities have to be accounted

for fully in two dimensions. The comparison between completely selfconsistent

modelling and observation accentuates the need of including step processes via

metastables, reminding of the importance of the quality and reasonable com­

pleteness in the atomic physics data base for the accuracy of the modelling.

This importance can of course be expected to increa..c;e even, when extensions of

modelling to molecular cases is envisaged. The treatment of SW sustained discharges includes noncollisional wave absorp­

tion, which can be interpreted as transit time effect associated with spatially (radi­

ally) pronounced field structures, i.e. essentially in one component of electric field.

The origin of this absorption is basically Landau type damping in partial waves

which result from a (Fourier) spatial decomposition of the nonuniform electric

field strength structure. The wavenurnbers k derived from this decomposition­

and the associated damping ~ · can in cases be more significant than the usual k

of the (axial) wave propagation- and the associated damping, respectively. This

aspect of "resonant particle" damping via partial waves in consequence of field

nonuniformity is more generally commented on in the last part of this presentation,

also beyond the case of SW discharges.

2. Formation of distribution function

For the desired kinetic description via EEDF, solutions of the Boltzmann equation

have to be sought in a selfconsistent manner , requiring simultaneous solution of

additional equations fixing thus all unknown parameters, in particular the HF

electric field strength needed for maintenance of steady state discharge plasmas

and the space charge potential associated with inevitable plasma nonuniformity.

Only the frequency w, the dimensions of the discharge vessel (a inner, b outer

radius), the dielectric constant of the wall ( c !1), gas type (mostly argon) with

the corresponding atomic data, and the gas pressure p are assumed to be known

as laboratory parameters . Since actually the neutral gas density is needed in the

modelling, room temperature is assumed. As mentioned before, for comparisons to

Page 285: Advanced Technologies Based on Wave and Beam Generated Plasmas

273

experiments, effective gas pressures are to be used according to the gas temperature measured, or a modelling for this temperature is to be included . For simplicity, here nonuniformities of the neutral gas (in the ground state) are neglected.

The Boltzmann equations shall betreated in the much used "two-term ap­proximation" resulting from a development in spherical harmonics. Therefore the distribution F('u, r, t) is considered to consist of a main isotropic part FJ0l with two small corrections .Ff0 l and F1(

1 ) due to effects of the space charge potential 4> and the maintainig HF electric field, respectively:

F(t!, r, t) = Fci0 )(v, i") + g [Fl(O)(v, i") + FP\v, i") exp(iwt)] (1)

As common, the problem is reformulated in the energy scale u = mv2 f2e with the corresponding FJ0l = FJ0 l ( u, i"). HF frequencies w above the energy exchange

frequency shall be considered so that FJ0l can be treated as time independent indeed. To this and other details of modelling (4] can be referred to. A spatially one-dimensional description will be used since (SW) discharges with strong radial, but weak axial nonuniformoties are addressed first. Extensions are, however, com­mented on, and later in particular a spatially two- dimensional treatment shall be addressed for inductive discharges.

2.1. NONLOCAL APPROXIMATION

If the energy relaxation length L€ is large compared to the discharge radius a, i.e.

a transition to total energy including the space charge potential

e-=u-4>

is convenient [4, 5] . Then the Boltzmann equation for a cylindrical case reads:

~i_ [ D ( ) oFJ0l(e-,r)l i_ [D ( ) oFJ0l(e-,r)l = _ 1hC (F.(o)) !:1 r r€,r !:1 +!:1 0 €,r !:1 u o 0

r ur ur u€ u€

(2)

(3)

(4)

The collision term of the r.h.s . contains effects of elastic, inelastic and electron­electron collisions. Dr is the spatial diffusion coeeficient

Dr= ulh(r) . ~ . u(r)' 3m Vm

(5)

with Vm being the electron (momentum exchange) collision frequency. The coeff­cient for diffusion in energy space D" is commented on below. Now two reasonable assumptions are made:

Except for small corrections

(6)

Page 286: Advanced Technologies Based on Wave and Beam Generated Plasmas

274

An averaging over the discharge volume accessible to energy E is performed so that in ( 4) the first term cancels due to Gaul&' theorem. Since spatial diffusion is assumed to he fa.<>ter than energy diffusion, physically this averaging is actually performed by the electrons moving in the space charge field.

From this the following equation is obtained, where the bars denote the spatial averaging,

with the terms for elastic and inelastic processes

(8)

(9)

x = 2rne / M denotes the portion of energy transferred at one electron- atom colli­sion. The integration is performed to the positions r* (c:) and rk (c:) yet accessible for the total energy c:. Sec is the spatially averaged electron- electron collision term, the details of which can be taken e.g. from [4].

The energy diffusion terms associated with electric field components Ez and

Er read

Dz 2e u3h(r) [Ez(rW v;;, ( u) (10)

3me 2vm(u) 1/;,(u.) + w2 '

Dr 2e 3;. . . [Er(rW v?,, (u)

(11) --'ll 2(T) 3mc 2vm(u) v~,(u) + w< '

D,1t = .!!!:....u'h(r) [Er(r)j2 . r(u) cxp ( -47r.:C.) (12) 2me Vm(u) Tc(u) T

DE in (7) is the sum of (10) to (12) and DE is spatially averaged analogeously to (8) . In (12) a collisionless contribution from small scale structures is given resulting from a quasilinear treatment. It can be interpreted as a transit- time effect, associated with the transit time T through narrow peaks in [Er [2 , since it looks like a DC contribution in which the collison time Tc = 1/vm is replaced by T. The exponential factor ensures efficiency only for electrons transiting fast in comparison to the HF field period T. Basically (12) is a contribution from Landau type damping, but not associated with the wavenumber k of a wave propagation proper in z- direction, rather associated with relatively large k's resulting from a spatial (Fourier) decomposition of the radial structure of the Er- field. Landau · type damping due to such decomposition into spatial waves addressing enough "resonant" electrons with w ~ kv1. (with V J. being the veocity across the field structure) is discussed in some detail later.

Page 287: Advanced Technologies Based on Wave and Beam Generated Plasmas

275

It should be stressed, even though from (7) an r --independent FJ0l(c) is ob­

tained, FJ0 l(u,r) retains generally a spatial dependence due to the required re­transformation from E to u according to (3).

2.2. LOCAL APPROXIMATION

A local scenario for the formation of FJ0l is more appropiate, if

Le <a. (13)

It is often called "local field approximation", since Fj0 l ( u) tends to follow relatively closely the radial variation of the electric field strength. Usually it is based on the folllowing two assumptions:

Spatial derivations in the Boltzmann equation are neglected and space charge effects are considered unimportant. Thus in ( 4): %r -+ 0, <I> -+ 0, E -+ u, resulting in the "homogeneous" Boltzmann equation.

The EEDF is determined by the local field strength. Therefore r - dependence may be contained in the heating (and also in the collision) term.

Again a relatively simple differential equation results:

8 f)F0 (u, r) _ 1;, [

(0) l au DE(u, r) 8u - -u Co(u, r) (14)

2.3. SELFCONSISTENT SET OF EQUATIONS

In order to obtain selfconsistent solutions for FJ0 )(11, r), ne(r), Ez(r·), Er(r·), <I>(r·) etc., the Boltzmann equation has now to be complemented to a closed set of equations.

Basically the Boltzmann equation itself calls for an extension incorporating step processes, accounting not only for inelastic processes leading to excited states, but also for those starting from excited states. This introduces new unknown quantities (population densities of excited states) so that supplementation of the system of equations by a collisional- radiative model is required . If reactions with other constituents were taken into account, further equations would be required.

An useful approach is to account for the most important effects by carrying one effective metastable state, the details for argon being given in [6]; see also [7[ and for helium [8] . The metastable population nex is approximately described by:

(15)

N is the (ground state) neutral gas density, ne0 the electron density at the dis­charge center, v0 the excitation rate from the ground state, v1 the most important

Page 288: Advanced Technologies Based on Wave and Beam Generated Plasmas

276

collisional loss rate for mf~tastables (excitation to the next excited state in the case of argon, ionization in the case of helium). Dex is the diffusion coefficient for the rnetastables. The contributions by step processes may be expected to be accounted for by this approximation qualitatively, about within a factor 2-3 generally.

Essential steps towards selfconsistency are the addition of a normalization condition and of fluid equations incorporating the ion dynamics [4]:

(16)

r· (17)

dvr [ e d<I> ] Vr ~ =- M; dr + (vin + Vi)Vr (18)

The ionization frequency Vi includes the stepwise contribution via metastables. As long as nex is still growing with n , this may lead- through (18) and the Boltzmann equation-to a reduction in maintenance electric field strength with growing ne . For the radial velocity Vr usually the Bohm condition is taken at the sheath. Here conditions are considered where the finite size of sheath thickness can be neglected .

Finally for closing the system equations for the field are needed. Whereas in DC discharges considerations on the discharge current enter, in HF discharges the equations for the field components as resulting from Maxwell's equation and their boundary conditions and the associated dispersion relations enter. In the following these equations are written in the specific form as applicable to SW sustained discharges which shall be addressed below.

z I ---.:r;:- z ( 2 ( ·) 2) Ep T _ ( ) d2E ( 1 dcn(r)) dE ( ) -d 2 + - + k2 ( ) 2 -(-) -d + koceff 7 +I -(-) Ez- 0 19 r r 0 ceff r + 1 En r r Eeff r

(20)

2 2 2 kn(r) = koEn(r) +I (21)

2e2n~(r)j" 1 8F.(0 )(u,r) Ep(r·) = 1 + - . 0 du

3 £omew w- Wm(u , r) 8u (22)

Axial and time variation ~ exp [ -- J~z 1(z') dz'] exp( iwt) are assumed, with 1(z) = n + i,B. Eeff stands for En in the various regions for Ep· If the noncollisional damping due to (12), acting through IErl 2 , is accounted for, Ep is not used with Vm as in (22), but with an effective Vetf determined by iteration. This situation is controlled by the requirement that as much total power is taken from the wave as needed for maintaining the plasma. Details see again [4].

Page 289: Advanced Technologies Based on Wave and Beam Generated Plasmas

277

-8

-10

log(NR) 17

Figure 1. 8/N [eVcm 3s- 1] versus NR [cm3 ], according to [10], for 900 MHz, radius R = 1.3 em, fie = 2 x 10 17 m-3. (A) without e-e collisions and stepwise processes, (B) with e-e collisions, (C) with stepwise processes, (D) with both effects included . Diamonds: experiment.

2.4. FEATURES

Whether the formation of FJ0l is described in nonlocal or local scenario- -actually discharge conditions being often in a transition regime ·-···-is not so important for some discharge features. For instance, the power transferred per average electron e does not dramatically depend on this. This is not surprising, the discharge will always acquire just enough energy to have enough ionization balancing the dominant diffusion losses. Thus the inelastic losses, usually the main losses, may be expected not to be too sensitive to details of scenario. e can be described as sum over

8; = 1_ { 00 [~ (oi dFJO)) l e de n , }0 de de

(23)

with the D; according to (10)- (13) or equivalent expressions in a local scenario. On the other hand, it is a fact that atomic physics aspects have influence on

the values of e. Generally it can be expected that inclusion of both electron­electron collisions and stepwise processes seems necessary to obtain quantitativey realistic values. This is demonstrated by Fig. 1 taken from calculations in a local approximation [10]. Somewhat counterbalancing trends can be recognized and reasonable agreement with observations when both effects are included.

Obvious differences which can be expected between the local and the nonlocal scenarios are in the resultant selfconsistent radial electron density profiles. In a

Page 290: Advanced Technologies Based on Wave and Beam Generated Plasmas

278

2..0 2..0

~ ~ ::::::, ......_

~ ~

~ ~

Figure 2. ne(r) (a) and IEz(r)l (b) from [11].

1.00

0 . 80

0.60

0. 40

0 . 20

0 '~.+00~~~,~0.,.,.00~'~20-.-...00~. ~..,.~J~0r>:00~~~.0.00 r (ern]

Figure 3. ne ( r) as in Fig. 2a, but calculated in nonlocal scenario. The symbols for the various wjwp almost coincide.

local treatment they may differ e.g. along a SW sustained discharge, since along the discharge the radial electric field profiles vary. Fig. 2 with radial profiles ne ( r) and IEz(r)l shows this by depicting the results of calculations for different values of w/wp along the discharge: 0.18 (A), 0.22 (B), 0.32 (C), 0.43 (D) and 0.48 (E). An argon discharge at 4.33 GHz and 30 mTorr with a = 38 mm is considered [llJ . In a nonlocal scenario little dependence on the radial field distribution is expected, as indeed confirmed by Fig. 3 with almost coinciding ne ( r) calculated for the conditions outlined above.

Page 291: Advanced Technologies Based on Wave and Beam Generated Plasmas

279

Actually emission profiles may here be more sensitive to the choice of scenario, since then the smothening- out effect of diffusion is (largely) absent. This is turned to below when SW sustained discharges are considered in more detail. The aspect of density distribution is taken up again later for a two-dimensional case studied in the transition region between nonlocal and local scenario.

3. SW sustained plasmas

In this section SW sustained discharges are addressed which may be considered as

• one --dimensional case

for the use of modelling outlined above. The relatively strong radial nonuniformities are taken into account, whereas the

comparatively weak axial nonuniformities are included only in a WKB-approxima­tion. The link from the slowly varying w~ (plasma frequency squared averaged over the discharge cross section) to the axial coordinate z and thus the axial discharge structure is provided by the Poynting theorem, which can be written- after radial integration over the whole discharge cross section perpendicular to the discharge axis-as wave-power balance equation

as= -Q az (24)

with S being the z-component of the Poynting vector and Q the power absorbed per unit length in a thin slice of the plasma. From this the well· known expression for the axial density gradient can be obtained, touched upon later again:

3.1. APPARATUS

dn(z)

dz

-2n(z)a(z) = ------~~--~--~ da w2 de w2

1 - -= __E. + -= __E. dw2 a dw2 e p p

(25)

Fig. 4 shows schematics of SW discharge devices for the GHz regime (with optional metal shield). As Fig. 5 a photo is shown where as coupling device a so-called Ro­box is depicted, used in the 200 MHz range. The discharge plasma is maintained by the Poynting energy flux outside and along the discharge tube extending from the wave coupler, axial diffusion only playing a minor role.

Moveable antennae are used to measure electric field characteristics outside the tube (as mentioned later, in one case also inside the plasma), Langmuir probes are employed mainly for mea.cmrements of EEDFs. Moreover optical emission and ab­sorption spectroscopy are used, in addition microwave interferometry and recently also radiometry, see below.

Page 292: Advanced Technologies Based on Wave and Beam Generated Plasmas

280

3.2. RESULTS OF MODELLING

In F ig. 6a schematically the field configuration in a cross section of a discharge is shown typically expected if the electron density were assumed to be radially homogeneous. The energy is mainly transported via the IErl-eornponent in the vacuum, the IEz !-component being instrumental in directing part of the energy flow into the plasma. Since Ez and cEr arc continuous in the transition to the plasma, inside the plasma the IEz I component is predominant. It should be men-

Page 293: Advanced Technologies Based on Wave and Beam Generated Plasmas

plasma

glass

__ /,.-!Ezl -..... _______ _ /IE, I

281

r ••• r Figure 6. Typical schematic plot of radial profiles of IEzl and IErl; (a) for homogenous, (b) for inhomogeneous plasmas. Azimuthally symmetric mode with Ez, Er , H"'.

0 .6

0.5 9,

o .• l:i

'-.. 0 .3

3 0.2

0.1 8, ' ,. "e.

0.0 10. 10. 10. 10 l

8 / eVs- 1

Figure 7. Total B and contributions according to (23) in nonlocal scenario, for 2.45 GHz, a = 9 mm and 0.5 Torr =: 66.66 Pa argon, wall thickness 1 em.

tioned that "inverse" arrangements are possible and used where the glass tube contains vacuum and the main energy flux and the plasma is outside surrounding the tube [12].

The presence of radial density nonuniformity taken into account here can signifi­cantly change the situation. If ne(r) drops enough towards the wall (sheath) so that wp(r) = w becomes possible, resonance- like peaks in IErl appear as schematically demonstrated in Fig. 6b. These peaks give the !Erj- component a more important role and are essential for the appearance of a non collisional channel of energy trans­fer by a transit- time mechanism as previously mentioned, based on Landau-type damping of partial waves resulting from a Fourier decomposition of the electric field in r-direction.

The results presented in this section are calculated in the nonlocal approxi­mation described above. A typical result calculated for the energy absorbed per electron in average according to (23) is shown in Fig. 7. Bz and Br represent the Joule losses per collisions via !Ez 12 and IEr 12 ' respectively. The contribution eel is connected to the collisionless contribution just pointed out. The sum of all three

Page 294: Advanced Technologies Based on Wave and Beam Generated Plasmas

282

0.5

®col I-I.~ 0.4 I

-;-

10. -( 0.3

9,.,., 3 9,

0.2 I

I e 1: z,

I I

0.1 1 o' e (evs-') 107

Figure 8. As in Fig. 7, but for 0.25 Torr and a = 5 mm.

channels &total, though plotted in a logarithmic scale, does not vary much along the discharge, in spite of the well known ···almost linear ···density decrease in ne ( z), with wjwp,....., 1/ ~- This is not surprising in a diffusion dominated discharge: The resultant selfconsistent electric field intensity just assures sufficient ionization to counter diffusion losses.

It should be mentioned that &total does not come out appreciably different, if the non collisional channel were surpressed ; the field intensity would then essentially just be increased to yield the same E\otal as sum of E1z and E1r only. The role of &total is more subtle; it is responsible for a change in the form of the EEDF and associated with changes in the dispersion behaviour and a change to an axial density profile decreasing steeper than linear, as will be commented on below.

The example of Fig. 7 demonstrates that the energy transfer is mainly per­formed through the noncollisional channel from w/wp ~ 0.23 on towards the discharge end . This region is extended to lower values of wfwp when p · a grows, since then the radial density profiles become steeper going down to lower values of ne near the discharge wall, thus favouring the appearance of resonances in IEr I for larger parts of the discharge. Growing p on the other hand diminishes somewhat the ratio of Oc1 to the collisional parts (}z and (},.. With decreasing p ·a of course the regime of field resonances and collisonless contributions (Ocoll-1) is limited to an smaller region near the discharge end, as is demonstrated in Fig. 8 for p = 0.25 Torr argon and a = 5 mrn.

The applicability of the nonlocal approximation employed so far, is "cautiously" estimated [13] to be reasonable for p · a ;S 0.2 Torr ern so that the parameters con­sidered in Fig. 7 are stepping already into the transition region where local effects may start to cause modifications. For helium the borderline value is estimated to be much higher to about p ·a = 1.2 Torr em. Therefore in Fig. 9 an example for helium at p = 1 Torr and a = 5 mrn is included. For 0.5 Torr and a= 9 mm the

Page 295: Advanced Technologies Based on Wave and Beam Generated Plasmas

0.5 ,.----.,...-.-.-.-. ......... ~-,-...,...-.'"!'"" ........ ..,

0.4

0.2

0.1 IQ I 10.

e 1 avs-'

lew ' :

... : ....... "'; ._.

\

e.\ I

tO I

Figure 9. As in Fig. 7, but for helium and 1 Torr.

zo

15 ~ IE. I E c.J/ri. = 0.16 E ........ ........ > > ~ 10 0

.:::,

~';; --;,

~ 5

0 0 2 10

283

10

8

GJ/c3. = 0.45 6

IE. I 4

2 IE, I )_ 0 ~

0 2 4 6 8 10 r (mm)

Figure J 0. Radial profiles of IE z I and IEr I in nonlocal scenario for two values of w j wp . Conditions of Fig. 7.

importance of Bet starts slightly earlier. The dominance of Oc1 starts in this case at w I w11 ~ 0.33. The transition from nonlocal to local will be taken up in the next section when two- dimensional cases of inductive discharges are reported on.

In the ranges where the energy input into the plasma is not anymore delivered mainly by the Ez - field, but rather dominantly by the Er- field towards the end of the discharge column, i.e. via Br and in particular by Oct, the radial profiles of I Er I ex hi bit maxima within the plasma !lear the wall (though moving slightly plasma- inwards with increasing w I wp) . This is visualized by Fig. 10 with calculated radial field profiles for two values of w lw11 • Fig. lla,b demonstrates that-within

Page 296: Advanced Technologies Based on Wave and Beam Generated Plasmas

284

(a) 10 (b)

0.8 .-.. IE. I E a

.,;,;;, = 0.41 ' > 0 6

r (mm) r (mm)

Figure 11. Radial profile of (a) IEzl and IErl in case of a resonance wp(r) = w, (b) associated profile of ne. Nonlocal scenario. Conditions of Fig. 7, but a = 3 mm.

the nonlocal approach for the formation of FJ0 ) the local field increase does not result in a locally enhanced ionization which might show up in the resultant selfconsistent density profile n,(r). Thus there is in essence no such reaction from a lifted ne(r) profile which might modify or even remove the resonance condition

wp(r) = w. However, in solutions, when a local scenario for the formation of FJ0l tends to be valid , structures in the radial density profile might become noticeable witnessing to resonances w1,(r) = w and peaks in /Er /, even though the diffusion process tends to smear out and weaken such structures. In the mentioned "inverse" SW arrangement studied in Stuttgart [12] with relatively large plasma extensions outward such situations arc expected to be given, and the indications of structuring at wp(r) =ware indeed registered.

The approximate constancy of 6>totai with varying wjwp mentioned, cannot be expected to be strict . As e.g. pointed out in another lecture of this volume [14], stepwise ionization can be expected to lower Btotal with increasing n-e(z) (decreasing wjwp), though at high iie(z) saturation may set in. The importance of step processes for the value of 8 1utal was also mentioned here above. To get their effect also quantitatively correct, it is desirable to include step processes in more detail than given by [15]. In a kinetic treatment of course other (nonlinear in ne(z)) effects can enter, e.g.: varying contributions of electron--electron coll­sions, changes in profiles n e(r) (and diffusion) with axial position, in particular changes of EEDFs along argon discharges which may be largely associated with changing radial electric field profiles (even in a non local t reatment) . This has been discussed in some detail in [7, 14, 15]. Without repeating the discussion, it may be stressed that effects nonlinear in n e- though small- can be considered essential for achieving full selfconsistency via a nonlinear plasma permittivity and remove

Page 297: Advanced Technologies Based on Wave and Beam Generated Plasmas

285

0 5 Up [V] 15 20 25

Figure 12. Typical measured EEDFs for various radii, the envelope corresponding to expectation in nonocal scenario. 200 MHz, a = 14 mm, 0.3 Torr argon.

a degeneracy, if in the particle balance only diffusion and ground state ionization as processes strictly in ne were considered [IJ.

The occurence of relatively sharp peaks in JErJ2 with strong field gradients reminds of course on the potential influence of ponderomotive effects. Estimates on this can be made by calculating the ponderomotive potential eJErl2 /4me (w2 +z,;,), which turns out to be usually a small fraction e.g. of space charge potential present. Therefore is not surprising that modelling in a nonlocal scenario with the total energy generalized by addition of the ponderornotive potential yields almost no descernible differences to results without its inclusion. This is reported in [15, 16], which may be referred to for more details.

3.3. OBSERVATIONS ON RELEVANT BASIC FEATURES

Before later specific influences due to nonuniformities, in particular on wave effects, shall be turned to as well as more detailed experimantal checks or evidences so far available, two basic features are now addressed concerning the scenario nonlocal­local:

behaviour of EEDFs as function of r,

radial line emission profiles.

Fig. 12 depicts a set of EEDFs, measured with compensated Langmuir probes [6J ( ~ second llerivative of probe current with respect to voltage applied) for different radii versus total energy E = u - 1> , with normalizations to ne ( r). The conditions are such that a situation close to a nonlocal approximation is realized.

Page 298: Advanced Technologies Based on Wave and Beam Generated Plasmas

286

1.5

--- - -

.. .. .. •

0 ·0o.'-o--~~~-o=-'.5:--~~~~~, 'o

1-ja

Figure 13. Tomographically radially resolved line intensity h (argon 594.6 nm) from [17} and values expected from local (solid curve) and nonlocal scenario (dashed curve) from [18}. 600 MHz, a= 26 mm , p =50 mTorr, ne = 1.1 x 1017m 3 .

Indeed the measured distributions conform as to be expected then rather close to the curve for r = 0 as envelope (and actually correspond to nonlocal calculations for these conditions). Gross deviations from expectation are obviously absent, even when the limited accuracy inherent in the logarithmic scale is kept in mind .

More sensitive checks are possible by comparing measured line emission profiles (h versus r) with expectations (Fig. 13). The radial resolution is achieved by a tomographic procedure [17]. Obviously the calculations [18] according to the procedure outlined in the preceding section are much closer to observations when performed in the nonlocal approximation (solid line) than the one obtained in local approximation (dashed curve). It should be stressed that such line emission observations allow rather sensitive (and relatively easy) checks, even more so than measurements of density profiles, since the smoothening out effect of diffusion is absent in the former case.

In Fig. 13 the fit between measurements and nonlocal calculations is obviously not perfect , probably beyond light improvements in experiments and calculations yet imaginable. A modelling fully incorporating the transition from nonlocal to local region is obviously called for in the long rnn. This is actually compounded when measuremants at high values of p · a an~ studied [17], as shown in Fig. 14. Indeed the monotonic nature of the emission profiles gives way to a. nonmonotonic behaviour towards higher pressures indicative of local influence of higher field values close to the discharge wall.

Page 299: Advanced Technologies Based on Wave and Beam Generated Plasmas

2.0

-1.0

..... . . . . .

-0.6 -0.2 0.2

.... . . . .

0.6 rja

287

1.0

Figure 14. Line intensities as in Fig. 13 extending from wall to wall, but for 900 MHz. For growing pressure: triangles 50 mTorr, squares 150 mTorr, crosses 500 Torr, diamonds 1000 mTorr argon. According to [17) .

4. Inductive discharges

The explicit selfconsistent modelling in the transition from nonlocal to local con­ditions shall be reported on and examplified in a spatially

• two-dimensional case of inductive discharge,

based on recent calculations and density measurements by Miimken [3] . Discharges of this type have achieved considerable practical interest for industrial applications, and in particular the question of symmetry in such discharges is not merely of academical interest.

4.1. APPARATUS

Relevant informations on the apparatus and diagnostics shall be given in Fig. 15. For further details [3] shall be referred to.

4.2. MODELLING

The modelling corresponds to the description as given in section 2, but the treat­ment is now extended to one more spatial variable z. Moreover there is no limita­tion to either a nonlocal or local approximation as described before. In particular the treatment is based on (4) with the variable z everywhere included and thus also

with the additional term %z ( Dr(f, r, z) aFJo~~ , r , z)). The boundary conditions are

improved: Losses of particles with sufficient velocity towards the wall to overcome

Page 300: Advanced Technologies Based on Wave and Beam Generated Plasmas

288

argon -+

Langmuir probes -

t8J t8J [8!/ t8J

coils for providing an optional homoganeous magne11c neld

~pumps

Figure 15. Apparatus of inductive discharges in [3]. Diameter 50 em, height/ length variable (r:::: 15 em), power < 500 W at JO MHz.

the wall potential are accounted for with a loss-come concept 119). Of course also the complementing equations, presented in 2.3, take into account the additional z- dependence. The wave equation formulated in z and r has to be solved for given current densities in a pancake- like loop just above an electrostaic screening. The system of equations is solved iteratively based on a modified multi-grid procedure.

A basic aspect of the modelling will be, to which extent the asymmetry of electric field (with stronger field to the side with the coil) makes itself felt in the discharge behaviour, e.g. in the electron density profile ne: In the nonlocallimit it would be symmetric in z, in the local limit strongly pronounced towards the coil side.

4.3. COMPARISONS OF DENSITY DISTRIBUTIONS

In Fig. 16 contour plots (in r and z) of measured and calculated electron densities are shown for an argon pressure of 1 Pa. There is not much difference between observation and modelling. The density contours are virtually symmetric with respect to the geometry of arrangement, as to be expected in a nonlocal regime, even though the field profiles are not symmetric at all (with much higher field strength towards the side of arrangement where the exciting coil is situated). p ·a has here the value of::: 0.1 Torr em. It should be stressed that in the situation of Fig. 16 the role of stepwise ionization is yet unimportant.

As Fig. 17 demonstrates, with increasing pressure, a transition to the local regime sets in that the density contours become asymmetric reflecting now more the asymmetry of the field structure, as to be seen in Fig. 17 for 3 Pa. The at first surprising observation, however, is that with further increasing pressure

Page 301: Advanced Technologies Based on Wave and Beam Generated Plasmas

measurement: 100 90 80 70 60 50 40 30 20 10 0%

.~ .... ----·-10

radial position (em)

simulation: 100 90

radial position (em)

289

Figure /6. Electron density contour plot according to [3]; (left) from probe measurements, (right) from modelling described. For 1 Pa argon.

to 9 Pa in Fig. 17 this trend is not continued, in contrary a reversion to more symmetry occurs again. Inspection of the calculations show that for a reasonable description not only the full numerical solution without any nonlocal- or local­scenario···type approximation for the handling of the Boltzmann equation, but also the inclusion of stepwise ionization is necessary. This is clearly demonstrated by Fig. 18 where the full numerical solutions are made without and with inclu­sion of stepwise ionization for 9 Pa. Closer inspection of the calculations reveals that the trend to more symmetry again is not so much caused by the profiles of the metastables themselves being symmetric; these profiles are asymmetric since diffusion of metastables plays no major role. The explanation is rather that with stepwise ionization being dominant, now a part of the EEDF is important at much lower energy (as compared to the situation of strict ground state ionization). This part of distribution function appears to be sufficiently pronounced in the central region of discharge so that the interplay of step ionization and diffusion leads to almost symmetric looking density contours at 9 Pa, virtually not reflecting the asymmetric loealization of the field.

Overall the success of modelling appears quite satisfactory, particularly reflect­ing the observed trend to asymmetry, but then back to more symmetry again with increasing pressure. Correct predictions of such features can be quite important for applications.

The modelling discussed here also includes interesting insights into particle fluxes which in detail can be modified from strictly ambipolar character due to the presence of conducting walls as well as dosed flux loops within the plasma. For details the work by Mii.mken may be referred to [3] where also modifications due to the presence of a weak static magnetic field are studied.

Page 302: Advanced Technologies Based on Wave and Beam Generated Plasmas

290

1 Pa:

3 Pa:

9 Pa:

E 0 -; 10 g 'iii 0 a. (ij ·x ro

E 0 -; 10 g 'iii 0 c. ro ·x ro

100 90 60 50 40 30 20 10 0%

l

1

25 radial position (em)

Figure 17. Measured n . contours for 1 Pa (top), 3 Pa (centre), 9 Pa (bottom) argon pressure.

5. Effects of wave properties in the case of SW sustained plasmas

Before the influence of nonuniformi t ites ou wave properties is studied in more detail for the example of SW sustained plasmas- -including available observations on such influences--, some more general remarks on properties and definitions of resonances may be helpfuL

Page 303: Advanced Technologies Based on Wave and Beam Generated Plasmas

pressure: 9 Pa

E u

measured: ~1 g "iii 0 a. Iii ")( ro

"E u

15

simulation ~ 10

without ~ metastables: g_

Iii 5 ")( ro

"E u

simulation ~ 10 "h 0 Wit ~

metastables: g_ Iii ")( ro

291 100 90 80 70 60 50 40 30

Figure 18. n, contours for 9 Pa: measured (top), from modelling without metastables (centre), with metastables included (bottom).

5.1. DEFINITION OF RESONANCES

Generally here the notation "resonance" will be used in connection wit h the oc­curence of enhanced electric field intensities. This may not necessarily be associated with the trend of the wavenumber to large values. Resonant behaviour may be important in many discharge applications, e.g. for the profiles of constituents, rections, radicals etc.

Page 304: Advanced Technologies Based on Wave and Beam Generated Plasmas

292

5.1.1. System resonance A resonant behaviour of the whole system may result from a combination of a

(coupling) surrounding of capacitive nature with an inductive character of the

plasma. Examples of this may come about in the case of cavities, or in magneto­

plasmas when one of the solutions for the refractive index of the plasma n exists

with n~ < 0, a kind of inductive behaviour. In the case of SW such a situation may be encountered for the end regions of

the discharges when Re{cgiass + Eplasma} -+ 0 (26)

In this case the wavenumber /3 for the propagation of the whole guided system

happens to tend to infinity (/3 -+ oo) for Vm -+ 0. This resonance is often called "quasi~static resonance" in literature. However, this resonance may not actually

develop, if strong damping is present or strong radial inhomogeneities change the

plasma properties sufficiently towards discharge end.

5.1.2. Local resonances Here localized increases of the electric field intensity (or that of one field compo­nent) may occur and be caused by local properties of the plasma.

Examples are the so called intrinsic resonances in case of cyclotron or hy­brid resonances of magnetoplasma, which are associated with the trend of the

wavenumber -+ oo. With such resonances many interesting detail phenomena are

known to be associated, such as tunneling, turn~around of resonance wings, mode

"splitting", mode conversion etc., as can be found in literature on waves and heating

of magnetoplasmas. In SW plasmas such resonances can occur, when locally wp(r) = w, caused by

peaking of electric field though of the Er~component only ("plasmon" resonance).

This phenomenon is not necessarily associated with large wavenumbers in the

propagation along the z~axis. (Another matter is that the IEr l 2~peaks may lead

to relatively large k in a spatial Fourier decomposition of the electric field in the radial direction.) The origin of this phenomenon is basicaly a local mode interaction

of the SW with the plasma wave. It may be mentioned that in many systems a kind of "geometrical" resonance

may occur, when the wavelength ,\fits a system dimension, e.g. ~ =a. But his is

not further considered here as not directly relevant for the following.

5.2. RADIAL NONUNIFORMITIES

For the prototype case of SW sustained discharges now specific consequences of

radial nonuniforrnities shall be addressed in more detail concerning both

electric fields,

dispersion,

including experimental evidence as available so far.

Page 305: Advanced Technologies Based on Wave and Beam Generated Plasmas

a E

2,5

~.o

):! 1,5 >

UJ~-

~ 1,0 LU

0,5

I'= 2." -- z - -20cm i2d}

0 z- -20cm ildl

r I mm

293

Figure 19. Comparison of 2D code with WKB calculation for the radial profile of JEr J. 220 MHz, a= 14 mm, vm/w = 0.2, f.1 = 2.4, details given in [20].

5.2.1. Electric field profiles The occurence of peaks in the IErl - field component has been pointed out already above in the modelling for sufficiently high wjwp, provided the radial density profile ne (r) drops enough towards the discharge wall. If the profiles ne (r) are described­approximately-by Bessel profiles""' J (JL~), the latter requirement means that the JL-values distinctly differ from 0, in the extreme case approaching 2.4.

So far the simultaneously present axial- almost linear and comparatively weak- density profile had been treated in WKB (geometrical optics) approxima­tion. In Fig. 19 this (one-dimensional) treatment is born out to be satisfactory by a comparison with fully two-dimensional numerical calculations (with cylindrical symmetry in the third dimension) via a code ("MAFIA") based on the integral formulation of Maxwell's equations and applied to Bessel- type radial and simul­taneously present axial linear density profiles [20]. Indeed quite distinct peaks in I Er I appear. Modifications resulting from the fully- twodimensional code calcula­tions are essentially restricted to specific situations where the mentioned "system resonance" may appear at the end of the discharge, as will be briefly taken up again below.

Direct experimental verifications of peaks in the radial profiles of IErl are difficult. Measurements by probe/ antennae inside the plasma imply perturbations and have of course limited resolution. Still in Fig. 20 measured contours of IEr I are presented showing indeed maxima moving slightly to the plasma interior when the discharge end is approached [21]. See also a poster [22] in this volume. In the absence of radial density nonuniformity, IErl would have to be expected to

Page 306: Advanced Technologies Based on Wave and Beam Generated Plasmas

294

40

E E

N

20

2.45 GHz 0.5 Torr

00, 5 10 r (mm)

Figure 20. Contour plots of IEr] [rel. units] at distance z from visible (argon) discharge end [21, 22]. a= 15 mm, with reduced value in the launcher.

behave oppositely, with /Erl "crowding" towards the wall when the discharge end

is approached. Further evdence for the presence of the expected field profiles has to be more

indirect. One of them is alteration in the dispersion.

5.2.2. Dispersion In Fig. 21a,b phase diagrams are given as a survey reflecting the dispersive be­

haviour in the presence of radial density profiles ne ( r) "' J0 (J..L~) . Axially WKB

treatment is assumed again. The normalized axial wavenumber (3a as a function of

w/wv basically shows three interesting regions for J..L =/= 0. The branch designated I in

Fig. 21a is close to the "standard" case of phase diagram when radial nonuniformity

is not taken into account in detail. The desiganation I* (dashed line) indicates the

transition into the "system resonance" mentioned above, with {Ja acquiring large

values for vanishing vm -+ 0. For finite J..L there is a turn- off to a branch designated

II which may be called "reactive" branch and will be commented on further below.

This branch turns off earlier with increasing v / w , though somewhat smoothed out

then, but may degenerate and actually be swallowed up by the next branch Ill, if

J..L approaches 2.4. Then this branch takes over at lower wjwr>. The branch designated Ill may be called ''plasmon" branch and starts with a

more or less distinct turn- around when Wrw.11 ;:::; w. Details are treated in [23/. This

is emphasized by Fig. 2lb where the vertical axis is w/wvw.11 • It is to be stressed that branch Ill represents a complex solution (i.e. o: =/= 0) even for V m -+ 0, since

Re{cp} = 0 is associated with a singular behaviour in the dispersion relation

Page 307: Advanced Technologies Based on Wave and Beam Generated Plasmas

(a)

Q.

'::3 '-.. 3

(b)

1.5

1.0

0.5

0.0 1.5

ll 1.0 g.

3 '-.. 3 0.5

0.0

I*

0.0 0.5 1 .0 1 .5 2.0 2.5 {3a

295

Figure 21. (a) Phase diagrams for 200 MHz, a= 14 rnrn and vmfw = 0.1 with typical branches, for different J.L from [23], with X= 2.4; (b) as in (a), but coordinate wfwpw =: wfwPw.u·

introducing a residuum-like imaginary part starting with Wpw.u -+ w. In case of Bessel-type profiles, branch Ill starts with (wlwv) 2 = (p,f2) · (Jo(J.L)IJ1 (J.L)). For Vm '/:- 0 the start of this branch is actually close to wP2 = w 2 + vm2 . Details of

wall

the theory of complex functions, bifurcation aspects etc. shall not be discussed here. Rather the physical origin shall be stressed: Mode interaction occurs with surface wave energy being transferred for the mode w = wp . This could be further visualized by performing the calculations for a density profile set up by discrete steps of decreasing density. Branch Ill in Fig. 2la would then exhibit a sequence of of typical mode interaction loops for the interaction wPi = w, with wPi being the plasma frequency of the jth density step, and a would of course show related loops, see [24]. In this context it should be mentioned that a simple relation between a and the group velocity ow I ok is not valid in a range of mode interaction, even if a remains small compared to (3.

In Fig. 22 again a schematic sequence of branches for (3a is demonstrated, this time with the vertical axis w I wp0 where wp0 is the plasma frequency at the center of the discharge column. The occurence of vertical asymptotes in aa may be

Page 308: Advanced Technologies Based on Wave and Beam Generated Plasmas

296

0 Q.

1.2

1.0

0.8

3 ......... 0.6 3

0.4

0.2

0.0 0 2

pa 3 4 0 2 4 6

a: a 8 10

Figur·e 22. Case of 2.45 GHz, a= 5 mm, vmfw = 0.0:{ for different .values of Jt.

mentioned, moreover that a third "turn ·around" of {Ja shows up towards (3a = 0 at about wjwp0 ~ 1, details depending on the value of vm/w. Also the solution of 11 = 0 aims at this range. There is a connection to the existence of a group of strongly damped solutions for Vm = 0, 11 = 0, all leading also to (3a = 0 near wjwp0 ~ 1. Bifurcation points may show up for constant v171 jw [23]. Modifications are introduced for strong v171 jw , the presence of glass walls and close metal shields. These details shall not be discussed here and no designation of a branch type IV is introduced, since the range of rather strong a reached is of minor interest for SW sustained discharges and also not ea..'lily accessible in experiments with target plasmas.

The physical nature of the branch type II above still needs comments. The designation "reactive" may be justified by the fact that the solution for k = fJ + ia is here complex also for Vm = 0, for a different reason than in the branch Ill region. There is damping of the wave even if there is no absorption. This is due to the fact that the Poynting flux in vacuum decays away from the launcher clue to gradual radial diversion of this flux into the plasma. Inside the plasma the flux is directed towards the launcher (for the same sign of a) so that the Poynting flux going back to the launcher gradually grows. The total integral- inside plus outside-of the flux going through any plane perpendicular to the discharge axis vanishes for Vm = 0. The existence of this branch II is caused by the occurence of a maximum in (3a for the collisionless case. This is the very reason for solutions k = /3 + ia in the phase diagram above this maximum being complex even for Vm = 0 and branching off then right at the maximum. This maximum may be caused by density nonuniformity, presence of glass (dielectric) tube, field anti- symmetry [23] . The mechanism common to these three cases is the changing penetration depth with

Page 309: Advanced Technologies Based on Wave and Beam Generated Plasmas

1.2

1.0 (a)

0.8 0.

'3 ......... 0.6 3

0.4

0.2

0.0

297

(l>)

-1 0 1 2 3 4 -4 -2 0 2 4 pd,cxd (3d, cxd

Figure 23. Forward wave (,81, a1) and backward wave (.82, 02 for a plasma slab case of width d: (a) Vm/w = 0.01, (b) Vm/w = 0.1. 200 MHz, d = 10 rnrn, JL = 0.8 · (7r/2).

growing (Ja, which causes the wave mode to perceive less and less of the plasma interior or the vacuum outside the dielectric tube or the anti-symmetry of field further away, leading to a nonmonotonic behaviour of (Ja in the phase diagram in all these cases. E.g., in case of density nonuniformity at smaller fJa thus the averaged density is relevant, but at larger fJa finally an asymptote is sought governed only by the density right near the walL

Of course with growing vmfw branch II is modified, at large vmfw substantially, so that it may appear that the collisions are largely responsible for the turn-off of the /3-curve.

Indeed many more solutions of the dispersion curve exist beyond the one of interest here (forward wave with positive (Janda). They are, however, associated with larger values of /a/ and thus strongly damped to begin with. Only backward waves (with different signs of /3 and a) associated with the appearance of the maximum in /3a just discussed, shall briefly be commented on. Basically there is a possibility of weak linear coupling of the forward waves considered with backward waves for rather small vmfw, see e.g. [25] and Fig. 23a. The /3-values of the solutions are partly rather close together. But with increasing vmfw, see Fig. 23b, they move apart and only cross when /a/ of the backward wave is rather large anyway. Thus only rather weak linear coupling can be expected.

There is some experimental evidence for dispersive behaviour different from the "standard" one as represented by the branches I and I* in Fig. 21. This is shown in Fig. 24 for relatively strong damping in the GHz case. Moreover Fig. 25 depicts measured (Ja of a nitrogen discharge at 500 MHz, with a = 22.5 mm, vrnfw = 0.5, the densities and the JL- value 2.1 for n-e(r) determined by probe measurements [26]. The discharge length is in the ca.•-;e considered about 6 radii, close to 14 em. About the last 6 em of the discharge would be situated in the range of the "plasmon"

Page 310: Advanced Technologies Based on Wave and Beam Generated Plasmas

298

0.5

0.4

0.2

0.1 0.0 0.5

f

1.0 1.5 {Ja

Figure 24 . Measuresd phase diagrams from [21] . Solid curve WKB calculations for 2.45 GHz, a = 15 mm , vmfw = l (argon) , J1 = :l.:l.

I"" ~

1.0 ...-~--.-----....--.---..--.--.

••• 0.8

0.6

8 0.4

0.2

0.0 6 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 l.

/3R

Figm·e 25. Measured ph;J.~e diagrams from [26] for 500 MHz, a = 23 mm, IJm/w = 0 . .5, nitrogen. WKB calculation for tt = 0 (da..~hes) and 2.1 (dots). Solid curve for J1 = 0, vm/w = 0.

branch Ill discussed above, i.e. for approximately w/w1, ;::=: 0.5. The sequence of branches and turn-offs previously discussed is relatively smooth for the conditions considered in Fig. 25 (mainly due Tf,.jw = 0.5) as born out by the calculated dotted curve for 11 = 2.1.

Page 311: Advanced Technologies Based on Wave and Beam Generated Plasmas

t.cx1o••

1.2x1o"

1.0.1018

'I 8.0.1011 E

z" 6.Qc1017

~. 0.10'7

2.0x10" 0

p = 1.5 Torr

,.......­,/ ~

/ I

·-·­__ ..... .--,-·-·-·-·-·-·-·

........... ......................................................................................

10 15 20

z 1 an

299

l<'igun• 26. Axial density pmfiles ne versus z determined from 9.4 GHz radiometry rneat.mrements [28]. 2A5 GBz, L5 Torr argon, a:;;;: 4 mm. ·

Figure 27. IEzl [rei. units] as calculated from a 20 code [20] for a Bessel radial profile (J.l:::: 2.0) aud linear axial profile with L = 2.74 em and wp 2 /w 2 = 2(1- z/ l.). Shown z from -44 em to 1 em, r from 2.4 mm to 45 mm. Coupling structure to the left. 220 MHz, a = 14 mm, vm/w = 0.2.

Finally another consequence of the increased and peaking IE,.I .... field intensity in the range designated above branch Ill shall be pointed out. The usual almost linear axial density profile iie(z) is modified in this situation to a steeper decrease, see the fluid modelling of SW discharges reported in this volume [4, 14). In [27] some experimental indications of this type of behaviour of iie(z) was reported from 4 mm microwave transmission interferometry. In Fig. 27 a decrease of fie (z)

Page 312: Advanced Technologies Based on Wave and Beam Generated Plasmas

300

Figure 28. As in Fig. 27, but IErl depicted .

is shown, determined from microwave radiometric diagnostics as reported in [ 28], extending in this case over a length equivalent to many radii.

5.3. AXIAL NONUNIFORMITY

Now some effects associated with axial n-e(z)- nonuniformity shall be considered, though the influence of radial nonuniformity may still enter some.

At first for the usual linear axial density profile, found over large sections of many discharges, full numerical field calculations, are shown again based on the "MAFIA" integral code mentioned before [20]. A numerical model surfatron is included in these calculations: Some calculations fully in three dimensions show strong tendency to azimuthally symmetric solutions in the case considered here. Moreover the SW seem estabilshed very soon behind the surfatron. Fig. 27 gives an example for the !Ez!-component, Fig. 28 depicts !Er l for a case at 220 MHz of relatively low damping (vm/w = 0.2) and weak radial inhomogeneity (p = 2.0), with the previously mentioned "system" resonance showing up at discharge end. This resonance is noticeably more pronounced than a WKB approach would pre­dict, though qualitatively not too different. But its appearance is limited to rather low values of vm/w and it preferably shows up at low w. The position of such a resonance is predictably slightly shifted by the presence of radial nonunifor­mity, and of course the radial field distribution is also predictably changed, as demonstrated by Fig. 29. The presence of stronger radial density gradients tends to inhibit the appearance of a system resonance, particularly in the GHz cases discussed previously and the effects of the "plasmon" dispersion branch Ill (and of branch II) become more important. In other words, then branch I is not followed very far.

Page 313: Advanced Technologies Based on Wave and Beam Generated Plasmas

301

1,2 )(

0 1,0 E u 0 0,8 ~-

1.1.1

...... 0,6 ~.~.~~

0,4

0,2

0,0 -0,5 -0,4 -0,3 -0,2 -0,1 0,0

z I m

Figure 2.9. As for Fig. 27. IErl is depicted at r =a in plasma (p) and r =bin vacuum (v). Solid curves Jl = 2.0, dotted ones J1- == 0.

4.-----~-----.------~----~----~-------

3

-•- 13 Pa -•- 80 Pa

- • - 133 Pa

0+-----~-----.r-----~----~----~----~ 0 100 150

Figure 30. H"' - line intensity measured [29, 30] versus fie. 136.5 MHz in argon, a= 5 em.

There is one interesting aspect of axial ne ·changes. As pointed out in (1, 14J in particular, the axial change of n e may alter the relative importance of stepwise ionization along a discharge. Increased step ionization at higher il.e(z) may lead to a lowered required maintenance field strength, thus slightly decreased electron "temperature" (averaged energy) and somewhat reduced e. Though these changes may usually be relatively small, they can be expected to show up in the axial

Page 314: Advanced Technologies Based on Wave and Beam Generated Plasmas

302

change of line emission. Indeed Fig. 30 shows light emission (measured by mul­

tiplier plus spectral filter): For simplicity the intensity I of the Balmer a-line is

measured due to remaining hydrogen impurities in an argon discharge [29]. In this

case a rather simple modelling should hold with about I"' iie(z)exp(-U*/u*),

u• being the excitation energy and u* a suitably averaged electron energy. u*

will decrease finally with lowered electric field strength (and 8) towards large fie. The electron densities are determined from "test wave" measurement (see

[30, 31, 32) and a poster of this volume [33]), supported by other determinations of

this type of experiment [34). Indeed Fig. 30 reveals a linear dependence for lower

ii,, but a clear depression from this linearity towards large fie to be associated with

decreased maintenance field strength and e. Actually the observations conform

well with kinetic calculations in a local scenario useful here as a reasonable simple

approximation. It should be mentioned that the energy dependence of vm for

argon yielding effective Vm and iie (see before (22)) are taken into account and

are not basically involved in creating the observed behaviour. Furthermore similar

results are obtained from observations of the argon lines 750.4 nm and 811.5 nm, though the analysis is modified for these lines by the presence of self-absorption,

particularly for the line 811.5 nm. For the conditions of Fig. 31 roughly a depression of line emission by 30% from linearity in fie is indicative of up to 10% decrease in

e.

6. Landau-type damping effects

Above the role of Landau- type damping effects has been shown as potentially

strong for the case of GHz SW sustained discharges. Actually such effects can be

expected to be of great importance for low pressures in many situations of HF

discharges. The final thermalization of power taken away from the wave by this damping

shall not be discussed here in detail. See e.g. [35] concerning van Kampen type modes etc.; there is also some relation to the question of recovering wave power in plasma echoes. Usually it is assumed that in most cases there are enough residual

collisions even at low collisionality to achieve final thermalization so that e.g.

nonlinear efects may not have to be considered except in extreme cases. See e.g.

also [36] . One basic remark: Landau-type damping may in general not mean to open the

way for the transfer of more net power just by virtue of a different mechanism.

To maintain a steady state plasma for given conditions (about) the same power

is required no matter whether the absorption were collisional or noncollisional. However, it is another matter to account for the obviously transfered power (e.g.

known from direct power measurements or from measured electric field and current

density including their phase relation) - required to cover the losses-if both field strength and the collisonality are known and recognized not to suffice to yield

the actual power level. Then and in that sense noncollissional damping yields

additional power transfer. Also it can be argued that a known (measured) electrical

Page 315: Advanced Technologies Based on Wave and Beam Generated Plasmas

303

field strength can be more efficient by the presence of a noncollisional transfer channel than estimated from purely collisional conductivity. If only Joule heating were present, a higher electric field would be required to achieve the same power.

6 .1. BASIC TREATMENT

In kinetic treatments the heating term of the Boltzmann equation is obtained with the energy diffusion coefficient which is related to the perturbation F?) of the EEDF (see above) and is,...._ Im{i[EHF · F1(

1l)}, where in standard procedure:

- (0) ( . )F(l) _ eEHF 8F0 vm + zw 1 - -- - 8-

me r (27)

A term v tr FP) is usually left out (as small). This term is important though, if EHF contains strong spatial structuring, small scale contributions. To include this term, a Fourier method with spatial decomposition into partial waves of different k- values can be used.

With the k's of such a Fourier development in the radial direction concerning the Er-field component structure (e.g.) one would get:

(28)

in case space charge effects are not important on small scale or if FJ0l only weakly depends on r. FP)(k) and Er(k) are Fourier components, Vr is the radial velocity. Then:

Dr = ~ 1/h Im { Er(z) J Er(k) exp( -kr) dk} 3 2 w-ivm-kvr(u)

(29)

Equation (29) is a genralization for Dr containing both the collisional limit (11) for large Vm and limit of small Vm with the resonant particle interactions w ~ kvr as given by (12). Since the transition between the limits of cases is usually relatively quick (e.g. as a function of wjwp), it suffices practically to use an addition of the two limiting expressions as done previously. The interpretation of the collisionless effect as transit-time effect for the case of distinct radial peaks of IErl has been pointed out before and is obviously reasonable to expect.

6.2. QUASILINEAR TREATMENT

The formalism of quasilinear treatment by separating large and small scale effects of electric field etc. has been given in [37] and the literature referenced there. This shall not be repeated here. It uses essentially the same assumptions as mentioned above and as a rule employs a spatial Fourier development for the electric and magnetic fields, too. Now the resulting diffusion coefficient is

(30)

Page 316: Advanced Technologies Based on Wave and Beam Generated Plasmas

304

since

-JrJ(w-kvx) =lrn{ k 1 . }, W- Vx + Zl/m

(31)

again the "resonant" denominator with w - kvx appears.

6.3. COMMENTS CONCERNING NONLOCALITY

It should be mentioned that here a concept of nonlocality enters, not addressing

directly the formation of F~o) and the role of space charge effects, rather referring

to F?l connected to the heating. This is based on the usual approach

F = F~O) + ~ [.F/0) + F1(!) cxp(iwt)] (32)

where F1(o) expresses space charge effects (DC field effects) and F1(!) effects of HF

fields. This leads usually to one scalar and two vector equations for the unknown

F~o), .F}0 l and F1(!). The task is to get by insertion one equation for .FJ0 l only,

involving in a simple case an lm { . . . } - type term for the heating term as already

outlined above. The treatment of noncollisional effects via fill is a nonlocal one, entering also

studies on anomalous transport and anomalous skin effect. The type of nonlocality

is obvious from the Fourier expression

!. d E(k) = exp( - kx)EHF(x)----=.

211"

6.4. GENERAL REMARKS ON LANDAU DAMPING

6.4 .1. Example pla.mta oscillations

(33)

The well known result for (electrostatic) plasma oscillations using theory of com­

plex functions is: -")

2 - . 2 j k /mF( i!) -k W 11 - - -0

k·v-w

with (Maxwell distribution case, 11th = 11thermal)

and the imaginary part of w

1r /2 Wp Wp 1 ( )2 ( )

w; = - ( 8) Wp kvth cxp - 2k2v?h .

And for the perturbation F1 of the distribution function:

(34)

(35)

(.36)

(37)

Page 317: Advanced Technologies Based on Wave and Beam Generated Plasmas

305

6.4.2. Conductivity of external field E Well known is also the relation resulting from Fourier treatment in space and time:

:,(k) = _ ne w_ av · v v 2 j E- (k-)aF(•i) -d-

J "(k- . ) T1le 2 V - W - 2Vm (38)

with fw(k) and Ew(k) Fourier transforms. In the limits of zero temperature or long wavelength the usual conductivity

relation is obtained, the resonant denominator playing no essential role then.

6.4.3 . Single wave damping For a single wave

EHF(x, t) =Eo exp(iwt- ikx)

the power of Landau type damping of resonant particles is:

p _ Eov2 8Fi - k 8v v=w/k

This may be large for large mean free paths at w J k ~ Vth.

6.4.4. Generalization for partial waves In case of decomposition of any profile EHF(x) into partial waves by

! +oo EHF = -oo E(k) exp(ikx) dx

P is obtained as sum over the partial waves:

with the diffusion coefficient in velocity space

(39)

(40)

(41)

(42)

(43)

e.g. for the case of SWs above. The interpretation of the result in this specific case as transit- time heating as being reasonably for peaks in the radial profiles IEr I has been pointed out before.

6.5. COMMENTS ON OTHER TYPES OF DISCHARGES

In other cases the task is usually to obtain the E - field spectra. Generally specular reflection is assumed.

For the case of anomalous skin effect

fooo E(x) dx = 0 (44)

Page 318: Advanced Technologies Based on Wave and Beam Generated Plasmas

306

is obtained as a reasonable result for the penetration, again reffecting the presence of partial waves in space decomposition. Regimes of negative absorption may occur. The scales of E(x) in cases may be such that ambipolar diffusion is more important than e.g. above in SW cases. Sometimes a concept of bounce frequencies may be useful, aside from that of transit rneehanism. More details are given in [37] .

For capacitive discharges a trick may be used by an approximation of an effec­tive £-field in a non· inertial inner plasma system accelaerated according to the sheath velocity

P.E Vth R:: -- cos wt

11leW

This yields results as in a "piston model". The general procedure usually is:

E(x) -+ E(k) -+ Dv -+damping

( 45)

Finally it should be stressed that concentrating here on damping due to partial waves from a spatial decomposition of £-fields in some direction not necessarily the direction of wave propagation- docs not imply that usual Landau damping &'>sociated with that wave propagation proper is absent. In many cases, however, it may be comparatively weak.

6 .6. EXPERIMENTAL CHECKS

As mentioned above, evidence for the contribution of noncollisional energy transfer may be expected for low pressure discharges, when the observed power transfer cannot be accounted for by collisions. See e.g. recently [38].

Otherwise evidence may possibly be found from the form of the EEDF. In the case of SW sustained discharges, as pointed out, such heating acts as a transit- time heating favouring higher energies. The potentially measurable enrichment of the EEDF towards higher energies is on the other hand limited by the selfconsistency of discharge which prevents the presence of too many high energy electrons producing too much ionization (more than would be required for maintaining the discharge) and limits, even reduces the required strength of HF field. As consequence, the presence of noncollisional transfer leads to a bump in the EEDF near the inelas­tic range, as compared to calculations without the presence of a noncollisional channel. This is demonstrated by Fig. 31. Increasing p · a increases the effect. Measurements of EEDFs (at different radii) shown in Fig. 32 actually show the presence of such a bump for a = mm and 0.5 Torr argon at 2.45 GHz, and indeed only towards discharge end when the presence of resonances in IE,. I and the action of noncollisional contributions is expected. The measurements are obtained in [21], as reported previously [27], related ones are also shown in a poster contribution in this volume [22]; for inductive discharges see also recent measurements [39].

Page 319: Advanced Technologies Based on Wave and Beam Generated Plasmas

307

10 -·

....... "' ' ...

10 -· I ........ ', > '-" '-" - 10 -· "'

0 L....

10-

\0 .. 0 5 10 15 20

~ (V)

Figv.r·e 31. Calculated normalized EEDF with (solid curve) and without (dashed curve) taking into account noncollisional heating according to (12). 2.45 GHz, a == 15 rnm, 0.5 Torr argon , iie = 8 X 1017m 3.

"' 10 •I

' .., I .......... > 0

'-" ..._., 'i 1 0

-2

c ........ ,....., "' - •l 0 10

L....

10 -· 0 5 10 15

l: (eV)

Figure 32. Measured EEDF at different radial positions, about 10 em from discharge end [21, 22] . 2.45 GHz , a== 15 rnm , 0.3 Torr argon .

7. Summary and outlook

Selfconsistent .. ·-kinetic-modelling of HF discharges can be performed and basic conceptual difficulties be overcome. The modelling has been successful in includ-

Page 320: Advanced Technologies Based on Wave and Beam Generated Plasmas

308

ing and determining nonuniformities selfconsistently. The SW sustained discharge proved obviously to be a quite suitable prototype discharge. But concerning the two dimensional case of inductive discharges- presently also of great interest · --a selfconsistent treatment could be demonstrated, too, even including the transition regime between nonlocal and local scenario for the EEDF. Interesting and impor­tant features and consequences have been presented and found consistent with the observational evidence so far available.

The extension to kinetic treatment also of the ion component seems possible when necessary. Also additional modelling of neutral gas heating and nonunifor­mities in the neutral component appears achievable in the near future.

The treatment of cases where rather large sheaths in SW discharges with metallic walls has been started [40] .

Important aspects can be studied further or ehecked by extension of exist­ing simulation techniques using particle- in- cell methods and similar approaches, though the numerical effort involved is more intensive than in the approach guided by analysis used here.

For extension to gases other than inert gases and mixtures more effort is needed in making use of more atomic, molecular and reaction data. For many applications this is of course desirable, though then the weight of work shifts much more to providing, critically judging and using such data as far as available or can reasonably be estimated.

Another area of future attention has to be obviously the field of pulsed op­eration, being also of eminent practical importance. Interesting questions are yet open concerning stability aspects, filamentation and diverse nonlinear effects, e.g. connected with modulational aspects.

Acknowledgements

The author is indebted to all colleagues and coworkers mentioned in the literature for their cooperativeness. This presentation benefitted from the support by NATO, Ministerium fiir Wissenschaft und Forschung des Landes Nordrhein-Westfalen, Arbeitsgemeinschaft Plasmaphysik, Ruhr-Universitiit Bochum. The research dis­cussed was based on projects sponsored by Deutsche Forschungsgemeinschaft, Volkswagenstiftung and NATO. The author and his coworkers are grateful to all these agencies.

References

I. Aliev , Yu.M ., Grosse , S. , Schluter, H., and Shivarova, A. (1996), Nonlinearity: Basis for selfconsistent modelling of surface wave produced plasmas in diffusion controlled regimes, Phys. Plasmas 3, 3162- 3175.

2. Kortshagen , U ., Schluter, H. , and Maximov, A. V. (1992) , Analytical Study of the Influence of Electron···Electron Collisions on the High Energy Part of the Electron Energy Distribution Function, Physica Scripta 46, 450- 456.

Page 321: Advanced Technologies Based on Wave and Beam Generated Plasmas

309

3. Miimken, G., Spatial profiles of a planar inductively coupled discharge in argon, J. Phys. D: Appl. Phys., submitted.

4. Aliev, Yu.M., Maximov, A.V., Kortshagen U., Schliiter, H., and Shivarova, A. (1995) , Modeling of microwave discharge in the presence of plasma resonances, Phy:;. Rev. E 51, 6091- 6103.

5. Bernstein, LB., and Holstein, T. (1954), Electron energy distributions in stationary discharges, Phys. Rev. 94, 1475 -1482.

6. Kortshagen, U. (1994), Experimental evidence on the nonlocality of the electron distribution function, Phys. Rev. E 49, 4369-4380.

7. Bohle, A., and Kortshagen, U. (1994), On the influence of excited atoms on the electron kinetics of a surface wave sustained argon plasma, Plasma Sources Sci. Techno!. 3, 80- 87.

8. Berndt, J., Kortshagen, U., and Schliiter, H. (1994), On the influence of metastable atoms on surface ---wave produced helium plasmas, J. Phys. D: Appl. Phys. 27, 1470---1479.

9. Ferreira, C.M., Lourero, J ., and Ricard, A. (1985), Populations in the metastable and the resonance levels of argon and stepwise ionization effects in a low-pressure argon positive column, J. Appl. Phys. 57, 82--90.

10. Sa, A.B., Ferreira, C.M., Pasquiers, S., Boisse-Laporte, C., Leprince, P. , and Marec, J. (1992), Effects of electron-electron col lisions on the characteristics of DC and microwave discharges in argon at low pressures, J. Phys. D: Appl. Phys. 25, 960- 966.

11. Sa, A.B ., Ferreira, C.M., Pasquiers, S., Boisse-Laporte, C., Leprince, P., and Marec, J. ( 1991 ), Self consistent modelling of surface wave produced discharges, J. Appl. Phys. 70, 4147-4158.

12. H.auchle, E. ( 1998) Duo-plasmaline, a surface wave sustained linearly extended discharge, Proc. 3rd Int. Workshop on Microwave Discharges: Fundamentals and Applications, Abbay de Fontevraud 1997, eds. Boisse-Laporte, C., and Marec, J ., J. de Physique IV, voL 8, pp. Pr7-99- Pr7-108.

13. Kortshagen, U., Busch, C ., and Tsendin, L.D. (1996), on simplifying approachs to the solution of the Boltzmann equation in spatially inhomogeneous plasmas , Plasma Sources Sci. Techno!. 5, 1- 17.

14. Grozev, D ., Kirov, K., Koleva, 1., Makasheva, K., and Shivarova, A. (1999): Waveguided stationary and nonstationary d ischarges: modeling and experiments, in: Advanced Technolo­gies Based on Wave and Beam Generated Plasmas, NATO AS! Sozopol, Bulgaria, May 22

June I , 1998, NATO ASI Series, eds. SchlUter, H., and Shivarova, A., Kluwer , Amsterdam 1999, pp. 245- 270.

15. Grosse, S., SchlUter, H., and Schliiter, M. (1998), Surface Wave Sustained Discharges, in: Electron Kinetics and Applications of Glow Discharges, eds. Kortshagcn, U ., and Tsendin, L.D ., NATO ASI Series B: Physics, vol. 367, Plenum Press, New York, pp. 423- 410.

16. Grosse, S., Schliiter, H., and SchlUter, M. (1997) , Selfconsistent Numerical Modelling of Surface Wave Sustained Discharges, Proc. XXIIIth Intern. Conf. Phenom. Ionized Gases, eds. Bordage, M.C., and Gleizes, A., Univ. Toulouse, vol. V, pp. V90- V91.

17. Iviargot, J., Moisan, M., and Ricard , A. (1991), Optical Radiation Efficiency of Surface-Wave Produced Plasmas as compared to DC Positive Columns, Appl. Spectr. 45, 260-271.

18. Kortshagen, U. ( 199:3), A non- local kinetic model applied to microwave produced plasmas in cylindrical geometry, J. Phy:;. D: Appl. Phys. 26, 1691 ··· 1699.

19. Alves, L.L., Gousset, G., and Ferreira, C.M. (1997) , Self- contained solution to the spatially inhomogeneous electron Boltzmann equation in a cylindrical plasma positive column, Phys . Rev. E , 55, 890- 906.

20. Schliiter, M. (1997), A numerical simulation of 2D plasma surface waves, J . Phy:;. D: Appl. Phys. 30, Lll L15 (1997).

21. Grosse, S. (1995), Untersuchungen an einem mikrowellenangeregten Oberftachenwellen­plasma in Argon, PhD thesis, Univ. Bochum.

22. (; rosse, S. ( 1999), Experimental Indications for the Existence of Plasma Resonances in Surface Wave Discharges, in; Advanced Technologies Based on Wave and Beam Generated Plasmas, NATO ASI Sozopol, Bulgaria, May 22 - June 1, 1998, NATO AS! Series, eds. Sc.hliiter, H., and Shivarova, A., Kluwer, Amsterdam 1999, pp. 517---518.

Page 322: Advanced Technologies Based on Wave and Beam Generated Plasmas

310

23. Grosse, S., Georgieva- Grosse, M., and Ghana.~hev, I. , and Schliiter, M. (1997), Influence of transversal plasma density inhomogeneity and collisions on surface wave propagation, J . Electromagnetic Waves and App/. 11, 609- 632 (1997).

24. Aliev, Yu .M., Berndt, J., Schliiter, H., and Shivarova, A., Theory on electromagnetic surface wave propagation in inhomogeneous plasmas, J. Electromagn. Waves and Appl. 9, 697- 733 (1995).

25. Aliev, Yu .M., and Schliiter H . (1996), Linear mode conversion of forward and backward waves in axially non- uniform plasmas, J. Phys . D: Appl. Phys. 29, 2519- 2521.

26 . Dias, F .M., Tatarova, E ., and Ferreira, C.M. (1998), Spatially resolved experimental investigation of a surface wave sustained discharge in nitrogen, J. Appl. Phys. 83, 4602- 4609.

27. Schliiter, H. (1995), Numerical Modelling in the Microwave Range, in: Plasma '95, ed. Rothkaehl, H., Polish Acad. Sciences, Warsaw, vol. 2, pp. 135- 142.

28. Himmel , G., Koleva, I., and Schliiter, H. (1997), Diagnostics on a Pulsed Surface Wave Discharge, Proc. 3rd Int . Workshop on Microwave Discharges: Fundamentals and Applica­tions, Abbay de Fontevraud 1997, eds. Boissc- Laporte, C., and Marec, J ., J. de Physique IV, vol. 8, pp . Pr7-327-Pr7-335.

29. Boke , M. (1998), Testwellendiagnostik und Emissionsspektroskopie an einem oberflachen­wellenerzeugten Argonplasma, thesis, Univ. Bochum.

30. Biike, M., Himmel, G., Kaleva, l., and Schliiter, M. (1998), Axial Variation of the Emission from Surface Wave Sustained Discharges, submitted to J. Phys. D: Appl. Phys.

31. Berndt , J., Grozev, D., Schluter, H., and Shivarova, A. (1998), Studies on surface wave dispersion in discharges produced by surfaces waves in view of diagnostics, to be submitted.

32. Berndt, J . (1995), Untersuchungen zum Ausbreitungsverhalten von Oberflachenwellen in axial inhomogenen Plasmen, PhD thesis, Univ. Bochum.

:-n . Berndt, J., Grozev, D., Schluter H., and Shivarova, A. (1999), Test surface waves as a diagnostics tool for SWSD, in: Advanced Technologies Based on Wave and Beam Generated Pla.<>mas, NATO ASI Sozopol, Bulgaria, May 22 - June 1, 1998, NATO ASI Series , eds. Schluter, H., and Shivarova, A., Kluwer, Amsterdam 1999, pp. 519···520 .

34. Zethoff, M. (1991), Untersuchungen an einem oberflachenwellenerzeugten Plasma im Regime starker Dampfung, PhD thesis, Univ. Bochum.

35. Stix , T.H. (1992), Waves in Plasmas, American Institute of Physics, New York. 36. Rauf, S., and Kushner, J. (1997), A self-consistent analytical model for non-collisional

heating, Plasma Sources Sci. Techno/. 6 , 518- 523. 37 . Aliev, Yu .M., Kaganovich, I. D. , and Schluter, H. (1997), Quasilinear theory of collisionless

electron heating in radio frequency gas discharges , Phys. Plasmas 4 , 2413-2421. 38. Godyak, V.A., Piejak, R.H., and Alexandrovich , B.M . (1998), Experimental Evidence of

Collisionless Power Absorption in Inductively Coupled Discharges, Phys . Refl. Letters 80, 3264-3267.

39. God yak , V.A., and Kolobov, V.I. ( 1998) , Effect of Collisionless Heating on Electron Energy Distribution in an Inductively Coupled Plasma, Phys. Rev. Letters 81, 369- 372.

~0. Cooperberg, D.G., and Birdsall, C.K . (1998) , Surface wave sustained plasmas in a metal bound plasma slab, Plasma Sources Sci. technol. 7, 11 5:-1.

Page 323: Advanced Technologies Based on Wave and Beam Generated Plasmas

TRAVELLING WAVE DISCHARGES IN NITROGEN: MODELLING AND

EXPERIMENT

C. M. FERREIRA F. M. DIAS. and E. TATAROVA.

Centro de Fisica de Plasmas, Jnstituto Superior Tecnico

Av. Rovisco Pais, 1 OY6 Lishon, Portugal

1. Introduction

This work is concerned with the study of travelling wave discharges in molecular gases. Specifically, as an illustrative example a theoretical and experimental study of a surface wave (SW) discharge in nitrogen is presented which incorporates a detailed self-consistent analysis of plasma kinetics and wave electrodynamics.

As it is well known. gas discharges sustained by SWs display distinctive features and advantages. The SW sustained discharge is produced by the field of travelling wave and needs no accompanying waveguide structure placed along the wave path because the wave creates its own propagation structure [ 1-4]. As the wave propagates the wave power is progressively absorbed by the plasma electrons which dissipate this power in collisions with the gas particles. The gas is therefore ionised. excited, and heated. The total wave power flux decreases with increasing distance from the SW launcher, as docs for instance the electron density. SW discharges exhibit therefore both axial and radial inhomogeneities of the plasma parameters which can play a significant role in the whole discharge ph:. sics [5-7].

A difficult aspect of SW discharges physics is the strong correlation between the plasma properties and the wave propagation characteristics. For this reason. a complete description of the discharge must be based on a self-consistent treatment of the

On leave lfom Faculty ufl'hysics. Sulia {_:novasity. B<; - 11(>4 Soli a

311

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 311-334. © 1999 Kluwer Academic Publishers.

Page 324: Advanced Technologies Based on Wave and Beam Generated Plasmas

312

discharge kinetics and of the wave electrodynamics. However, there exist only a few such self-consistent models in the literature, mostly for the simpler case of inert gases [4,8]. SW discharges in molecular gases. which are important for applications. have been much less investigated and the intricate nature of their operation is still poorly understood [9,10]. The principal reason for this is the intnnsic complexity of the kinetics of molecular gas discharges. To give an example. the electron kinetics is extremely complicated in nitrogen since it is strongly coupled with the kinetics of vibrationally excited molecules [II].

A systematic investigation of S W discharge physics requires. therefore. the development of sophisticated kinetic models along with spatially resolved diagnostics in order to get insight into the basic discharge workings. In the first part of the present article we present a model for a nitrogen SW discharge which accounts in a self­consistent way for the electron and the heavy panicles kinetics and the discharge electrodynamics [ 12]. The model is based on a set of coupled equations consisting of the electron Boltzmann equatiOn and the rate balance equations for the most important excited species - vibrationalh. N, ( X 1 I ... ,. ) . and electronically excited states. N, • - e •

(A'I: . a '1I:. B' ng. c'nu. a 1f1g) - and charged particles (e. N; . N~ ) in the

discharge. The field strength reqUired lor the steady-state discharge operation is obtained from the balance bet\\een the total rates of ionisation (including direct. stepwise and associative ionisation) and of electronic losses (due to diffusion to the wall and bulk recombination). The set of equations is closed by an ordinary differential equation which associ<ltes the axial gradient of the electron density to the wave attenuation. As a result. the interdependence between wave propagation <lnd discharge characteristics is self-consistentlY taken into account over the whole plasma column length. Then. we present spatially resolved measurements of the electron energy distribution function (EEDF). the gas and the vibrational temperatures. the population densities of some electromcall~ excited states. and the wave propagation characteristics. Such measurements pro,·ide a nllidation of the model. Further. experimental results are presented in the last part of the paper ·which demonstrate the importance of the spatial inhomogeneities in the operation of this type of dtscharge.

2. Model of a Nitrogen Surface Wave Sustained Discharge

The follO'wing situation is considered. An azimuthally symmetric (m = 0 mode) high frequency SW creates and sustains a nitrogen plasma column in a cylindrical dielectric discharge tube (of dielectric permittivit) ~;J ) with inside and outside radii a and h. respectively. The wave propagates along the z-a:xis with the wave vector y = (1 +}a. where ~ = 2 n I j._ is the axial wm e number and a is the attenuation coefficient.

The model developed is based on a self-consistent treatment of the electron and the hea,·y particles kinetics together with the ''ave electrodynamics. The measured gas

Page 325: Advanced Technologies Based on Wave and Beam Generated Plasmas

313

temperature axial profile is an input parameter of the model. In the following. we briefly discuss the set of equations and the assumptions used.

2.1. ELECTRON KINETICS

The electron energy distribution function (EEDF) is deternuned by solving the homogeneous electron Boltzmann equation in the local approximation. Assuming the anisotropies caused by the spatial inhomogeneties and the applied field to be sufficiently small, the Boltzmann equation is solved by the usual two-term expansion in spherical hannonics (sec [10] for details). The wave circular frequency ffi is supposed to be much larger than the characteristic frequency of electron energ) relaxation. so that the isotropic part of the distribution function may be regarded as time-independent. We further assume that the applied field is radially homogeneous. i.e., only a radially averaged field value is considered. Using the above assumptions and following standard procedures. the following integro-differential equation for the EEDF is obtained

_ ~ {[.!( Eeff ). _u_ + 2 F;,"( 11~ ). g( u )] dfo + [(jemku 2 + 3 F;,e( 11~ )h( U >]fn ( ll) ~· = du 3 N (),.m .\ du .\

L Ci.{(u + u .. )q . . (u +u .. ) t ;, (u +u .. ) -uq . . (u) fc,(u)} . . I lj If If · lj 1) · 1,)

+ ICi i { ( u - uij )q ji ( u- uij) (,1 ( u- uij)- uq ji ( u) f.., ( u)} ).1 ,,

with fo ( u) nonnalised such that J .1;, ( u) J;du = I. I)

(1)

Here. u = m)'1 I 2e is the electron energy in eV. N is the neutral gas density and

K = 2m./ M is twtce the electron-molecule mass ratio. the so-called energy transfer

coefficient for elastic collisions. The lirst term on the left-hand side of Eq. ( 1) accounts for electron heating by the HF field . The c!Tcctivc field strength

E - t_" Vcm(u)

- etT- fi[v~m(u)+o)"t"

accounts for the fact that heating in a HF field requires collisions. Note that this effective field is in general strongly energy-dependent. through its dependence on the momentum transfer collision frequency v eJ u) = NQem ( u )I· ( V is the electron

velocity) . The total momentum transfer cross-section ()em (u) includes the contributions

of both electron-neutral and electron- ion collisions .. The effect of electron-electron collisiOns is accounted for using the Fokker-Pian.k

equation _ which yields the two integral terms contauung the functions g( u) and h( u) given by

Page 326: Advanced Technologies Based on Wave and Beam Generated Plasmas

314

ll I ll

g(u) = J x' 2 t;,(x)dx+u 1' 2 jt;,(x)dx h(u) = J x 1' 2/;,(x)dx II

and the quantity/·~" defined as ;

L ' e-r"" =---,In/\

24m>~

where t\ the well-known Coulomb logarithm and ( u ) is the mean electron energy.

TABLE l . List of l:lcdron proccssl:s takl:n into account in the Boltzmamt equation

N XI,- " XI,- ' e + 2( ... g , v = 1) ___. " 2( '-' g , v =;) T ~ with 1.) = 0 -ll. 1 J

N X1'' .,. ' ' xh·-e + 1 2( '-',.;· v=;)__. l,,( "'.~· ''=1) · ~ with I.J = 0-X. I J

N X I,- - " ,. ~ ' 2( -g·v=O)__.,,,(l)·c

JorY=(A1 L~. a '1::: U' u'n~ . c ;nu . a1II., . B ' '~u·w'~u·E ·'L: .~ )

~ - 0i,(X 1 ~~.1'=0) ..... N2. ~ -~

A ''" e ... N2( · -u) ___. N 2 • e ,. ~

e · N 2( 13 111,.; ) ___. N i · e · e

e • N2(a' 1Iu)-> N ; · e • e

(L IJ

(Z2)

(L1)

(Z4)

The first sum on the right-hand side of Eq. (I) accounts for in- and out- scattering of electrons by inelastic collisions. respectively. into and out of the considered energy interval. The term i\ = VJV stands for the relative number density of the initial state

i, lJij for the cross-section for excitation from the i-th to the j-th state. ll;j for the

corresponding energy threshold. The second sum accounts for superelastic collisions in which an excited molecule supplies energy to the colliding electron. q ji denoting the

electron cross-section for deexcitation from the j-th to the i-th state. The ionisation has been treated like an excitation process. Superelastic collisions of electrons with electronically excited states were neglected. since in general f>, = N.j.\ « I for these

states. Consequently the ('\ · s refer on!~ to the fractional populations in the vibrational

levels of the electronic ground state. since vibrationally excited molecules constitute an appreciable fraction of the total molecular population. In generaL ,,t;1(u) is therefore a

functional of the vibrational distnbutJOn functiOn (VDF). f>,. = .\', / 1\ ' . X, denoting the

density ofvibrationally excited molecules in the v-th level.

Page 327: Advanced Technologies Based on Wave and Beam Generated Plasmas

315

The inelastic and supcrclastic processes taken into account in the electron Boltzmann equation are given in Table I. For ionisation of excited states by electron impact. the cross-section for direct electron impact ionisation with the appropriate shifts in the energy scale was used.

Equation (I) contains also all the information on the way the power absorbed by the electrons from the SW electric field is dissipated by collisions. In fact. the electron energy balance equation is obtained by multiplying Eq. (I) by the electron energy and integrating over all energies. which yields

(j • ,

, 2 e J 1·2( E )- v.n,(u) c!/0 d k( ) " < ) (2) o = --- u r:; [ , , ) - U = uv em + ~. u,1 V IJ

3 me v 2 v~ ( u) + w- du {) (.11/ lj

The left-hand side of the Eq. (2) represents the rate of energy deposition from the electric field per electron. i.e .. the mean input pO\\er per electron 8(z) at a given position z. Since E varies with z. 8 is also a function of the axial co-ordinate. The first term on the right-hand side represents. the mean elastic losses. The second term is the net rate of energy exchange with molecules via the processes of vibrational excitation and deexcitation as well as the losses by electronic excitation. The quantities <vii> are the corresponding frequencies

2.2. HEAVY PARTICLE KINETICS

2.2.1. Vibrational Distribution Function Since the EEDF is a functional of the vibrational distribution function, the latter must be obtained consistently with _t;, ( u) by coupling Eq. (I) to the set of rate balance

equations for the vibrational populations of the electronic ground state. The vibrational master equations can be written in a symbolic form as [ l 0]:

( c:LV) (c:L\' \ (c:L\') (c:L\' )N2-N ~ e V + d/ )y_y + d/ V - T + dt,. V-T

( c:LV,. ) ( dN,. ) ( c:LV,. ) ( c:LV,. ) ) + -- + - - + -- + -- -( dt w dt e-ll dt Y-D dt R

(3)

The various processes accounted for in Eq. (3) are listed in Table 2. We note that only single quantum transitions, which are the most likely ones. have

been considered in the V- V and V-T collisional exchange processes. The sole exception concerns V-T exchanges in N2-N collisions in which the effects of multiquantum transitions are known to be important. The V-D reactions take into account dissociation by V-V and V-T processes. which is modelled as a transition from the last bound level v = 45 to a pseudo level in the continuum.

Page 328: Advanced Technologies Based on Wave and Beam Generated Plasmas

316

TABLE 2. Kineti~s orNl ( X 1 L:_~ 'v) molecules

c-V e -· I\' 2(X 1I: ;,.v) <=> c • 'Jo(X II· .w) ·' g

V -- V "'·<XI '>· . v) ' :\•(X 1Y + . w) <::::> N•(X' '>' v-1) ~ N.(. w t l) . -g . -;: - -g ' -

V-T

V-T(N2--N)

w

c D

:\,(XII .~ - " ) + N, <=> :\o<X'I: > v-1) + :\,

N•(X1'>- . v) + N <=> N-( X 1'> .• w v) + N - - ;: - -g

N,(X 1 I: _~ .v) · N <=> :-.:+N,(X1I: ;, w v)

N•(X1Y- . v) - wall-> N . ( X 1'>+. v--1) - -g - -x c + N,<X"'' . v)-> e ·· :-.; • N -g

V D N.( X 1'> ·. ' ') · :\-1· X 1' ' ' . 1=45)-> :\.(X 1'> - .. v--1 !. - :\ • N - -g - -;: - -g

R

N, - l'\,(X 1 ~ - . 1=45)-> N, T :-.; - N g

N + N-> 'J,( XI ' · · . 1>=0) -g

2.2.2. Electronically .t.·xc:ited Molecules It has been found important to include in the model associative ionisation involving N2(A3L::) and N2(a. 1 L: ~ ) states and stepwise ionisation from N2(A1 L:~ ). NJ(a''I:) and N2( B1n~) states. Thus. in addition to all the electron and the vibrational kinetic

processes described above the model includes the reactions determining the population densities of the five excited electronic states N2( A1L:~. a 'I L:u. B3n~: , C3Du . a 1 D,).

TABLE 3 . Collisional-radiative: prot.:esses involvmg ekt.:tronit.:ally ext.:ited molet.:ulcs

Process

' I ' N,(a I~) ' N, (:\)-> N,(B-'Il~) + N, (:\)

N 2(C 3rlu) + N,(:\J-> N,(a .1Iu J - N,(:\)

111 . . ' '1\"' N,(a gJ-1\',(:\)->!'\,(a - ul :-.:,(:\)

N2(a 1flgl-> N,(a.1Lul c hf

N,(C ·1llul-> N,(B 1Ilg J - hf

N,(B-1fl~)-> N,(A1L: : ) · h(

N,( B-1n~) + 7':,-> N,(X) · N,

N,(A 3I~) T :-.:,(X)-> 27',

N 2(A 32: : ) + N-> N,(X) • N

l\:2(a 1llg)-> :-.;,(Xl- hf

1.9x 10 19

J.UxiO " ,-

2.0x 10

I.'Ji x l0 2 (s- 1)

D .<J x iU" (s - 1)

6.25xl0'1 (s . 1)

1 Ox 10 I'

1.0x !0 ' 22

S.Ox 10 "

Uhl04 (s 1 )

Page 329: Advanced Technologies Based on Wave and Beam Generated Plasmas

317

Assuming that the radial profile of excited particles is a zero-order Bessel function. the rate equations are deduced by taking into account the collisional and the radiative processes given in Tables I and 3.

2.2.3. Density ofPositive Ions In the present work we consider three species of charged particles: electrons and two

kind of positive ions. N; and N:. respectively. The charged particle concentrations

are assumed to obey the quasi-neutrality condition '

II,. = I X} . j ::; J

Hereafter, the subscripts j = 1.2 "ill be used to identity data related to N; (j = l) and

N; (j = 2). respectively.

The N; ions are created by electron impact iomsation of neutral molecules, step­

wise ionisation (see Table 1) and associative ionisation (see Table 4 ). while N; ions

are mainly created by associative ionisation processes involving N2(A1L: ) and

N2(a' 1 L~) metastable states. Due to the lack of data. we assume the same branching

ratio for the production of N; and N: by associative ionisation. The main processes of

removal of charged particle arc ambipolar diffusion to the wall and bulk dissociative recombination (Table 5). The electron rate coefficients for dissociative recombination

of N; and N: have been calculat..::d using senu-empirical formulas as a function of the

electron kinetic temperature '/ ~. [ 10].

TABLE 4. i\ssoclatn·.; wmsatwn proccss.;s

N~ +e

N~ + c

Assuming quasincutrahty. amb1polar llow conditions 11" v .. = '£.,\ '1 V1 • and

proportionality. "Vn,, In" = "VN1 I .\'1 . we can express the transport of charged particles

to the wall in tenns of ambipolar diffusion coefficients. given by respectively:

Page 330: Advanced Technologies Based on Wave and Beam Generated Plasmas

318

Due = _ __:...1 ==------'1'------L VJ!J-1 +lleiJ.e 1

[ /J1 I .V1 ~J- 1 -~A 1 I X1D1 ]+ne (~J-1 De + ~J.J)1 ) D = 1 1

"J I .V11J.J +11e1Ae

1

Here. DJ is the ion free diffusion coefficient. ;..t, and Jle are the ion and the electron mobility. respectively.

TABLE 5. Disso~.: tallVt! rt:wmhination of ions

e' N2 ~ N +N

e~N4 --+N 2 +N2

2.3. GAS TEMPERATURE

--·- - ··---

t t 1 1 =4.Xx IW 1\300!7;j 2 (m\ 1)

u,1 = 2.0 x I0- 12 (.100/ 7;,f2 <m \ ·'>

The gas temperature i'~ is a key parameter in the discharge balance. It strongly

influences the VDF of nitrogen molecules. the rate coefficients of various elementary processes. and as a result the discharge electrodynamics. Variations of T~ in SW

discharges occur both in the axial and the radial directions. HoweYer. as a first approximation. the radi<1l variations of ~~ ha\'e been neglected 111 the present modeL

For simplicity. the gas temperature <L\lal changes arc incorporalcd using an cmpincal formula fitting the experimental data (sec below).

2 . ~. MAINTAINING ELECTRIC FIELD

The discharge maintaining tield is self-consistently deternuned by solving the continuity equations for the electrons and the positive ions N; and N~. A balance between the rates of charged particle production and loss has to be obeyed. which self­consistently determines this field . Thus. the field strength necessary tor the steady-state discharge operation is obtained from the balance between the total rate of ionisation. including direct. associative [im·ohing collisions between the metastable species N2(A'2:: ) and N2(a . 1 2: ~ )] and stepwise ionisalion from N:(A12:~ ). N2(a .12:: ) and

Page 331: Advanced Technologies Based on Wave and Beam Generated Plasmas

319

N2( B3n g), and the total rate of electronic losses due to diffusion to the wall. in the

presence of N; and N; ions. and bulk electron-ion recombination [10].

Taking into account the ionisation and recombination processes described in Tables I. 3. 4, 5, with the corresponding rate coefficients. along with charge neutrality one obtains the following electron continuity equation:

n,.NZ1 +n,.N(A)Z2 +n,.N(lJ)Z~ +n"l'v'(a' )L4 + N(a' )N(A)ka.,1 + N(a' )2 ka,2 = D,'" ·\' ·\' 11e-,-+c.t,.1n,., I +a.,.,ne ' , A~rr - -

(4)

where A, a' and B denote the N2<A-'2::: ). N2(a. 1 2::~) and N2(B30g) states. respectively.

Da, is the ambipolar diffusion coefficient of electrons (in the presence of the t\\·o types of positive ions), A.1r is an effective diffusion length (which is determined assuming that both types of ions reach the corresponding ion sound speed at the plasma-sheath boundary), and N1, N1 stand for the N; and N~ ion densities.

2.5. DISCHARGE ELECTRODYNAMICS

As pointed out before, SW discharges link 111 self-consistent way the wave electrodynamic characteristics and the behaviour of the plasma parameters. Therefore. to describe the fact that the discharge is sustained by a travelling wave the quantities characterising the wave behaviOur. as the dispersion characteristics and the variation of the wave power, must be incorporated in the model.

2.5.1. ."lur.face H"ave Propagation ( 'haracreristics We consider a cold. collisional plasma column which is assumed weakly inhomogeneous in the axial direction (gcomctncal optics approach). for simplicity. In this case. as far as wave propagation is concerned, the plasma response can be described by the frequency-dependent dielectric permittivity Er. By following the

analvsis in [ 13]. the relati,·c pernuttl\ II\ 1s gl\cn b~ .

( ! 1~(:) 1:P(r.z) = 1--~·--­

(!l (!"+/\'err)

where the co-dependent effective values v c~r and ndr arc defined b~· the expressions:

v cnP' 2 df;, d u'' 2 d.J;, d ,, ;·t v t:fl" = . , - ., _.- ll . ., ., - -- u f \'~m +ttl- du f \'~m +It)- du

II (I

[ ~ l 11 . 2 ,/ 2 dfr ) l

_s.!L = --J · 0 du (v- ·+co-) _ ., ' ' d eft ne ., v~111 +Ctl - u

"

(5)

(6)

(7)

Page 332: Advanced Technologies Based on Wave and Beam Generated Plasmas

320

Here. (J) r (z) = [netr (z)e2 /m"f;u J1 2 is the ch::ctron plasma angular frequency. The plasma

is considered radially homogeneous with an average electron density "

n"(Z) = 2,-Jn.,(r .z)rdr. As pointed out in [ ll]. considering these c!Tectivc parameters a-

" is essential when wave propagallon •s mvestigated smce the electron-neutral collision frequency for momentum transfer " em (u) is strongly energy dependent in nitrogen.

The wave dispersion properties arc obtained as usual by considering the equations expressing the continuity of the field tangential components across the plasma-glass (r = a) and glass-air (r = h) interfaces. This yields a set of homogeneous equations with respect to the electric field components. The corresponding determinant must vanish in order that a non-trivial solution exists. The latter relation provides a local electrodynamical dispersion equation (DE). which can symbolically be expressed as [ 12]:

o[ cu I (•1 P ( z ). C•la I c.' c~r ( z) I C•l . ~;J . y] = 0 (X)

The numerical c;.~lculations are made 111 complex algebra. Equatwn (X) is solved locally at each position z within the geometncal optics approach. In accordance with the experimental situation. we searched solutions for constant wand vanable netr (z) and v01r I ul. For each value of ' 'etr I C•l . the real parameters a. "ctl w (z) and ~vetr ·~ (Z) arc

obtained versus netr ( z) .

2.5.2. Wave-i(J- Plasma Power ( 'uupling As the wave propagates away from the l<Juncher. both the wave power and the plasma density decrease along the discharge length. Clearly. the theoretical treatment of the wave-to-plasma power coupling must be based on a simultaneous solution of the wave power balance equation and the electron energy balance equation (2). The latter associates the wave power w1th the electron energy losses in the discharge ensuring the plasma maintenance. Such a procedure allows one to find a relationship that must be introduced in the initial set of equations in order to close the formulation. To find this expression. we follow the same analysis as in [4].

The wave power flow in the direction of the wave propagation at the position z is:

S(z) = S(z.,)exp[ -2 f•>(z'Jdz'] For simplicity. we assume that u. depends on the axial posJtwn only through n"(Z).

The mechanism of power transfer can be expressed quantitatively by introducing H . the mean absorbed power per electron. Under steady state conditions. the spatial rate of change dSidz is due to the power transferred to the electrons per unit discharge length. The local power balance equation is therefore

Page 333: Advanced Technologies Based on Wave and Beam Generated Plasmas

fl( z )nn' n, ( z) = 2" ( z ).1'( ' " ) cxp[ -!. 2"( z') dz'] By differentiating (lJ) we readily obtain

321

(lJ)

(10)

This ordinary differential equation com::lates in a self-consistent way the axial variation of the wave charactenstics and the discharge parameters. This is. therefore. a basic equation expressing self-consistently the wave-to- plasma power coupling.

The attenuation coefficient a and dct I di1, are derived from the dispersion

equation (g), while 8(z) IS obtained by solving the Boltzmann equation and the electron energy balance equation (2). Numerical integratiOn of Eq. (10) directly yields the axial electron density profile n" ( z). Therefore. by repeating the calculation for cx.(z), ne(z) and 8(z) from slice to slice along the plasma column. a complete

longitudinal description of the discharge can be achieved.

Figure 1.

J. Results and Experimental Validation of the Model

Calculations and measurements have been petformed for a SW discharge operated at 500 MHz at p = 0.5 Torr nitrogen pressure in a pyrex tube with inner and outer radii a = 2.25 em and h = 2.5 em. respectively. In the experiment. the gas was introduced with

Page 334: Advanced Technologies Based on Wave and Beam Generated Plasmas

322

a low rate ( () = 70 seem) to ensure that the gas residence time Ill the discharge is sufficiently high and steady-state conditJOliS prevail.

Measured (*) and calculated (-) EEDFs at dilTcre11t axial positio11s arc compared in Fig. l . The predicted and the measured EEDfs arc similar in shape and agree rather well. apart from some de\ iations Ill the I0\1 cnerg~ range which can be atlnbutcd to inevitable experimental dr;m-backs [S.I·lJ At this point. it has to be underlined that no free parameters arc used in the model to fit the cxpcnmental results. For instance. the clectnc field and the VDF. which ha\·e great influence on the the EEDF shape. are determined self-consistently. As predicted b~ the theoretical model. the experimental results confirm that the genewl shape of EEDF is mainly mled by electron- molecule energy exchange processes. The fast decrease of the EEDF in the energy range 1.5-3.0 eV reflects the rapid rise. by approximately two orders of magnitude, of the vibrational cross-sections in this range.

40 0

Figure:!.

As seen from fig . I. the theoretical EEDFs change lillie in shape along the plasma column length. The experimental results exhibit the same behaviour except close to the column end. where an enhancement of the high cner~:.'Y part of the distribution is observed. Different reasons can explain the discrepancies observed in this region. First of alL close to the end there exist strong axial gradients which lead to complicated processes of particle diffusion in configuration and energy space. and therefore invalidate the basic model assumptions. The experimental EEDFs close to the end arc indicative of a higher maintaining field than predicted by the theorJ. This can be due to significant axial particle losses 111 this region (.1z"" R) . which require a more accurate 2-D formulation of the ionisation balance. Further. due to the higher degree of

Page 335: Advanced Technologies Based on Wave and Beam Generated Plasmas

323

anisotropy near the end the two-term expansion of the Boltzmann equation may also become invalid.

The axial variation of the calculated vibrational distribution function (VDF) is shown in Fig. 2. The shape of these VDFs results from the combined effects of e-V and V-V exchanges at low v-levcls. of near-resonant V-V exchanges at intcnnediate levels. which tend to form a plateau in this region. and to the simultaneous effects of vibrational dissociation and V-T exchanges at the higher levels. As it can be seen. the calculated VDFs arc characterised by an extended plateau. which is indicative of important ncar-resonant V-V exchanges. For higher vibrational levels. V-T exchanges in N2-N collisions and vibrational dissociation become predominant and the VDF falls off abruptly. Calculated vibrational temperatures Tv (as a measure of the degree of vibrational excitation) are compared in Fig. 3 with experimental data inferred from the nitrogen 2+- system emission. The calculations arc overestimated by about 40 %. This can be due to existing uncertainties about the values of the V-V and V-T rates. As a result of the decrease of the degree of ionisation ne I !V and the gas temperature

towards the end. a decrease of Tv IS also observed both theoretically and experimentally. The results clearly show that the vibrationally excited states are less populated near the end than near the launcher.

14x1o'

- n,. theo!y

• n" experiment

· · N: theory

1.0<1o'

g ..

1 I- '>80x1o'

l 6.0x101

IIII I I 40<1o' • e:.peri ment

2.0x10' · theory

- - - N," theory

IIII . ~- - --------------------

2 4 6 8 10 12 14 16 18 20 22 5 10 15 20

~(em) \Z(cm)

F('t{rwe 3. F(l{llre .J.

Calculated charged particle (C. N 2 and N4 ) axial density profiles are shown in

Fig. 4. Experimental electron dens it~ data (radially averaged) are also shown as obtained by numerical integratiOn ove1 the measured EEDFs and from the ion saturation current. for large negative voltages applied to the probe [7]. It is seen that the measured ne (z) profile compares well with the theoretical one. It is found that the

Page 336: Advanced Technologies Based on Wave and Beam Generated Plasmas

324

electron density decreases almost linearly along the column except ncar the end. N; is

the predominant ion under the conditions considered. Remember that this ion is principally created by electron impact and associative ionisation. while associative

ionisation is the main source of N~. The ionisation flux driven by direct electron

impact ionisation, which is the main channel for charged particle creation under the

present conditions, and the faster bulk destruction of N; make that the concentration

of this ion is small compared to that of N: .

2 0

6fiJ 1.8

"' ~

~ ~-

~1.4 -- --- ----E

12

10 5 10 15 20

~(em)

hgure 5.

3.0

25

20"E

<!. ~

15 -12

~ 10

0.5

O.C

10'3

10 '

~ i\1 1 10 '

- ~ '!II ~10-5

10'

10 8

Sin .. s .... ... . .

a'n g

10 15

\Z (cm)

Figure 5 shows the sclf-consistentl~ determined electric field along the column. It is seen that the maintaining field increases along most of the discharge length. a faster increase being observed close to the end. A full understanding of this behaviour is complex since all parameters affecting the field value vary along the column.

Despite of the increase of E. the increase of N towards the end (Tg decreases) results in a slight decrease of the reduced maintaining field DN in this direction (fig. 5). The mean absorbed power per electron increases from about l.75 x 10' eV s- 1 at the

launcher to about 3.0x 107 eV s 1 at the end. following the approximate law tl oc E 2 .

The axial dependence of the population of some electronically excited states is shown in Fig. 6. The simultaneous decrease of the electron density and the excitation rate coefficients towards the end overcome the increase in gas density, hence the relative populations of the excited states decrease. To check this prediction. the axial variation

of the N2 (C3n. ~ B3ll,)-:B7 nm-transition intensity is compared to that of the

predicted N2 ( C3ll 11 ) state population in Fig. 7 (note that the latter state is mainly lost

by radiatiYe decay). The measurement s ha\ c been normalised to the maximum

Page 337: Advanced Technologies Based on Wave and Beam Generated Plasmas

325

intensity value and the predictions to the calculated population density at the same axial position as the maximum intensity. As seen from Fig. 7, experiment and calculations agree quite well. This gives some confidence to the calculated population density profiles shown in Fig. 6.

• elCpellmert .... . theoly

• e:.;peri ment 075 -theory

•·.

N (dn ->s"ln ) '-= 337 rm 2 v g

~ ~~

···· ·""-·· ··· 025

0~0~~--~5--~~10--~~1~5~~~~~ OUJOL....--~..........,5~~~'-':10~~~'---:':15~....._,

t>Z (em) ~ (em)

Figw·e 7. F(IJllre 8.

As pointed out before, the SW electrodynamics and the particle kinetics arc strongly correlated. For this reason, the local dispersion equation (8) is solved at each axial position using local effective values of the electron density and the collision frequency for momentum transfer obtained from the calculated EEDF and the measured gas temperature at the considered position. In order to account for the axial variation of v cff I u) , the wave attenuation is expressed through the dependence of the attenuation coefficient on the axial co-ordinate in Fig. 8. There is a gradual increase of the attenuation coefficient rx ( z) along a major part of the column. but a sharp rise occurs close to the end. as both thcorcucal and experimental results demonstrate. This is a consequence of the simultaneous decrease of the electron denslty and increase of the collision frequency towards the end. The attenuation coefficient o. reaches the value of the axial wave number 11 at a distance of about 5 em. i.e .. at (M"" 2R). from the end. Good agreement between expenmcnt and theory is observed in Fig. X along most of the column. The differences close to the end arc likely caused by the limited accuracy of the geometrical optics approach in this region. due to the sharp variation of the plasma parameters here.

In conclusion, the experimental results confirm the main trends of the model predictions along most of the plasma column. Severe discrepancies occur only at the very end of the discharge. This part of the discharge requires a 2-D treatment. but this

Page 338: Advanced Technologies Based on Wave and Beam Generated Plasmas

326

task seems intractable in the framework of a self-consistent formulation. For this reason, the theoretical results obtained here for the ··end region" ( .1.! :::: R) should be

regarded as merely indicative.

4. Sllatiallnhomogeneity Etl'ects in SW Sustained Discharges

The application of different experimental methods to investigate the spatial non­uniformity of SW discharges is important to get deeper insights on the basic discharge operating mechanisms [ 6. 7].

Various experimental methods have been used so far for the diagnostic of SW discharges. Radiophysics methods have widely been used (see [4.5,14]) to detennine the SW propagation characteristics in the framework of the geometrical optics approach, the electric field distribution and the electron density. The use of the phase diagram as a diagnostic tool is restricted. however. to low w/wP values. Spectroscopic methods have also been widely used to investigate the distribution of some discharge parameters as the population densities of excited states, the gas and the vibrational temperature, etc. Since the whole discharge kinetics is to a large extent controlled by the EEDF. reliable measurements of this distribution are also essential to understand the discharge workings. Such measurements can be performed using the probe second derivative technique [7]. Local probe measurements (averaged over a distance of the order of the electron mean free path ) provide spatially resolved values of some discharge parameters and are therefore extremely useful to investigate the discharge structure. The application of this technique in SW discharges has however some peculiarities as previously discussed in {S. 7.14.15.16].

In the following we present and discuss some experimental results illustrating the effects of inhomogeneities on the discharge kinetics and the wave electrodynamics.

4.1. THE EEDF UNDER THE NONUNIFORM SW FIELD

Two different appro<Jches are usually considered to treat the electron kinetics in inhomogeneous plasmas. If the diffusion time of the electrons across the discharge tube is much shorter than the characteristic time for electron energy relaxation through all kinds of collisions, the distribution function is locally out of equilibrium with the applied electric field. Local equilibrium prevails in the reverse situation. Therefore, a rough criterion for locality is that

[ " "" + 2(111" I .\l)vem + \'""+vex]~ > I D,

where v "" and v em arc the frequencies of electron-electron and momentun transfer

electron-neutral collisions, v",. and v"" arc the frequencies of vibrational and

electronic excitation. D, is the characteristic electron diffusion coefficient under the

Page 339: Advanced Technologies Based on Wave and Beam Generated Plasmas

327

presence of the space charge field. and 1\. e denotes the characteristic diffusion length

for the considered geometry.

• ~0 2 00 0.2 0.4 0.6

riR

Figure 9.

(b)

UM IU

" ~

a - r/R = O

b - riR = 0.44

~10"3 r...,...::>

(a

Hr' ,'-l ----7-~-:'10::--~---:1'!-:S--'---~:!ll U( ~\1)

6

,-. ':§, 4 1\

,=? '

2

(b) h~o--~0~2::---~0.~4 --~0~6--~o·x

r/R

Figure 10.

The above criterion can also be recast in tenns of spatial scales. The local situation corresponds to /\. , >> maxA.,: (u), and the non-local one to A.<< minA.,:(u), where

A.,: (u) ~ 1-, (u){ v em I ( v "" + 2(m" I Al) v em+ v.," +vex ]} 112 is the ener!,>y relaxation length

of an electron with energy u. No investigations on the transition between the local and the non-local regimes in

molecular SW sustained plasmas have been carried out so far. For this reason, we have carried out an experimental investigation aimed at observing such a transition and providing infonnation about the range of validity of each regime.

Figure 9 (a) shows the EEDF measured at several radial positions and at a constant distance t:.z from the end of the plasma ~olumn. for 0.5 Torr nitrogen pressure and a tube radius of 2.25 em. All curves are normalised to the local electron density relative to the central density. As seen from this figure, the EEDFs change radially and exhibit an enhanced tail as the radius increases. This behaviour is due to the increase in the SW electric field towards the wall. As a consequence. the mean electron energy as obtained by numerical integration over the measured EEDFs also mcreases towards the boundary. We can conclude that the EEDF is nearly in local equilibrium with the applied field under the present conditions. In fact . the estimated electron energy

Page 340: Advanced Technologies Based on Wave and Beam Generated Plasmas

328

relaxation length for energies in the range (2- 10) eV is A., (u) - (0.6-0.2) em and 1s

indeed considerably smaller than the tube radius. On the contrary, as shown in Figs. 10 (a) and (b) the EEDF and the mean electron

energy are nearly constant across the tube at a pressure p = 0.05 Torr. Due to the high degree of ionisation ( 10'4 ) at this pressure, energy exchanges between electrons play now an important role so that the EEDF is nearly Maxwellised. At this low pressure. one has A."(u)- (2-12) em > Ac. for u = (2-10 eV). so that non-local conditions prevail. Under these circumstances. it would be appropriate to solve the Boltzmann equation using the so-called non-local approach developed by various authors for the classical de positive column (sec. e.g . [l::]) . Since the electron motion between successive collisions is like an oscillation in the space charge potential well. with constant total energy. the non-local approach is based on a kinetic formulation in terms of total energy (i.e. kinetic plus potential energy). Such a formulation is appropriate for vel)' low pressures. On the contrary. a formulation in terms of the sole kinetic energy was adopted in [ 17] to work out the solution to the spatially inhomogeneous Boltzmann equation. The latter formulation seems more appropriate for intermediate and higher pressures.

In a molecular plasma. electron energy relaxation occurs faster than in inert gases due to the excitation of molecular vibrations and rotations. Therefore. the transition from the local to the non-local regime occurs at lower gas pressures than for inert gases. For nitrogen pressures above a few tenths of a Torr (for R - 2 em). the present results show that the EEDF may be well described assuming local equilibrium with the field.

4.2. GAS AND WALL TEMPERATURE AXIAL DISTRIBUTIONS

As mentioned before. the gas temperature is an unportant parameter of the discharge. Due to the non-uniform distribution of the wave power absorption along the plasma column, both axial and radial variations of the gas temperature should be taken into account. Moreover. the importance of surface processes, whose rates are usually strongly dependent on the wall temperature. raises the need for data concerning the wall temperature. 7~ .

Figure 11 reports measurements of the radially averaged gas temperature 7_~ along

the plasma column length. The gas temperature was detennined from the rotational

distribution of the 2 t- positive system N 2 ( c1n u. v' ) -+ N 2 ( B3flg , v' ' ) assuming

that the rotational and the translational modes arc in equilibrium [1 2]. The rotational

temperature was determined from the N2(c3nu_v' = 0)-+N2 tB3flg.v" = O)

rotational spectrum in the 375.5-379.0 nm \Yavelength range.

Page 341: Advanced Technologies Based on Wave and Beam Generated Plasmas

329

It is seen that Tg is about 800 K close to the SW launcher and slowly decreases

along most of the column. A steeper variation occurs. however. in the "end region".

: I +l I g600 I· ··I· !I I 1 • ex.perimenl 1-"' . · ·. · · · · fitting curve

500 .

400

300

p= 0.5Torr

Q= 70sccm

8 10 12 14 16 18 20

t;z. (em)

f ''(\f!lrl! 11. Axial variation ofT !{

400

360 I I

III

II III

g end olthe disch•rg• 1 I ._~ 340 I

: I

320

300 ------- -- --: --- room temperature

280

5 10 15 L(~

l•lgzu-e 12. A'IJ.UI variation of Tw.

where Tg rapidly drops to values closer to the room temperature. The observed non­

linear variation of the gas temperature is related to the non-linear decrease of the deposited power.

The corresponding variation of the wall temperature under the same conditions is shown in Fig. 12. An infrared sensitive, non-perturbing measurement of Tw was performed by an electro-optical thennometer. Note that the wall was cooled only by natural convection in this experiment. The values of T;. are approximately one half of the average gas temperature.

Due to the axial variation of the gas temperature. and consequently of the neutral gas density, the ratio v etr I w also changes axially as seen in Fig. 13 (a). The values of v ell I 01. obtained from the measured EEDF and gas temperature. increase towards the column end. which can be explained by the mcrease in density. The faster variation of v etr I w close to the end mirrors the sharp drop of the gas temperature in this region. This behaviour of v ell I c,l can be related to the variation of the axial wave number r1 along the discharge shown in Fig. 11 (b). A well pronounced decrease of ~ towards the end is observed. As predicted by the theory. the increase of collisions along the discharge constricts the range of accessible wave numbers to lower values [ 18.19).

Page 342: Advanced Technologies Based on Wave and Beam Generated Plasmas

330

0.9

(a)

QB

0 0.7

f I ~

I I ;..

0.6

03

'E ()

=o2 • .. ~· ...

(b)

.... : .: .. . ; ...... · , .. . ..

.. .. :, ...

. . ·;· -~ .. ·: " l'

0.50 5 10 15 0'1 .__..~_5.,_..~~~1 .... 0~~~,___,15~......__.

D.Z(cm) c'lZ(cm)

hlgure 13. Variation of v er/()) awl a.\lal wave 11W1Jber 1-1 along the plasnu wlwnn length

4.3. SURFACE WAVE PROPAGATION CHARACTERISTICS

In order to investigate the SW propagation characteristics. axially resolved measurements of the dispersion characteristics have been performed along with local measurements of the EEDF and of the plasma radial density profile. in order to get averaged quantities over the electron energies ( nctr. v df) and the plasma cross-section

<n. ). The experimental set-up and operating frequency were the same as before. but an

outer cylindrical metallic shield of 4.X cm in radius was now used. The HF power was set in such a way that the wave does not reach the tube extremities and the plasma is sustained by a purely travelling wave. i.e. the wave is progressively damped and no reflection is observed. The working nitrogen pressures ranged from o.:n to 0.62 Torr.

The phase. (o) I w r = f ( PR). and the attenuation. (o) I w P = f ( cxR.). diagrams have

been obtained using the following procedure. Phase and amplitude sensitive recordings using a vector voltmeter along the wave path provide the axial phase. <I>(z). and amplitude. A(z) . profiles. Numerical differentiation yields the local values of the axial \vave number ()( z) and of the attenuation coefficient a ( z). Two methods have been

applied to obtain the electron density. namely. numerical integration of the experimentally obtained EEDFs and probe measurements of the ion current at high negative voltages applied to the probe. It was found that the radial plasma density

. r . Profiles can be well fitted bv the Bessel function J ,,()l - ). With ~l = 2.0. along most . . H.

of the plasma column. The effcctn e parameters v e~r and n"11 were obtained by

Page 343: Advanced Technologies Based on Wave and Beam Generated Plasmas

331

numerical integration over the measured EEDF, using Eqs. (6) and (7). The attenuation coefficient was obtained from the axial variation of the wave power as determined by measurements of the radial electric field component close to the shield along with the theoretical relationship between the power and the field at this location. To detennine a. in this way a radially homogeneous plasma column was assumed for simplicity [20]. Since measurements of the gas temperature were not yet performed in the present experiment, this temperature was assumed to be 900 K. This value is likely to be representative of the conditions in the bulk column under the present conditions.

0.4 0.6 0.8

r1R

v.,/'"=041

-'"=0 ----- ;•=2.0

(a)

1.0

0-

~ 8 0.4

02 v.,/'v :::0.41

-'"=0 ------ ).1=2.0

(b)

o.oo~.o--~o~.5----,~n~~,~5~~~2o~~25

uR

Ngure l-1. Phase (a) <UlJ atlt..11Wtton Jiagranl> (b) (}1 = O.:n Torr) .

Figures 14 and 15 show the bchanour of the wave phase and attenuation diagrams at O.J7 and 0.62 Torr nitrogen pressure_ respectiVely. The theoretical curves shown were calculated assuming either a radially homogeneous plasma column ( 1-l = 0) or the

above Bessel profile with ~L = 2. 0 [20]. Notable features of the experimental results are

the observed "turn back" of the axial wave number ~and the restriction in the range of

accessible wave numbers ~ when the ratio v dT I w increases. The maximum

experimental value of ~R reached at the lower pressure is 0.95 (Fig.l4 (a) _ p = O.J7

Torr: v01r lw = 0.41), while at the higher pressure this value is (U\5 (Fig. 16 (a). p = 0.62 Torr: v etT I w = 0.69).

The effects of the plasma radial inhomogeneity on the w·ave propagation can be recognized in the phase diagram of Fig. 14 (a). In fact. the measured ~R values are

smaller than those calculated assuming a homogeneous plasma column. As it is well known, the effects of the plasma mhomogeneity on the propagation characteristics are mostly felt at higher ~R values. In our case, such effects become significant for ~R

Page 344: Advanced Technologies Based on Wave and Beam Generated Plasmas

332

values of the order of the unity [Fig. 14(a)j. For higher ven I fll values. such effects arc strongly reduced due to collisions as it can be seen from Fig.15 (a). since the accessible wave numbers are restricted to lower values.

02

02 04 Q6 pR

v0 ,/w =0.00

-·· = 0 ------ ,, = 2.0

(a)

Q8 1.0 12

veJw =O.E9

_ f• =O

--J.l=20 (b)

aoL-~~~~--~~~~~~~

0.0 Q5 1.0 1.5 2.0 25 u.R

F(r,;ure 15. Phase (a) anJ attenuation (b) iliagpuns (p = 0.62 Torr)

In what concerns the attenuatiou. mspection of Figs.l4 (b) and 15 (b) reveals that the theoretical results fit well the experimental ones for w I (1) P < 0.6. For w I w P >

0.6, the experimental data start to deviate significantly from the calculations. From Figs. 14 and 15 we can conclude that the experimental and the theoretical

results are in reasonable agreement up to w I u) P - 0.6. This value of w I w P

corresponds to a distance ru,.,1 ""2R from the column end. At this distance from the end. the experimental a. values reach those of (3 and a sharp drop of the effective electron density occurs [20]. Close to the end, the density of neutrals, and consequently v.rr I w. rapidly increase due to the expected sharp decrease of the gas temperature in this region. It should be noted that the constant values of v eli I w used to calculate the theoretical curves correspond to the bulk plasma column, where no strong variation of v eli I (J) is expected to occur.

The observed differences between experimental and theoretical results for ul I w r >

0.6 (shaded region in Figs.l4 and 15) can be attributed to t11eoretical shortcomings in describing this region. As noted before the most important of these is likely to be the breakdown of the geometrical optics approach to describe the SW propagation in the region llzm1 "' 2R.

Page 345: Advanced Technologies Based on Wave and Beam Generated Plasmas

333

5. Conclusions

A theoretical model accounting in a self-consistent way for the electron and the heavy particle kinetics and for the wave electrodynamics has been developed for a high frequency discharge sustained by travclliug surface wave in mtrogen. Consistently with the SW propagation characteristics. tlus model determines the axial structure of the discharge. that is. the axial variation of the most important discharge parameters including: the electron energy distribution function (EEDF) and its moments: the vibrational distribution function of the electronic ground state N 2 (X 1I;): the

concentrations of the states N2( A; I~. a·1 I:. s ·;n~. C;nu. a 1flg) and of the ions N;

and N;; and the discharge maintaining electric field. A spatially resolved experimental investigation of the discharge structure was also

carried out and shown to validate the main trends predicted by the model. A strong correlation between plasma properties and wave electrodynamics has been shown to exist. We have further shown that space resolved measurements are of great importance to get insight into the basic workings of SW discharges. For example, by using the second derivative probe technique we have obtained local measurements of the EEDF and investigated for the first time the transition from non-local to nearly local equilibrium in nitrogen. In what concerns the SW propagation characteristics, it was shown that the increase in the number of collisions in the discharge end region at a given pressure. or with increasmg pressure. constricts the range of accessible wave numbers to lower values. Collisions influence the wave propagation by changing the field penetration into the plasma and cause a strong correlation between wave electrodynamics and particle kinetics which must be accounted for.

It is clear that the model developed in this work needs to be improved along various directions. Improvements will be. however. difficult to achieve in the framework of the present self-consistent approach due to the complexity of the nitrogen discharge kinetics and the strongly inhomogeneous nature of the SW discharge. The non-linear absorption of the wave pO\vcr along the generated plasma waveguide causes strong axial and radial changes in the electron and the gas density which strongly influence the discharge kinetics and the wave electrodynamics. The study carried out here shows that it will be necessary to include in the future a detailed analysis of the gas thennal balance to determine the spatial distribution of the gas temperature in order to improve the discharge modelling. Further improvements will also be necessary to deal adequately with. e.g .. nitrogen dissociation and surface reactions affecting the gas phase species concentrations.

Acknowledgements

This work was supported by the Portuguese Ministry of Science and Technology through the PRAXIS XXI Programme. partly funded by the European Union. The

Page 346: Advanced Technologies Based on Wave and Beam Generated Plasmas

334

authors express their gratitude to Prof. A. Ricard , V. Guerra and J. Henriques for their active co-operation.

References

I. Ferreira, C.M and Moisan M. ( 1988) The similarity laws for the maintenance lidd and the absorbed power per electron in low-pressure surface waw produced plasmas and their extension to HF plasmas in general.

Phys. Scnpta 38,382-399. 2. Ferreira, C.M. (1981) Theory of a plasma C<llumn sustained by a surlace wave, J. i'hys. IJ: Appl. Phys. 14.

1811- 1830. 1. Ferreira, C.M. ( 1983) Moddling <lf a hlw-pressure plasma colunm sustained by a surface wave. J. Phys. D:

Appl. Phys. 16, 1671-1685. 4. Sa, A.B .. Ferreira, C. M .. l'a.,yuiers. S .. 13oissse-Laporte. C .. Leprince, P .. and Marec, J. (1991) Sell~

c0nsistent modeling of surface wave produced discharges at low pressures, J. Appl. Phys. 70, 4147-4158. 5. Tatarova , E. and Zamlirov, D. (1995) A radially resolved experimental investigation of the electron energy

distribution function in a microwave discharge sustained by propagating surface waves, J. f'hys. D: App/. Phys. 28, 1354-1361.

6. Dias. F.M .. Tatarova, E., and Ferreira C.M. ( 1997) Radially resolved electron energy distribution function measurement in a nitrogen surface wave sustained discharges, in Proc. Jrd Inc. ( 'onf Reactive Plasmas and 4th Symp. Plasma Processmg, eds. K. T achibana and 1'. Watanabe. Kyoto, Japan. pp. 245-246.

7. Dias, F.M., Tatarova, E., and Ferreira, C.M. ( 1998) Spatially resolved experimental investigation of a

surface wave sustained discharge in nitrogen . .1. Appl. Phys. !U, 4602-4609. X. Kort,hagen, U. (1994) Experimental evidence on the nonlocalityof the dectron distribution fimction. Phys.

Rev. E 49, 4169-4.~80.

9. Tatarova, E., Ferreira. C.M., Ouerra. \" ., Lourerru. J.. Uhanash~v. 1.. 1\.olarova, E .. and Zhdyazkov, I. (1996) Non-linear selkon.sistent 1-.inctic model of a surfa~e wave sustained dis~harge in nitrogen, in Europhysics Conlerence Abstracts. Thineenth European Secrwnal ( 'onference on rhe Atomic and Molecular Phys1cs ofiomzed Gase.1 (ESl'A!-.1PIU 96) Poprad, Slovakia V<ll. 20 E. pp. 191- 192.

10. Tatarova, E .. Dia.,, F.M .. Ferreira. C.l\L Uuena. V .. Loureiro, J., Stoykova. E.. Ohana.,hev, !., and Zhelyazkov . l. (1997) Selt~~onsistent kinc:ti.: modd of a surface wave sustained discharge in nitrogen . .1.

Phys D: Appl. Phys 30, 2663- 2676. II. Ferreira, C.M. and Loureiro. J. (19~9) El.,ctron ~xcrtation rates and transp<lrt parameters in high-lrequency

N2 discharges, J. Phys. U: Appl. l'hys. 22 . 76- 82. 12. Tatarova, E., Dias, F.M .. Ferreira. C.l\1.. and Ricard, A. (I \1\1~) On the axial structure of a nitrogen surlace­

wavc sustained discharge: Theory and experiment,.!. ; lppl. f'hys . (accepted lor publication). 13. Ferreira, C.M. ( 1990) Theory of high-lreyuency discharges, in Nonequilibrium Processes in Pamally

Ionized Gases, edited by M. Capitelli and J. N. Bardsley (Plenum, New York, 1990), p. 187- 212. 14. Grosse, St., Schluter, H., and Tatarova, E. ( 1994) On electron energy distribution function measurements in

microwave discharges sustained by propagating surface waves. Plasma Sources Sci. Techno/. 3. 545-555. 15. Dias, F.M. and Tatarova E. (1997) Noise reduction in EEDF numerical dilerentiation. in: Jrd Int.

Workshop on .lvftcrowave Dtacharges: rundamemals and Applicarwns (Fonte\Taud, France 1997) ed. P. Leprince (in press).

16. Dia.s, F.M. and Tata.rova, E. (1997) Signal-to-noise ratio enhancement in EEDF numerical difierentiation teclutique. in : Frontiers m !.ow Tempemture 1'/asma Diagnostics II (Bad Hormel~ Gennany, 1997) pp. 127- 130.

17. Alves, L.L.. Uousset, (j., and FerTeira. C.fvl. ( 1947) Sclt~contained solution to the spatially inhomogeneous

electron Boltzmallll eyuation in a cylindrical plasma positive colunm, Phys Rev E 55, S90- 906. 18. Margot, J. and Moisan. M. ( 199.1) Characteristi~s of surface-wave propagation in dissipative cylindrical

pla.~ma columns, Plasma Phy.ncs 49, 357-.174. 19. Dias. F.M .. Tatarova, E .. and Ferreira C.\!. 11 W7) 'Wave propagation chara.:teristics in a low pressure

nitmgen surface wave sustained discharg.: . in !'we. .\:\'III lnte(·national r 'onterenc·e on Ph~rwmena 1n !omzeJ gases, eds. M.C. l:lordage and A. (ileizes (Lni1·. Paul Sabatier. Toulouse. France) v. I , p. 122-ID.

20. Dia.~. F.M. , Tatarova. E.. Henriyues, .1. , and Fcneira . C .M. (1998) Experimental investigation of surlave wave propagation in collisional plasma colunm' . .1. Appl. Phys. (submitted lor publication).

Page 347: Advanced Technologies Based on Wave and Beam Generated Plasmas

ATMOSPHERIC PRESSURE DISCHARGES: TRAVELING

WAVE PLASMA SOURCES

Z. ZAKRZEWSKI

Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, P.O. Box 621, 80-952 Gdansk, Poland

AND

M. MOISAN

Groupe de physique des plasmas, Universite de Montreal, Montreal (Quebec) H3C 3J7, Canada

1. Introduction

Microwave sustained, atmospheric pressure plasmas are finding an incre­asing number of applications in various fields, including technology and environmental protection. There is, therefore, a continuous interest in the developing and investigating of appropriate plasma sources [1, 2].

A microwave plasma source is a device in which a microwave sustained discharge is employed to yield a plasma of the desired physical properties. To this end, the source design and operation must satisfy three main ob­jectives: i) filling of the discharge tube with the required gas composition, pressure and, eventually, flow rate; ii) providing the required electroma­gnetic field distribution and intensity to initiate and sustain the discharge; iii) ensuring an efficient power transfer from the microwave feed line to the plasma.

To understand how the practical realization of the source affects the physical processes in the discharge, one must recall some basic facts: i) the design of the field applicator and plasma vessel imposes the boundary con­ditions for the electromagnetic field and for the charged particle and energy fluxes; ii) the maintenance processes within the discharge and the source characteristics as an entity are coupled because of the electric field-plasma interaction within the discharge.

335

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plosmas, 335-342. @ 1999 Kluwer Academic Publishers.

Page 348: Advanced Technologies Based on Wave and Beam Generated Plasmas

336

This presentation concerns sources using discharges sustained by the field of a wave propagating along the plasma which extends over a distance which is not negligible in comparison with the wavelength. Such dischar­ges, designated [3] as traveling wave discharges (TWDs), were recently [4] reviewed and classified. Over the last decades, various experimental inve­stigations as well as applications of atmospheric pressure TWDs have been reported (e.g. [1],[2], [5]- [12]). Here, after going through a short review of existing practical realizations, we provide a more detailed description of the plasma sources using surface-wave discharges.

2. Examples of Practical Realization of TWDs

Among atmospheric pressure plasma sources based on the TWD principle, two groups can be distinguished. The first calls for surface-wave discharge in capillary tubes, the other makes use of "coaxial plasmatrons". Typical examples of such structures are shown, in a simplified form, in Fig. 1.

I

\]WAVE

= lAUNCHER

J ':I<ROWAVE I foweR

GAS

7/ PLASMA

a/

3

\

I. METAL 2. DlfL. SPACER 3. QUARTZ

~j~jf! MICROWAVE L GAS PLASMA POWIR

Figure 1. Simplified diagrams of typical structures of microwave discharges at atmo­spheric pressure: a) surface wave discharge in a capillary tube; b) coaxial plasmatron

Since electromagnetic surface waves can propagate along the interface between the plasma and the surrounding dielectric media without the need for any additional waveguiding structure, they easily provide microwave su­stained discharges. Such discharges at atmospheric pressure (Fig. la) have

Page 349: Advanced Technologies Based on Wave and Beam Generated Plasmas

337

been intensively investigated experimentally and have found applications [8] - [13].

Coaxial plasmatrons (Fig. 1 b) found various applications mainly in pla­sma chemistry [1], [5]-[7]. Typical examples are: synthesis of nitride oxides, decomposition of waste gases and processing of optical fibers. Most often the discharge is sustained at 0.915 GHz or 2.45 GHz in molecular gases contained within tubes of several mm ID with an input power up to a few kW. The plasma is formed as an axial, cylindrical extension of the in­ner conductor of a coaxial line carrying the wave in the TEM mode. The plasma column is not in contact with the discharge tube wall. Usually, the microwave power is fed from a standard waveguide and an appropriate waveguide-to-coaxial transition performs the wave mode conversion and the impedance matching functions.

DIELECTRIC TUBE

I I

I I I I I I I:

b/

Figure 2. a) Schematic representation of an atmospheric pressure TWD. b) Correspon­ding axial distribution of the total wave power, P(z), and power loss per unit length, L(z ).

Both types of plasma sources exhibit some common essential features, as far as the discharge configuration is concerned, which can be represented schematically in Fig. 2. The discharge is sustained in a cylindrical vessel by the field of an electromagnetic wave propagating along it. In the case of a surface wave discharge, the metal tube can be absent. The axial length of the active zone of the discharge is large in comparison with its diameter. The wave is launched at z = 0 and propagates in the z direction. The total power flux P(z) of the wave decreases with growing distance z as the power

Page 350: Advanced Technologies Based on Wave and Beam Generated Plasmas

338

is gradually expended to sustain the discharge. The column ends at z = l, where the wave ceases to propagate. Because L(z) varies along the axis of the vessel, so do the parameters of the plasma, including the plasma radius, rp.

Typical operating conditions of atmospheric pressure plasma sources using TWDs are listed in Table 1.

TABLE 1. Typical operating conditions

Wave frequency, MHz Input microwave power, W

Plasma forming gas

Discharge tube radius , mm

Plasma radius, mm

Gas flow rate , !/min

Surface wave plasma Coaxial plasmatrons

sources

915; 2450

20-200

Ar, He

0.5-5

0.5-5

1-10

915; 2450

500-5000

Air, N2, C02, H2, Ar + molecular gas

10-20

3- 10

20-200

3. The Practical Case of Surface Wave Plasma Sources

We have pointed out the ability of surface waves to establish their own waveguiding structure. We now turn to the functions that must perform any practical set-up for generating efficiently a surface-wave plasma. Fig. 3 shows the corresponding essential parts of such an arrangement.

The field applicator provides t he appropriate electromagnetic field di­stribution in the wave excitation region in order to launch a surface wave in a given mode, while the impedance matching network optimizes the power transfer to the plasma [14] . The field applicator and the matching network constitute the wave launcher (these two parts of a wave launcher cannot always be physically separated). The ideal launcher should accept all of the power coming from t.he feed line, and fully convert it into surface-wave power flux.

Examples of practical realization of wave launchers for surface wave plasma sources are presented in Fig. 4. They can be used to sustain plasma columns at atmospheric or reduced gas pressure. In all these launchers , the field shaping function is achieved by a circular gap that provides an azimuthally symmetric field distribution similar to that of the axisymmetric mode wave. Efficiency of the power transfer is ensu red by different tuning means for each of these launchers.

Page 351: Advanced Technologies Based on Wave and Beam Generated Plasmas

FEED LINE

INPUT PLANE

WAVE LAUNCHER

' IMPEDANCE l FIELD MATCHING : APPLICATOR NETWORK l

DISCHARGE VESSEL AND PLASMA: THE SELF-SUSTAINING

WAVEGUIDING STRUCTURE

PCZ)

P0 = PCO) = PA

339

a /

b/

Figure 3. Elements of a surface wave plasma source a) and power flow within it b).

Microwave discharges at atmospheric pressure are generally sustained in

small bore cylindrical tubes, in order to avoid the undesired effects coming

from the radial constriction of the discharge encountered in most atomic

gases. Furthermore, for plasma stability and residence time reasons, the

amount of gas circulation into the discharge tube should not exceed typi­

cally 10 to 30 liters per minute. These various limitations clearly restrict the

volume of gas that can be processed. On the other hand , some applications

of atmospheric pressure discharges require the processing of large volumes

of gases. A solution to this gas throughput limitation has been recently pro­

posed [15], in the form of a multitube field applicator. It comes out to one

wave launcher, based on the surfaguide (Fig. 4A) principle, with as many

launching apertures as the required number of tubes, as shown in Fig. 5.

The microwave power from the generator can be shared evenly among the

discharge tubes, and one set s t he power level such that the length of these

discharges corresponds to the appropriate residence time for the process

and gas flow rate considered. Such a solution leads to a drastic reduction

in equipment cost and cumbersomeness compared to the individual tube

approach. Furthermore, it is easier and simpler to start and operate.

The operation of surfaguide- type plasma sources is now well understood

[15] and equivalent circuits can be readily arrived at . Their electrodynamic

characteristics may be quantitat ively analyzed, using standard methods of

microwave circuit theory. The calculated and measured tuning characteri-

Page 352: Advanced Technologies Based on Wave and Beam Generated Plasmas

340

A- SURrAGUIDE !J- SUAFATRON

TO STANOA.RD 1 iUMNG STV8•

(OR lC CI"CUIT )

C- COAX IAL LAUNCHING MODULE Of' RO-BOX

f'1£lD ARRESnNG GA.P

• NO OOUPLER, POWER INPUT VIA LC CII!CUIT

Figure 4- Examples of practical realization of wave launchers for surface wave plasma sources .

Figure 5. Surfaguide-type wave launcher with four launching gaps.

sties (dependence of the fraction of power reflected at the input, Pn/ P1, on the position ls/ >.9 of the short) of the surfaguide-type field applicator with one or two tubes are presented in Fig. 6. The agreement between the experiment and theory is good.

Page 353: Advanced Technologies Based on Wave and Beam Generated Plasmas

~ ....... "" 0..

0.3

0.2

0

0

-MEAS.

l. ONE TUBE

0.1

341

-----CALC.

1. 0o • o.3a, x~""" -s. J

2. 9a = o.84, x~m) • 4.1

0.2 0.3 0.4 0.5

Figure 6. Experimentally recorded and calculated tuning characteristics of a surfaguide-type wave launcher [15]

4. Conclusion

Growing interest in microwave atmospheric pressure plasmas calls for effi­cient and flexible sources of such plasmas. M ultitube field applicators solve important practical problems of obtaining large gas throughputs with a single microwave generator and one set of auxiliary equipment.

References

1. Batenin, W.M., Klimowvskii, 1.1., Lysov, G.V. and Troitskii, V.N. (1988) Microwave Plasma Generators, Energoatomizdat, (in Russian).

2. Solnenko, O.P. and Zhukov, M.F. (eds.) (1995) Thermal Plasma and New Materials Technology, Cambridge lnterscience Publ., Cambridge, U.K.

3. Zakrzewski, Z. (1983) Condition of existence and axial structure of long microwave discharges sustained by travelling waves, J. Phys. D: Appl. Phys. 16, 171- 180.

4. Zakrzewski, Z. and Moisan, M. (1995) Plasma sources using long linear microwave field applicators: main features, classification and modelling, Plasma Sources Sci Techno/. 4, 379-397.

5. Arata, Y., Miyake, S., Kobayashi, A. and Takeuchi , S. (1976) Reasearch of a sta­tionary high power microwave plasma at atmospheric pressure, J.Phys . Soc. Jpn. 40, 1456-1461.

6. Batenin, V.M., Zrodnikov, V.S ., Roddatis, V.K. and Tchinnov, V.F. (1975) Spec­troscopical investigations of microwave discharges in hydrogen, Teplofiz. Vys. Temp. 13, 270- 278, (in Russian) .

Page 354: Advanced Technologies Based on Wave and Beam Generated Plasmas

342

7. Parosa, R. and Reszke, E. (1976) Microwave generation of atmospheric pressure plasmas, Ph. D. Thesis (in Polish), Wroclaw Technical University; Parosa, R. {1987) Microwave Plasma Generation and Stabilization, Wroclaw Technical University -Monographs No. 26, (in Polish) .

8. Bloyet, E., Leprince, P., Marec, J . and Mitchel, G. (1978) Microwave plasma at atmospheric pressure and measurement of its density J. Phys.D: Appl. Phys. 11, 1021-1027.

9. Poussel, E., Mermet, J.M., Deruaz, D. and Beaugrand, C. (1988) Evaluation of a microwave-induced plasma as a soft ionization source in mass spectrometry, Anal. Chern. 60, 923-927.

10. Ricard, A., Besner, A., Hubert, J. and Moisan, M. (1988) High nitrogen atom yield downstream of an atmospheric pressure flowing Ar-N2 microwave discharge, J. Phys. B: At. Mol. Opt. Phys. 21, 1579-1583.

11. Cortina, J., Saez, M., Quintero, M.C., Menendez, A., Sanchez Uria, E. and Sanz Medel, A. (1992) Spectroscopic determination of fundamental parameters in an argon microwave-induced plasma (surfatron) at atmospheric pressure, Spectrochim. Acta 47B, 425-435.

12. Moisan, M., Pantel, R. and Hubert, J. (1990) Propagation of a surface wave sustain­ing a plasma column at atmospheric pressure, Contrib. Plasma Phys. 30, 293-314.

13. Selby, M. and Hieftje, G.M. (1987) Taming the surfatron, Spectrochim. Acta 42B, 285-298.

14. Moisan, M. and Zakrzewski, Z. (1991) Plasma sources based on the propagation of electromagnetic surface waves, J. Phys. D: Appl. Phys. 24, 1025-1048.

15. Moisan, M., Zakrzewski, Z., Etemadi, R. and Rosta.ing, J.C. (1998) Multitube surface-wave discharges for increased gas throughput at atmospheric pressure, J. Appl. Phys. 83, 5691-5701.

Page 355: Advanced Technologies Based on Wave and Beam Generated Plasmas

MODELING OF ATMOSPHERIC PRESSURE MICROWAVE

SUSTAINED DISCHARGES

Z. ZAKRZEWSKI AND J .STANCO Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, P.O. Box 621, 80-952 Gdansk, Poland

AND

M. MOISAN Departement de Physique, Universite de Montreal, Montreal (Quebec) H3C 3J7, Canada

1. Introduction

Atmospheric pressure microwave sustained discharges have been finding increasingly new applications as plasma sources for such purposes as, for example, elemental analysis , lighting, plasma processing and laser excita­tion [1, 2]. To use them efficiently one needs to control the pla.<>ma prop­erties. This implies knowing the dependence of these properties on the ex­ternal factors, namely the discharge conditions (electromagnetic field mode and frequency, gas flow and geometry of the discharge arrangement) and the density of microwave power delivered to the plasma. Such a knowledge can be gained, beside experimental investigations, from analytical modeling of the processes occurring in the plasma.

While many experimental investigations on microwave discharges at at­mospheric pressure have been reported, the number of papers actually deal­ing with their modeling is modest. The prevailing approach, which consists either in assuming that local thermodynamic equilibrium (LTE) exists in the discharge plasma or in adopting a non-equilibrium two-temperature model with different temperatures for electrons and heavy particles is on many occasions still far from the actual experimental conditions

In this paper, equilibrium and non-equilibrium models of atmospheric pressure microwave discharge plasmas a re reviewed. Conditions of applica­bility of both kinds of models will be discussed. For each case, an example of

343

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 343-352. @) 1999 Kluwer Academic Publishers.

Page 356: Advanced Technologies Based on Wave and Beam Generated Plasmas

344

modeling is presented . We start by providing the set of equations common to the various models that we consider.

2. General Set of Equations

In a microwave discharge, it is the electrons that are primarily affected by the electric field. Owing to collisions, the energy acquired by the electrons is redistributed among them, part of it being converted into heavy particle kinetic and internal {rotational, vibrational and electronic) energy. Colli­sions further produce new electron-ion pairs. These charged particles then recombine either in the discharge volume or on the walls of the discharge vessel. The power deposited in the plasma is ultimately lost due to radi­ation, diffusion, heat conduction and convection. The importance of these various processes depends on the discharge conditions, for example on the kind of gas- atomic or molecular -- in which the discharge is achieved.

With a discharge plasma under atmospheric pressure conditions the hy­drodynamic approximation, where the electron energy distribution function (EEDF) depends on the local electric field intensity, is applicable except for the sheaths.

The electric field intensity in a plasma assumes the value needed to com pen sate for the loss of charged particles. Therefore, to fully account for the interaction between the microwave field and the plasma sustained by it, one should self-consistently solve a set of fluid equations with Maxwell equations under appropriate boundary conditions.

The usual basic set of equations contains the first three moments of the Boltzmann equation , namely the continuity equation and the momentum and energy transport equations for each species j of the plasma, together with the Maxwell equations

8nj n ( -) LR - + v · n· v· = ·k at 1 1 1 k

!_(n·m·v·) + V · (n·rn·v·v·) = -Vp· ± en·E- v·n-rn-v· at -' 1 1 1 1 1 1 1 - 1 1 1 -' -'

aw- - L - 1 + V · (v·1L'·)- ±en·ii·E- V(p·v·) + V>. ·VT- R·kHk at -' 'J - -- 1 1 1 1 1 1 -'

- ai3 v X E = -­ot - 1 a£ -

V x B = c2 at + J-LoJ

k

(1)

(2)

(4)

(5)

Page 357: Advanced Technologies Based on Wave and Beam Generated Plasmas

- p \l·E=-

Eo

\l·B=O

345

(6)

(7)

where i!; denotes the electric field strength, B is the magnetic induction, f is the conduction current, c denotes the speed of light in free space; f-lo and Eo are the permeability and permittivity of vacuum, respectively; n denotes the particle density, the subscript j denotes electrons (e) or ions (i); vis the charged particle velocity, Rjk is the jth particle production or loss rate due to kth inelastic collision process; mj denotes the particle mass, Pj is the particle pressure, e is the elementary charge, v is the elastic collision frequency for momentum transfer, w denotes the total particle energy, >. is the thermal conductivity and H k is the energy loss due to the kth collision process. We also assume Pi = njkTj, where k is the Boltzmann constant and 1j is the temperature characterizing particles of type j. For a non­Maxwellian EEDF the temperature is defined by taking 3k1j/2 equal to the mean thermal energy of the particles under consideration. The total particle energy is then Wj = nj(mjvN2 + 3k1j/2).

Solving this set of equations is practicable only when drastic simplifica­tions concerning specific mediums are introduced.

3. Equilibrium Models

Assuming different temperatures for different species is a rule rather than an exception when dealing with electric discharge plasmas, including those sustained by microwaves. This comes from the poor collisional coupling be­tween electrons and heavy particles as well as differences between various collisional relaxation times for the respective species. However, experiments have shown that the discharge may be close to equilibrium at high enough pressures (p > 10 kPa) and large enough values of the power dissipated per unit volume of the plasma (see e.g. [3]). The complete thermodynamic equilibrium is, however, not achievable in a laboratory plasma. Neverthe­less, if the radiative losses are small in comparison with the collisional en­ergy transfer, the assumption of local thermodynamic equilibrium may be adopted. This assumption simplifies significantly the modeling of the dis­charge, since all processes are determined by the plasma temperature and occur independently of the energy input. The electromagnetic field serves only as the energy source for the plasma.

Equilibrium models have been adopted for microwave discharge plasmas of cylindrical (e.g. [3, 4]) and spherical [5) configuration. Let us consider, as an example, an axisymmetric plasma confined in a tube of radius a. Let the axial gradients of any quantity be much smaller than the corresponding radial gradients (such is, for instance, the case for surface wave discharges).

Page 358: Advanced Technologies Based on Wave and Beam Generated Plasmas

346

Assume additionally that the ga.'i flow does not markedly affect the dis­charge characteristics. In this case, a one-dimensional model can be used. Let the electric field be directed along the axis z of the tube, Ez = E~. Neglecting radiation losses, equations (1 ) -- (7) reduce to an energy equation

-- rA- +a =0 l d ( \ dT) E-,2

rdr dr (8)

and to the following wave equation

1 d ( d8) 2 --1- 1'-J- + f-loEoW ErE= 0, r ( r or·

(9)

when~ a is the electric conductivity and Er = c1 - zc2 is the complex per­mittivity of the plasma.

These equations should be complemented with the equation for ioniza­tional equilibrium which, under the assumption of negligible diffusion, is giw~n by the Saha equation in the form

ne-ni = 2Zi (2rrmek1') 312 e (-ii) na Za h 2 ~XP kT ' (10)

where Ui is the ionization energy, Zj are the partition functions and h is the Planck constant; the subscript a. denotes heavy particles. In molecular gases, one also has to account for dissociation. Equation (10) together with the Dalton law p = (na + ni + ne)kT and the condition of quasi-neutrality of the plasma, ne -:::::: ni, allows one to determine the number densities of the respective species.

Finally, the material functions defining the dependence of Er, a and ,\ on T, p and gas density should be incorporated.

The boundary conditions are as follows. At the tube axis (r = 0) , owing to axial symmetry,

dT -1- = 0 and c r

At the inner surface of the wall (r =a),

dE -=0. dr

T = Ta and E = Ea.

(11)

(12)

The numerical solutions of the above set of equations were reviewed in [:3]. In [4], an analytic solution of the problem was obtained with the help of a. channel model. It implies dividing the discharge column in two regions ----an inner one r ~ rp with a :f- 0, where the electromagnetic field energy is deposited, and an outer region rp < r ::; a with a= 0, where the only energy transfer is that toward the walls. Also, equation (8) was written

Page 359: Advanced Technologies Based on Wave and Beam Generated Plasmas

347

in terms of the heat flux potential S(r) = J~(r) >..(T)dT, which eliminated its nonlinearity with respect to >..(T). To simulate a moderate skin effect, O'(r)E2 (r) =canst was assumed for the inner region. The power dissipated per unit length of the discharge was

a

L = 21r J 0' E 2 rdr. 0

( 13)

Due to the assumption of a specific distribution of the source term in the tube cross section, equation (9) became superfluous. However, an additional relation was needed to close the set of equations (8) and (1:3). The so-called Steenbeck's minimum principle was used for a long time for that purpose. Later an alternative solution to this problem was proposed; the details may be found in [4]. The solution of the final set yielded, under given discharge conditions, S(r·) as a. function of L.

This model was adopted for a microwave discharge in nitrogen. Material functions O'(S) and >..(T), needed for the calculations, were taken from the literature.

For given L, the radial distribution of S and, hence, also that of T were obtained. The electron density profile was calculated from the Saha

4

3 ..., 'e 4

Q ·e ~ N

~ '0 0 ~ 2 -.... ..., ....

z 0.5 Cl) .....

2

0 0

0 0.2 0.4 0.6 o.a r I R

Figure 1. Calculated radial distributions of S, T and electron density N for a microwave discharge in nitrogen; f = 915 MHz, p = 1 atm, a= R = 4 rnrn, L = 20 kW /m [4] .

Page 360: Advanced Technologies Based on Wave and Beam Generated Plasmas

348

equation. Typical results are shown in figure 1. Note that the plasma radius rp is coming out from these calculations.

4. Non-equilibrium Models

One would expect that equilibrium models are suitable for describing the plasma of microwave discharges in molecular gases, since the variety of channels of energy transfer from the electrons to the molecules and between the molecules themselves favours energy equilibration (see, for instance, the measurements reported in [6]). Nonetheless, a significant difference between electron temperature (7000 K) and heavy particle temperature ( 4000 K) was observed in nitrogen at a pressure close to atmospheric [7). Such a difference should be even more pronounced for discharges in inert gases, where elastic collisions constitute the main channel of energy transfer from the electrons to the heavy particles; due to the large mass ratio of heavy particles to electrons, the efficiency of energy transfer is low. Hence, non­equilibrium two-temperature models, allowing for different temperatures of electrons and heavy particles, are expected to better describe the plasma of such discharges. High electric field strengths E, low pressures p (i.e. high E/p ratios) and low gas temperature are favourable to temperature separation .

Consider again an axisymmetric discharge plasma; this is a typical ge­ometry for microwave discharges. Let the axial gradients of any quantity of the plasma be much smaller than the corresponding radial gradients . A one-dimensional model can thus be used. Assuming that the discharge medium is composed only of electrons, positive ions and atoms and that radiation is negligible, one can write [3) the energy balance equations for the electrons and heavy particles, respectively, as

(14)

1 d ( dT) 3 -d- ..\r-d + -DefVefnek (Te- T) = 0. r r r 2

(15)

Here Der is the fraction of electron energy lost in an electron collision with a heavy particle, while ..\ and Ae designate the thermal conductivities for the atoms and electrons, respectively.

The power deposited in the plasma per unit length of the column is given by relation (13).

The continuity equation for electrons is

~ _i_ (n dne) dne _ d am r d + d -0 r r t t

( 16)

Page 361: Advanced Technologies Based on Wave and Beam Generated Plasmas

349

with the rate of electron generation dne/dt being equal to

(17)

Here Dam is the coefficient of ambipolar diffusion while kr and kR are the

rates of ionization and (three-body) recombination, respectively.

These equations are supplemented by the wave equation (9) , the Dalton

law p = (na + n;)kT + nekTe and the condition of quasi-neutrality ne:::::: n;.

Finally, relations giving thermodynamic and transport coefficients for the

pla.sma as functions of the temperature, pressure and electromagnetic field

frequency are added together with appropriate boundary conditions.

At the tube axis (r = 0), the axial symmetry imposes

dT & = 0 and dTe = O.

dr ( 18)

At the inner surface of the wall (r =a), where the heavy particles lose their

energy, there is

(19)

where Aw is the thermal conductivity of the wall material and Tw is the

temperature of the wall inner surface. Imposing T 00 for the temperature of

the outer surface of the wall, we have

L b T w = Too + --,- In -

27l"Aw a (20)

where b is the outer radius of the discharge tube. For the electron ga.s, the wall of the tube may be treated as an adiabatic

envelope, a.s it can be a.ssumed that electrons are reflected by the electric

field within the sheath and do not reach the wall. Therefore, no energy IS

transferred from the electron ga.s to the wall and one can set

'edTe = 0 "' dr at r =a. (21)

As a rule, defining the boundary conditions at the walls of a discharge

channel is not trivial and various sets of them have been used. However,

a.s ha.s been observed in (3], the resulting deviations of pla.sma parameters

at the walls do not affect their radial distributions within the bulk of the discharge.

Numerical calculations for a microwave discharge in air ba.sed on the set

of equations a.s shown a bove have been reported in (3]. Calculations for an

argon pla.sma reported in [8] were made on the assumption of a negligible

Page 362: Advanced Technologies Based on Wave and Beam Generated Plasmas

350

skin effect (for a surface wave discharge occurring in a capillary tube) and negligible diffusion. With diffusion neglected, equations (16), ( 17) lead to the Saha equation

(22)

where Ui is the ionization energy. Following [9] , the two-temperature form of this equation was adopted. Assumption of a negligible skin effect allows

12 3

10

8 2

~ ,:,:.

""";'6 ~

4

2

0 0 0 0.5 1

rIa

F1gure 2. Calculated radial distributions ofT and Te for a microwave discharge in argon; p = 1 atm, f = 915 MHz , a= 0.97 mrn, L = 1 kW/m (after (8]).

one to omit equation (9). An example of results is shown in figure 2. Note that the electron temperature varies only slowly along the radius , because electrons are reflected in the potentia.! sheath and do not transfer their energy to t.lw wall.

Similar calculations made for a two-temperature plasma of a surface wave discharge in nitrogen a.t atmospheric pressure were presented in [10] .

Some questions might arise in connection with the simplified model pre­sented above. Firstly, can skin effect and diffusion be neglected? In [8], the ra.nge of conditions for which the skin effect is negligible was determined ex post from the results of the calcHiations. The diffusion was also found negli­gible. The same conclusion was reached in [11 , 12). It is worth mentioning, however, that in configurations such as that of plasma torch, convection and

Page 363: Advanced Technologies Based on Wave and Beam Generated Plasmas

351

turbulent mixing can have a strong influence on the plasma parameters in the discharge [11, 12] and therefore at least two-dimensional modeling is required. Some data on the subject can be found in [13].

Secondly, one may ask to what degree the use of the Saha equation is acceptable for description of a two-temperature plasma. Generally, adop­tion of the Saha equation in the modeling of microwave discharges should be regarded as a rather poor approximation of real conditions. The com­plete thermodynamic equilibrium is never reached and a partial LTE is also subjected to limitations. It was shown (see e.g. [14, 15]) that in two­temperature radiationless plasmas, a local Saha equilibrium only exists be­tween the higher excited states including the ion ground state, while the ground state of the neutrals may be either overpopulated or underpopu­lated with respect to the Saha equilibrium. Moreover, correctness of the two-temperature form of the Saha equation was questioned recently [14]. Nonetheless, the Saha equation is being used, because its adoption is con­venient and other methods would be, for the time being, too complex and not necessarily better.

An alternative approach-solving self-consistently the Maxwell and spa­tially resolved kinetic equations-is too complicated to be practicable as yet. An approximation is to solve separately the plasma maintenance equa­tions and the equations describing the electrodynamic properties of the system. A hydrokinetic model developed along these lines has been pre­sented in [16) for a plasma of a surface wave sustained discharge in argon in a capillary tube. The Maxwell equations and the kinetic equations have been separately solved under a number of simplified assumptions, to give the distribution of plasma parameters along the plasma column.

5. Concluding Remarks

Equilibrium and non-equilibrium fluid models used for the numerical mod­eling of atmospheric pressure microwave discharges have been presented. Both types utilize transport coefficients calculated under conditions of ther­modynamic equilibrium. The Saha equation is used, in spite of the known shortcomings of this approach. Nonetheless, this appears to be, at present, the only practicable way of modeling high pressure discharges. An alterna­tive approach, based on solving spatially resolved kinetic equations, is very complex and would suffer from the lack of the necessary cross-section data. This lack is especially serious for molecular gases, including the frequently investigated nitrogen.

Page 364: Advanced Technologies Based on Wave and Beam Generated Plasmas

352

Acknowledgment. The authors wish to acknowledge the contributions of Ms. H. Nowakowska to the nmnerical modeling presented in the paper.

References

1. C.M. Ferreira and M. Moisan (eds.) (1993) Microwave Discharges: Fundamentals and Applications, Plenum Press, New York.

2. Freisinger, B. , Pauls, M., Schafer, J.H. and Uhlenbusch, J. (1991) High power C02

laser excited by 2.45 GHz microwave discharges, in J.M. Orza and C. Domingo (eds.), Eighth Int. Symp. on Gas Flow and Chemical Lasers, Proc. SPIE 1397, pp. 311-318.

3. Lelevkin, V.M., Otorbaev, D .K. and Schram, D.C. (1992) Physics of Non­Equilibrium Plasmas, North-Holland, Amsterdam.

4. Nowakowska, H., Zakrzewski, Z. and Moisan, M. (1990) Modelling of atmospheric pressure, RF and microwave discharges sustained by travelling waves, J. Phys. D: Appl. Phys. 23, 789-798.

5. Timofeev, A.V. (1997) Theory of microwave discharges at atmospheric pressures, Plasma Physics Reports 23, 158-164.

6. Battin, L.M., Batenin, V.M., Devyatkin, I.I., Lebedeva, V.R. and Tsemko, N.I. (1971) Stacionarnyi SVCH-razryad v azote pri atmosfernom davlenii (Continuous microwave discharge in nitrogen at atmospheric pressure), Teplofizika Vys. Temp. 9, 1105-1112.

7. Ivanov, A.A. (1996) The present state and development trends of discharges. Physics and chemistry of plasmas, in K.H. Becker, W.E. Carrr and E.E. Kunhardt (eds.), Phenomena in Ionized Gases, XXII ICPIG, AlP Press, Woodbury, New York, pp. 41-74.

8. Nowakowska, H., Zakrzewski, Z., Moisan, M. and Luba.Iiski, M. (1998) Propaga­tion characteristics of surface waves sustaining atmospheric pressure discharges: the influence of the discharge processes, J. Phys. D: Appl. Phys. 31, 1422- 1432.

9. Boulos, M.I. , Fauchais, P. and Pfender, E. (1994) Thermal Plasmas. Fundamentals and Applications, Plenum Press, New York.

10. Stanco, J. and Zakrzewski, Z. (1997) Modeling of microwave discharges at atmo­spheric pressure. Selected topics, in M. Sadowski and H. Rothkaehl (eds.), Int. Symp. PLASMA '97 "Research and applications ofplasma", Vol. 2. Invited Papers, Polish Academy of Sciences, Warsaw, pp. 195- 202.

11. Jonkers, J., Selen, L . .J.M. , van der Mullen, J.A.M., Timmermans, E.A.H. and Schram, D.C. (1997) Steep plasma gradients studied with spatially resolved Thom­son scattering measurements, Plasma Sources Sci. Technol. 6, 533-539.

12. .Jonkers, J. (1998) Excitation and transport in small scale plasmas, Ph.D. Thesis, Eindhoven University of Technology.

13. Lelevkin, V.M. (1994) Mathematical modelling of RF, microwave and optical dis­charge plasma, in O.P. Solonenko and M.F . Zhukov (eds.), Thermal Plasma and New Materials Technology, Vol. 1, North-Holland, Amsterdam, pp. 141- 165.

14. van der Mullen , J.A.M., Benoy, D.A., Fey, F.H.A.G., van der Sijde, B. and Vlcek, J. (1994) Saha equation for two-temperature plasmas: Theories, experimental evi­dence, and interpretation, Phys. Rev. E 50, 3925-3934.

15. Calzada, M.D., Moisan, M., Gamero, A. and Sola, A. (1996) Experimental investi­gation and characterization of the departure from local thermodynamic equilibrium along a surface-wave-sustained discharge at atmospheric pressure, J. Appl. Phys. 80, 46- 55.

16. Gordillo-Vazque:.:, F . .J. and Cot rino, .J. (1995) A simplified hydrokinetic model for a steady-state microwave discharge sustained by travelling waves at atmospheric pressure conditions, J. Appl. Phys. 78, 4360-4370.

Page 365: Advanced Technologies Based on Wave and Beam Generated Plasmas

LONG MICROWAVE DISCHARGES

Z. ZAKRZEWSKI

Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, P.O. Box 621, 80-952 Gdansk, Poland

AND

M. MOISAN

Groupe de physique des plasmas, Universite de Montreal, Montreal {Quebec) H3C 3J7, Canada

1. Introduction

During the last decades there has been a steady increase in the use of micro­wave frequency (300 MHz and larger) discharges for various applications. They serve as efficient sources of ionized and excited atoms and molecu­les, as well as of radiation, for physical studies and technology. Microwave discharges are generally more efficient, more reliable and less expensive plasma sources than RF and DC discharges.

This presentation deals with discharges sustained by microwaves in long plasma vessels with no external magnetic field present and using field ap­plicators that extend in one direction over a distance large in comparison with the microwave free space wavelength Ao.

2. Nature and Classification of Long Microwave Discharges

For decades RF and microwave discharges were, and even nowadays mo­

stly are, sustained within high frequency circuits, i.e. between electrodes (located inside or outside the discharge vessel) or within coils or resonant cavities. This generally implies that the phase difference between field oscil­lations at any two locations within the discharge is so small that the field variations throughout the discharge are essentially simultaneous. The active zone of the discharge (the volume in which power transfer to the plasma occurs) is largely confined within the field applicator which, in this case, is

353

H. Schliiter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plosmas, 353-365. © 1999 Kluwer Academic Publishers.

Page 366: Advanced Technologies Based on Wave and Beam Generated Plasmas

354

small with respect to the Ao. Thus, we adopted for them the term discharges with localized active zone.

Approximately twenty years ago, discharges of a different category were introduced and investigated (see, for example, references in [1 ]). These di­scharges are sustained by the electric field of propagating or standing waves, and their spatial extent cannot be neglected in comparison with ..\0 . We la­beled them long microwave discharges.

The different nature of these two categories of microwave discharges reflects in the analysis of the power transfer from the electromagnetic field to the plasma, which is specific to either kind. In the case of discharges with a localized active zone, it is convenient to construct equivalent circuit in which the energy storage and dissipation processes are directly represented by lumped admittance (or impedance) elements. On the other hand, when dealing with long discharges, as in the present case, it is more adequate to use dispersion relations and the resulting phase and attenuation coefficients when analyzing the local interaction between the plasma column and the wave field.

This presentation describes discharges sustained without any external magnetic field in long plasma vessels. The electromagnetic field is impo­sed by a microwave field applicator consisting of a waveguiding structure. Such applicators were reviewed and their classification proposed in [1 J. The classification was based on two main criteria. The first divides applicators according to the way that microwave power is delivered to the plasma and yields two main categories: i) transmission-line applicators in which the plasma is an integral part of the waveguiding structure and strongly affects the wave propagation characteristics; ii) antenna applicators in which the plasma only weakly affects the wave propagation characteristics. Then, in each category, one can distinguish between traveling and standing waves.

Examples of practical realization of long microwave discharges are pre­sented in figures 1 and 2 showing, respectively, transmission-line and an­tenna field applicators. In figure 1, only discharges sustained by traveling waves are shown. The corresponding standing wave structures can be sim­ply obtained by placing a proper wave - reflecting element at the end of the guide. Note that no external structure is necessary in the case of surface-wave sustained discharge: the created plasma column forms a vir­tual wave-guiding structure.

Comparison of figures 1 and 2 shows the feature distinguishing the two categories of long microwave discharges, namely the way by which power is transferred to the plasma. In the first case, the plasma is immersed in the field of the guided wave and forms the lossy part of the guide. In the other case, the power must leak out from the guiding structure to be absorbed in the plasma.

Page 367: Advanced Technologies Based on Wave and Beam Generated Plasmas

(0)

MICROWAVE POWER

(b)

MICROWAve POWER

(c)

MICROWAVE POWER

-

WAVEGUIDE (CIRCULAR OR RECTANGULAR)

PLASMA

LADDER TYPE SLOW • WAVE STRUCTURE

355

Figure 1. Typical waveguiding structures for transmission-line type plasma sources: (a) waveguide; (b) ladder type slow-wave structure; (c) surface wave plasma column.

3. Dependence of the Spatial Structure of a Long Microwave Discharge on the Power L Dissipated per Unit Length

Consider a long cylindrical discharge sustained in an electromagnetic field . This discharge is assumed longitudinally uniform and , for simplicity, azi­muthally symmetric in the transverse plane. The spatial distribution of all plasma parameters in this plane (referred to as the transverse structure of the plasma) is then uniquely determined by the imposed discharge condi­tions and L, the power dissipated per unit length in the plasma [1]. This transverse structure is obtained from an appropriate set of plasma main­tenance equations reflecting the nature of the physical processes governing

Page 368: Advanced Technologies Based on Wave and Beam Generated Plasmas

356

(a)

MICROWAVE -POWER

WAV£- GUIDING STRUCTURE

~ MATCHED LOAD

APERTURE (CONTINUOUS OR QUASI-CONTINUOUS)

(b)

MICROWAVE - SHORT • CIRCUIT POWER

APERTURE <DISCRETE SLOTS)

Figure 2. Examples of antenna-type structures for plasma sources: (a) traveling wave ; (b) standing wave.

the discharge mechanism. In general , the discharge is axially nonuniform. Provided that the axial

gradients of plasma parameters do not affect the wave propagation characte­ristics and the discharge processes, the transverse structure of the discharge at any position z along it is related uniquely to L(z). Such conditions, re­ferred to as the local axial uniformity, are discussed in detail in [1]. The axial distribution of L( z) must be obtained through modeling of the specific kind of long microwave discharge. Then merging this result with the known transverse structure for various L leads to the full spatial structure of the plasma.

4. Practical Realization and Modeling

The scientific literature reports various kinds of structures that can be used as transmission-line field applicators for plasma generation. The simplest of these uses a waveguide of circular [1]-[3] or rectangular (4]-[6] cross-section in which a cylindrical discharge vessel is placed coaxially as shown sche­matically in figure 1 (a) . In another arrangement [7], the discharge vessel

Page 369: Advanced Technologies Based on Wave and Beam Generated Plasmas

357

is placed along a structure in the form of a ladder which carries a slow wave (figure l(b )). At present, the most common plasma source configu­ration using transmission-line applicators calls for electromagnetic surface waves (figure l(c)). An essential feature of surface-wave discharges is that the wave employs the interface of the plasma and the surrounding dielec­trics as its sole guiding structure. These discharges were first described in the early 1970s [8] and have been intensively investigated and exploited since then (for details and further references, see recent reviews, for exam­ple [9, 10, 11]). All these structures can be used in either the traveling or standing wave regime.

The self-consistent description of a transmission-line system with its enclosed discharge requires the simultaneous solution of the wave and pla­sma equations, which is complicated and impractical. One way out of this problem is to treat separately the wave field and plasma maintenance equ­ations, and merge the results, a method now well established [12]. As we shall show, the modeling of traveling wave, transmission-line discharges is well developed and provides sound foundation for the design of plasma so­urces. On the other hand, the modeling of standing wave discharges is in its early stage of development.

Antenna-type field applicators (figure 2) sustaining discharges are geo­metrically exact replicas of existing antenna structures. There is , however, a fundamental difference as far as the space region to which the energy leaving the structure is destined. With antennas , the energy is carried out into space by a radiated wave and, in principle, no part of it is spent in the near-field region. In contrast , when the antenna is used to sustain a discharge, the vessel is located in the near-field region and, hopefully, all the energy diverted from the guided wave is dissipated there.

Because of the extensive work carried out on antennas, many potential problems related to the design and fabrication of antenna field applicators for plasma generation have been solved already. This concerns, for example, the required design data for these power leaking structures.

In practice, two assumptions must be used to facilitate the design and modeling of antenna-type discharges: (i) as in the case of a radiating an­tenna, the applicator by itself determines the wave characterist ics ; and (ii) the electric field sustaining the discharge at a given position in front of the applicator originates from the applicator at this position. However, these assumptions are often not fully met: the influence on the antenna of the plasma situated in its near field is generally not negligible, and the electric field at a given position along the applicator may comprise contributions originating from the wave at ot her posit ions within the guiding st ructure. As a result, the design of antenna field applicators for plasma generation as proposed above is an approximation that requires a cut-and-try procedure.

Page 370: Advanced Technologies Based on Wave and Beam Generated Plasmas

358

5. Traveling Wave Discharges (TWDs)

5.1. BALANCE OF WAVE POWER AND AXIAL DISTRIBUTION OF POWER LOSS

Assuming local power transmission from the wave field to plasma, for both antenna and transmission line field applicators, the fraction of power lost from the wave field to the plasma, at the position z, can be expressed through the attenuation coefficient as

_ 1 dP( z) o:(z) =- 2P(z) ~· ( 5.1)

Under that under given steady-state discharge conditions, the local balance of power at any position z is then

2o:(z) P(z)::::: L(z). (5.2)

We turn to the axial structure of the discharge, i.e. the axial distribu­tions of plasma parameters. The local value of o:( z) depends, in general, on both applicator configuration and L(z). We shall assume that these dependences can be written in a form

o:(z)::::: Afz(z)fL(L), ( 5.3)

where A is a constant, and fz and h represent the influence of the local cha­racteristics of the structure and of the local plasma properties, respectively. These two functions must be determined, either theoretically or experimen­tally, specifically for given applicator structure and discharge conditions.

The axial distribution of L(z) is the solution of an equation resulting directly [1] from (5.2), and (5.3), yielding

dD(z)::::: [- 2o:(z)L(z) + D(z) dfz(z)] [1 _ L(z) dfL(L)] -l (S.4) dz fz( z ) dz fL(L) dL

It is now a well established fact that long discharges within transmission-line structures can be sustained by traveling electromagnetic waves provided the wave attenuation characteristics comply with the stability criterion [1], which can be met only when the electron density in the discharge exceeds a critical value.

5.2 . SURFACE WAVE DISCHARGES IN RAREFIED GASES

As an example of a. transmission-line type TWD, we consider surface wave discharges sustained at low gas pressures (corresponding to the diffusion

Page 371: Advanced Technologies Based on Wave and Beam Generated Plasmas

359

regime or lower). Under such conditions, the electron energy distribution function may be assumed independent of the electron density. Consequently, the average power loss per electron, 8, is also density independent. The discharge is sustained in a cylindrical tube of inner radius a. The power loss per unit length

(5.5)

is proportional to the cross-section averaged electron density ii. Because the radius a do not vary along z, the dependence on fz(z) can be omitted. Also, because 8 is constant, L can be replaced by n. For the attenuation coefficient, we use an analytical approximation in the form [13]

Av a(n) = -_ --

n- no (5.6)

with v being the electron-neutral collision frequency for momentum transfer and no, the electron density corresponding to the dipole resonance.

The analysis outlined in section 5.1 yields [13] the results shown in figures 3 and 4, which are compared with experimental results. There, Po denotes the power at the beginning of the column, while

(5.7)

are two more, besides nD, normalizing parameters, depending on discharge conditions (nature and pressure of the gas, wave frequency, tube radius, wall material and thickness). In figure 3, the experimentally recorded axial distributions of electron den­sity and wave power are compared with the calculated ones. From the best fit of the theoretical to the experimental curve, the normalizing parameters are determined. In figure 4, measured values of the electron density and of the density gradient at the beginning of the column as well as the column length are compared with calculated values. In all cases, the agreement is very good. Note that for little power delivered to the plasma, increasing po­wer causes mainly an increase of the column length . For large power both the column length and the density at its beginning are proportional to the square root of the power.

6. Standing Wave Discharges (STWDs)

6.1. GENERAL REMARKS

The modeling of STWD , be it transmission-line or antenna type, is only in the initial stage of development [1].

There are not many reports on long plasma columns sustained from a standing wave, transmission-line type arrangement. One case is that of

Page 372: Advanced Technologies Based on Wave and Beam Generated Plasmas

360

Figure 3. density.

10

' ~

' ' ' 0 ARGON: p •20mTorr iii c 0 .....

0 •11.1 ''"" :s N

c b •l:~r.rm., c.."' w12...- •0.7 GHz '::,

,..- €; • 4.S - 5 a:. .... iii n0 •3 .4 1010 e.rn·a "' z ... 4

P0 • 8 W ...

0 -10 ll: 0

z C1.

0 a: ~ ... .... > <.> -1!5 .. ... ll: ...J ...

THEORY (BEST FIT)

0~~--~----~----~----~_J 100 75 !!O 2!5 0

AXIAL POSITION, t-z (clft)

Measured and calculated axial distributions of wave powe r and electron

~ z. w 0

B~ <l ..... OC'N t:> ~ c:

~~, c - <: en- c z a ~ '

0

_CALCULATED liz

_ _ (2 ~ /~)

{ARGON,p=20ml0rr

o EXP a:ll.lmm,IFI3.7mm

€g=4.~=Q7Giz

I ······· - -- --- -- -- --····· ····· - - · · ··

.I I 10 100 ABSORBED POWER, P0 I P0

Figure 4. Measured a nd calculated plasma column characteristics .

plasma colum ns wit hin rectangula r waveguides, which were employed to

energize gas m ixtures for high power C0 2 lasers [14)-[1 7). T he same has

been achieved in a circular waveguide resonator (18). Long discharges su-

Page 373: Advanced Technologies Based on Wave and Beam Generated Plasmas

361

stained by a standing surface wave have also been investigated [19, 20, 21] and applied to excite laser media [19, 20].

One can separate STWDs into two groups depending on the extend to which the electric field distribution within the plasma differs from that in an empty waveguide. Whether such a difference is substantial or not de­pends on the electron density. This can be seen by considering the plasma as a dielectric medium. Assuming low-loss case (v ~ w, where v is the electron-neutral collision frequency for momentum transfer and w is the angular frequency of the electromagnetic field), the plasma relative permit­tivity is given by

(6.1)

where n is the electron density and nc is the critical density. Furtherdown, we use the terms undercritical and overcritical density in the meaning of being well below the critical value and well above it, respectively. Note that the above distinction implies that either one of the terms in the right-hand side of relation ( 6.1) dominates. In the case of collisional plasmas, the only difference is that the second term on the right-hand side is multiplied by a factor depending on the value of vjw.

In contrast to TWD of the transmission-line type, no condition for mini­mum electron density has been found for discharges sustained by standing waves. In the following section, we present conclusion concerning the exi­stence as well as the axial structure of STWDs under various conditions, based on recently performed experimental investigations.

6.2. EXISTENCE AND AXIAL STRUCTURE OF STWDs IN A WAVEGUIDE

The discharge tube (Pyrex, i.d. 10 mm, o.d. 12 mm) is placed coaxially within a section of a standard (WR-430) rectangular waveguide, termina­ted by a short circuit [22]. The length of the tube embedded within the waveguide is approximately 70 em. The microwave power (2.45 GHz , up to 600 W) is fed to the waveguide from a magnetron generator via a circulator, a reflectometer and an E-H tuner. For diagnostic purposes, a narrow slot is cut along the centerline of the wide wall of the waveguide. A short linear antenna and a photodiode, both placed on a carriage, movable along this slot, are used to probe the axial distribution of electric field intensity (com­ponent perpendicular to the wide wall and parallel to the antenna axis) within the waveguide and the intensity of light emitted from the plasma, respectively. For each pressure and power level, the system was brought to resonance by adjusting the E-H tuner. (Resonance is defined as the state in which the power flux entering the discharge section is purely real, which corresponds to a minimum reflected power in the feeding waveguide). Then the axial distribution of the electric field squared (i.e., the power picked

Page 374: Advanced Technologies Based on Wave and Beam Generated Plasmas

362

by the antenna) within the waveguide and the intensity of light emitted by plasma were recorded. Figure 5 shows the measured axial distribution of electric field intensity squared and light intensity. These results were obtained with an argon discharge at 0.5 Torr.

These results show that the discharge exists in two different states, di­stinguished mainly by its axial structure. We associate this phenomenon with the existence of two different wave modes in the waveguide: the wave­guide mode in the case of an underdense plasma column and the plasmagu­ide mode in the case of an overdense plasma. In the former case, the light intensity is strongly modulated and varies along the discharge in accordance with the electric field intensity. In the latter case , the light intensity varies only slightly.

From the the presented experimental evidence, we conclude that stan­ding wave discharges can be sustained either in the undercritical or over­critical density range, in contrast to the situation with traveling wave di­scharges [ 1] . This conclusion resolves the controversies found in the recent literature. Also, in the overdense regime, STWDs of reasonably good axial uniformity can be sustained, as seen from the light intensity curve in figure 5b.

>-1-(J) z w 1-z 0 _I w LL

1.00 ;-- ·- - ---r·-·- -,..------,----,.---,

0" M\\J\ (~ (\ f! ' "( -0.50 l\ \v 1 \V (\\JI /\\ 1~\ I ( -:

: )J V \v 1 ,d) \J/ I 0.25 v J v ~

~ El. FiELD LIGHT

~ 0 00

~ 1.00 r---::--r------r---,---z 1-0 0.75

:J

0.50

0 25 v El FIELD 0.00 L._ __ _._ __ -----i ___ ..l.-__ _L..___,

0 100 200 300 400

AXIAL POSITION ( mm]

Figure 5. Measured axial distributions of fi eld intensity squared and light intensity at low (a) and high absorbed microwave powers (b).

Page 375: Advanced Technologies Based on Wave and Beam Generated Plasmas

363

7. New Developments

This presentation has been limited to long discharges sustained in cylindri­cal vessels. However, for many applications, such a configuration is clearly not the most appropriate. In particular, for processing large plane surfaces, planar configurations are more suitable. Much work has been initiated re­cently, mostly on planar surface wave sustained discharges, both experi­mentally [23]-[27] and theoretically [28, 29]. The interest on this subject is growing, particularly in Japan, where a special issue (30] has been edited by Professor H. Sugai.

8. Concluding Remarks

Long microwave discharges have been developed and applied in various fields for some years already. Some of them had been thoroughly investi­gated theoretically and experimentally, their mechanism is well understood and their modeling quite advanced. Other still require further investiga­tions.

We mainly distinguish long discharges of the transmission-line and antenna-type. Only the first category actually be realized. Practical realization of purely antenna-type applicators is limited: the require­ments that the discharge does not affect the antenna properties and that the microwave power is absorbed at the axial position where it leaks out from the antenna are difficult to meet. Longitudinally uniform long discharges can be successfully realized ei­ther as TWDs with axially varying field applicators or under standing wave conditions. The interest on the planar discharges sustained by traveling or standing waves (usually surface waves) is growing because such configuration are more than tubular discharges suitable for surface treatment.

Acknowledgment. This work was supported in part by the Polish Academy of Science (project IMP 3.2).

References

1. Zakrzewski, Z. and Moisan, M. (1995) Plasma sources using long linear microwave field applicators: main features, classification and modelling, Plasma Sources Sci. Techno/., 4, 379-397.

2. Kampmann, B . (1979) Temporal development and properties of an overdense plasma produced by high power microwaves in a coaxial discharge device, Z. Naturforsch, 34a, 414-22.

3. Kampmann, B. (1979) Generation of a steady-state overdense plasma by microwaves near the dipole resonance of a coaxial discharge device, Z. Naturfor.H:h, 34a, 423-429.

Page 376: Advanced Technologies Based on Wave and Beam Generated Plasmas

364

4. Bulkin, P.S., Ponomarev, V.N ., and Solntsev, G .S. (1964) Pulsed superhigh­frequency discharges in long tubes, Soviet Physics- Tech.Phys., 8, 911- 914.

5. Dovzhenko, V.A., Melnichenko, P.P. and Solntsev, G.S. (1977) Investigation of long microwave discharges in xenon, Zh. Tekh. Fiz., 22, 190- 198 (in Russian).

6. Solntsev, G .S., Dvinin, A.S. and Tsvetkova, L.I. (1979) The comparison of some characteristics of electrodeless UHFD in waveguide and DCD Proc. XIV Int. Conf. on Phen. in Ionized Gases (Paris) J. Physique, 40, Suppl. 7, C7-227-228.

7. Bosisio, R.G., Weissfloch C.F. and Wertheimer, M.R. (1972) The large volume mi­crowave plasma generator (LMP): a new tool for research and industrial processing, J. Microwave Power, 7, 325-346.

8. Moisan, M., Beaudry, C. and Leprince, P. (1974) A new HF device for the production of long plasma columns at a high electron density, Phys. Lett., 50A, 125-126.

9. Moisan, M. and Zakrzewski, Z. (1991) Plasma sources based on the propagation of electromagnetic surface waves, J. Phys. D: Appl. Phys., 24, 1025-1048.

10. Zhelyazkov, I. and Atanassov, V. (1995) Axial structure of low-pressure high­frequency discharge sustained by traveling electromagnetic surface waves, Physics Reports, 215, 79-201.

11. Moisan, M., Ferreira, C. M., Hubert, J., Margot, J. and Zakrzewski , Z. (1996) Surface-wave sustained plasmas: toward a better understanding of RF and mi­crowave discharges, in K.H. Becker, W.E. Carr and E.E. Kunhardt (eds.), AlP Conference Proceedings 363, American Institute of Physics, 25-40.

12. Ferreira, C .M., Moisan, M. and Zakrzewski Z. (1992) Physical principles of mi­crowave plasma generation Microwave Excited Plasmas Elsevier, Chap. 2.

13. Zakrzewski, Z. and Moisan, M. (1986) The design of surface wave discharge to obtain plasma columns of specified properties, inS. Vukovic (ed.), Surface-waves in Plasma and Solids, World Scientific, Singapore, 440-466.

14. Uhlenbusch, J. and Zhang, Z.B. (1987) C02 laser excitation by microwave dis­charges, in A.Y. Spasov (ed.), Proc. Vth Summer School Quantum Electronics, World Scientific, 169- 238.

15. Freisinger, B., Pauls, M., Schafer, J.H. and Uhlenbusch J. (1990) High power C02 laser excited by 2.45 GHz microwave discharges, Proc. SPIE 1397, 311-318.

16. Wester, R. and Seiwert, S. (1991) Investigation of microwave excited C02 laser discharges, J. Phys. D: Appl. Phys. 24, 1102-1107.

17. Doerk, T ., Ehlbeck, J., Jauernik, P. , Stanco, J ., Uhlenbusch, J. and Wottka T. (1993) Diagnostics of a microwave C02 laser discharge by means of narrow-band BOXCARS J. Phys. D: Appl. Phys. 26, 1015-1022.

18. Ikeda, T., Shimazutsu, H., Abe, T. and Tanaka, J . (1994) TMo1o-mode microwave excited high power C02 lasers using a cylindrical resonant cavity, Quantum Elec­tronics 30, 2657-2662.

19. Rogers, J. and Asmussen, J. (1982) Standing waves along a microwave generated surface wave plasma, IEEE Trans. Plasma Sci. PS 10, 11-16.

20. Rakem, Z., Leprince, P. and Marec, J. (1990) Characteristics of a surface wave produced discharge operating under standing wave conditions, Revue Phys.Appl. 25, 125- 130.

21. Rakern, Z. , Leprince, P. and Marec, J. (1992) Modelling of a microwave discharge created by a standing wave, J. Phys. D: Appl. Phys. 28, 953- 959.

22 . Konefal, J ., Lubanski, M. and Zakrzewski Z. ( 1997) Experimental study of standing wave sustained discharge in a waveguide, in M. Sadowski and H. Rothkaehl (eds.), Proc . Conf. PLASMA '97, Warszawa, v.l, 263-266.

23. Komachi, K. and Kobayashi, J . (1989) Generation of a microwave plasma usmg traveling waves, J. Microw. Power Electromagn. Energy 24, 140- 149.

24. Friquet, 0. (1992) French Patent 2 694 414. 25. Nonaka, S. (1994) Proposal of non-cylindrical and large-area RF plasma production

by surface wave, J. Phys. Soc. Japan 63, 3185- 3186. 26. Ghanasev, 1., Nagatsu, M., Xu, G. and Sugai , H. (1997) Mode jumps and hysteresis

Page 377: Advanced Technologies Based on Wave and Beam Generated Plasmas

365

in surface-wave sustained microwave discharges, Jpn. J. Appl. Phys. 36, 4704-4710. 27. Sugai H., Ghanashev, I. and Nagatsu, M. (1998) High-density flat plasma production

based on surface waves, Plasma Sources Sci. Techno/. 7, 192-205. 28. Nonaka, S. (1995) The axial gradient of planar RF plasmas produced by a surface

wave, J. Phys. D: Appl. Phy11. 28, 1058-1063. 29. Cooperberg, D.J. and Birdsall, C .K. (1998) Surface wave sustained plasmas in a

metal bound plasma slab, Plasma Sources Sci. Techno/. 7, 41-53. 30. Special issue (1996) J. Plasma Fusion Research, 72, 621-662.

Page 378: Advanced Technologies Based on Wave and Beam Generated Plasmas

WAVES IN BOUNDED MAGNETIZED PLASMAS

S. T. IVANOV Faculty of Physics, University of Sofia, 5 J. Bourchier Blvd, BG-1164 Sofia, Bulgaria

1. Introduction

The increasing use of anisotropic elements in many applications ranging from microwaves to optical frequencies has prompted the study of e. m. wave propagation in anisotropic media. In the last decade there has been renewed interest in e. m. waves in anisotropic media and particularly in bounded gyrotropic plasma in connection with magnetized-plasma column, sustained by surface wave r 1,2), different applications in microphysics [4,5), high power generation [6,7], energy transform in space [8], etc. The motivation has been strengthened by the successful application of the hydrodynamic model to magnetoplasma excitations in parabolic quantum wells [9] . The new character of the e. m. waves is the base of a big variety of applications.

In unbounded isotropic medium with a dielectric permittivity s(ro) there is only one TEM eigenwave, i.e. one normal wave. The well known equation

a 2 = k~- t:(w)ol I c2 = 0 (1)

describes the dispersion of this eigenwave. Here kz is the wave number along i axis. In bounded medium the wave splits into two waves E ((TM-) wave and H- (TE-) wave) and each field component is a superposition of the partial solutions of the wave equation. It should be stressed that the wave characterised by one transverse constant, is described by a superposition of two functions:

E; =(A;/1(aa1 ))+BJ2 (aa1 ))]exp[i(kzz-wt)]. (2)

Here a-'- is the transverse Cartesian co-ordinate or the radius. In gyrotropic medium the physics of the waves is completely different. In any

kind of gyrotropic medium - electrical gyrotropic medium (gaseous, semiconductor (SC), solid state plasma, light waveguide) described by dielectric permittivity tensor & ; magnetic gyrotropic medium (ferrite, magnetic semiconductor) described by permeability tensor jL and double gyrotropic medium (some semiconductors) described

by both permittivity and permeability tensors c and jL- are two normal waves.

In such a medium Ez and Bz components satisfy a set of equations which can be reduced to a four order equation (see, e. g. , Allis et a! [ 10]), direct implication of this being the existence of two transverse constants u 1 and u 2. These constants equalised

to zero a~.2 = 0 describe the dispersion of the two normal waves. In bounded systems

367

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasnws, 367-390. © 1999 Kluwer Academic Publishers.

Page 379: Advanced Technologies Based on Wave and Beam Generated Plasmas

368

any field component is a superposition of two partial waves with different transverse constants:

(3)

These partial waves origin from normal modes. In bounded medium they can not exist independently. The e. m. wave has a clear Rayleigh character. It does not split and has all six field components. It is a hybrid one - EH-wave or HE-wave .

From a physical point of view a complete analogy with the elastic waves in solids can be outlined. It is well known in the acoustics, that a Rayleigh wave propagates in such a case [II). This wave is a superposition of longitudinal and transverse waves, the existence of which is due to the two kinds of deformation -longitudinal and transverse ones. The e. m. wave in gyrotropic plasmas is similar to the acoustic wave in solids. More over, it is shown in the Ref. [12) that one of these constants defines a wave, which is predominantly longitudinal and the other is related to a wave, which is predominantly transverse. The physical analogy of mechanical and e. m. waves is given very well by Auld [11).

This paper is devoted to analysis of waves in bounded gyrotropic plasmas The pioneer investigations in gas discharge plasma are exposed by Allis at a! in [10).

2. Propagation Regions

2.1. TRANSVERSE WAVE CONSTANTS

Let us consider a unbounded gyrotropic plasma, in which the external magnetic field B0 is parallel to the wave vector k and to the axis i - B0 II k IIi (Faraday

geometry), with permittivity tensor E: [ 13):

£1 i£2 0

0 (4)

0 0 /;' 3

•2 2 2 •2 2 2 •2 2 Here£1 =£L(I-wP l(w -we)), £ 2 =t:Lwcwp l(oJ(w -we>, & 3 =t:L(I-wP lw );

• ~ • 1/2 • 1/2 . w P = (41Tne- I m ~;· L) and w c = (e80 I m c) are the effective plasma and cyclotron

frequencies, respectively; m • and n are the effective mass and the concentration of the light carriers; £ L stands for the background dielectric constant ( ~;· L = 1 for gaseous discharge plasma). Linearizing the Maxwell's equations, we obtain that the longitudinal components satisfy a set of equations:

('Vz + /;'3 ;J1 + w2 £, )E - w £z oR = 0 ' £1 ozz LJ. ·' z c t·I oz ,

(n2 ;J1 (li ( t~ )}B (I) /;'2/;'3 oE. _ 0 v + - + - £ -- +---~-

1 oz2 ? I £1 z c ~;·I oz ,

(5)

where v: =o2 lox 2 +o2 toi. They can be reduced to a single equation of 4th order:

Page 380: Advanced Technologies Based on Wave and Beam Generated Plasmas

369

L( E z ) = 0 or L( 8 z ) = 0. (6)

Here L is a four order linear differential operator:

4 e3 tf l ' 3 2 cr L = V 1 +--4 +(-· +1)V1 - 2 +

e-1 oz .<:1 oz

2 2 2 2 4 2 w e-2 2 w 0 w e-2 -2 (e-3 +e-1 --)V 1 +-2 e-3 -:;;2+-4-£3(e-t --). c e-1 c uz c e-1

(7)

We are looking for waves propagating along the i axis, i.e. the field variation is

E = E(a1 )exp{ik2 z) and B = B(a1 )exp(ik2 z). Then of oz = ik2 and Lis defined by: 2

4 1 2 zW 2 L= V 1 --[(e-1 +e-3 )k +t·2 - 2 1)'\7 1 +e-3 ~. (8)

e- 1 c 2 2 22 2 222 22

where k = k2 - t:1w I c , ~ = (k + & 2w I c )(k - & 2w I c ).

The characteristic equation of the differential equation (6) is: 2

4 1 2 2W 2 e-1a --f(t:1 +e-3 )k +e-2 - 2 )a +£3~=0. (9)

e-1 c The transverse components of the field can be expressed by the longitudinal

ones (£2 and 8 2 ) . In Cartesian co-ordinate frame they are as follows (the system is

unbounded in y-direction):

- 1 . 2 l7E2 w 3 082 E = ~ (-tk k -- .<: --) (10)

.t z ;:J 2 3 ;:J '

uz C v'X

- 1 W2 oEz 2 W oBz E =~ (-k £ --+k --), (11)

y z 2 2 ;:J ;:J

C u X C u X

-1 2 W oEz . 2 oBz B" = ~ (k 2 £ 2 - --lk 2 k - ), (12)

c O'x ox

1 W- ~ o£2 w 2 08 2 By=~- [-i£1 -k · ---k2 £2 - 2 --], (13)

c ox c ox

where k 2 = e +t}.v2 /(&1c2).

The characteristic equation (9) is biquadratic, and, therefore, two transverse constants exist: I )1/2

2 2 2 2 2 2 2 £"1 +e-3 w £"2 [ 2 e t +£3 W £2 ] £3 ~

al,2 = k +-2 -± k +-2 - ---&1 c 2&1 £1 c 2e-1 e-1

(14)

The dispersion of the two normal waves come out after equalising to zero these two constants. The wave in bounded system is a superposition of two waves with different propagation constants. It has a Rayleigh character.

In bounded plasma the fields should satisfy the following boundary conditions:

Page 381: Advanced Technologies Based on Wave and Beam Generated Plasmas

370

(i) on a metal boundary the tangential components of the electric field are zero. i.e. E 2 = 0, Ex = 0~

(ii) on a dielectric boundary condition for continuity of the tangential electric and magnetic fields, i.e., of the field components Ex, E2 , Bx and B2 .

2.2. PROPAGATION REGIONS

In this work normalised variables are used: kzn = k 2 c I (w ;F;) and OJ n =OJ I OJ~ for the wave number k z and the frequency uJ, respectively. At such a nonnalisation all

equations do not depend on &L (i e. on the kind of the plasma). The normalisation of

k z and (J) leads to the following quantities: k n = kc I (OJ~ F:), a nl,l =a 1,2c I

(OJ;F:> and t:ni = E-"; lt:L (i = 1,2,3) The quantities OJ~, OJr and wh = JOJ;2 +OJ~ (upper hybrid frequency) take values of OJ np =I, OJ nr = N and

OJ nh =OJ h I OJ; =~- Here N = OJ c I OJ; scales the magnetization of the plasma.

' ' Both the values of a; and a; are real or complex 1131. The region of complex

a ~2 is called the complex zone and its boundary a 1 =a 2 =a is a contour.

The condition & 3 ~ = 0 leads to the equation a 1a 2 = 0 (see eq.(9)). The condi­

tion ~ = 0 is the dispersion equation of the volume waves in unbounded gyrotropic

plasma: the branch of left polarised wave k ;,_ = OJ~ ( 6' nl + 6' n2 ) and the two branches of

right polarised wave (upper and lower) k ;R = w ~ ( & nl - & n2 ) . The location of the left

and right polarised waves. the complex zone boundary and the lines w nc = N and

OJ nh = ~i;i depend only on the magnetization N . These lines together with the line

(I) np = 1 divide the (OJ n, k n)-plane to regions. in which the values of a i.2 arc different:

(i) a~2 < Q (ii) a;, 2 > Q (iii) a~ > 0 and a~ < Q (iv) a~ ilnd a ~ ilre complex.

Consequently, the squares of the transverse constants can be real or complex, depending on the values of (J) and k :. Qualitatively there are two configurations of

propagation regions. One of them is for weakly magnetized plasma (N< l) (Fig. l(a)) and the other is for strongly magnetized plasma (Fig. I (b)). These configurations do not depend on the geometry of the system. Therefore we can demonstrate the regions at different magnetization for all systems. In isotropic plasmas there are not regions similar to (iii) and (iv) . We will see that in gyrotropic plasma these regions not only exist, but also there is a solution of the dispersion equation in it. The points. in which the dispersion curve intersects the boundaries between different propagation regions, should be analysed separately. At a 1 =a 2 = a the characteristic equation (9) of the

differential equation (6) has 2-tuple root. As a result so-called singular waves propagate at the complex zone boundary. For different interfaces of gyrotropic plasmas they have been analysed in 1141 They propagate along the boundary between

Page 382: Advanced Technologies Based on Wave and Beam Generated Plasmas

371

gyrotropic plasmas and dielectric or metal region. At ; = 0 the fields (9-13) diverge

and we can not use the wave equation (6). Analyses at this case [15] arc necessary in

.,.c. ..§ 9

2 3

kzcl(oo; (E L/''2)

b

Figure 1. Regions dctennining different types of solutions of the dispersion equation in the plasma. Points C 1, c2 and A(l,l) are the tangential points ofthc curve a 1a 2 =0 with the complex zone boundary, c4 and c5 arc

intersection points ofthe curve a 1a 2 =0 with the lines w = w~ and w = wh, respectively. a) weakly magnetized

plasma (N =OJ I aJ • = 0.5); b) strongly magnetized plasma (N = w I rv· = 1.5). ( p c p

order to check if the points ~ = 0 (i .e .. the dispesion curve of the bulk waves of

unbounded plasma) belong to the wave dispesion or not.

2.3. TYPES OF ELECTROMAGNETIC WAVES AND TIIEIR IDENTIFICATION

As we have already mentioned regions the transverse propagating constants have different values in the different propagation and, different types of waves can exist simultaneously [ 16):

(i) a~,2 < 0 bulk waves;

(ii) a~ 2 > 0 Rayleigh surface waves (RSW);

(iii) a; > 0 and a~ < 0 Rayleigh pseudo-surface wave (RPSW);

(iv) a~ and a~ complex, generalised Rayleigh surface wave (GRSW). The propagating e. m. waves are hybrid modes. The waves has HE character if

B, > E, (r = Ez I Bz < 1) and EH character if E2 > B2 (r > 1). At k"' = 0 the HE wave becomes a transverse electrical (TE) wave and the Elf wave becomes a transverse magnetic (TM) wave. It was shown by Bevc and Everhard [ 17] that as k"' ~ 0 the

waves are transformed into waves of the E and H types and theirs cut-off frequencies can be found. The HE or EH character of the mode and, respectively, the value of r can change along the dispersion curve at different km (17. 18. 19]. At small values of the

Page 383: Advanced Technologies Based on Wave and Beam Generated Plasmas

372

wave number the wave can change many times its hybrid character. At large wave number values it remains the same along the dispersion curve.

The first number of the subscript in the mode notation denotes the transverse variation of the field. and the second one - only for cylindrical geometry - is the notation for the azimuthal variation (along the co-ordinate <p).

The number of the mode, similarly to its hybrid character, is defined at the cut­off frequency. We will mention that the dispersion curve at finite kZJI could belong to another mode due to modes coupling [ 12. 17. 18 I.

When the mode has not a cut-off frequency its classification is made at suf­ficiently large wave number, where both its hybrid character and the distribution of its field (also of its energy and of Poynting's vector) remain fixed. In plasma waveguide the half wavelengths in transverse direction are an integer number, but in plasma with a dielectric the half wavelengths are not an integer number.

3. Magnetoplasmons along the Interface of Gyrotropic Plasma

3.1. INTRODUCTION AND BASIC EQUATIONS

Surface polaritons are well studied (sec for example Ref [20], and the references there in). Although this problem has almost became a classical one in the optics, surface plasmons in gyrotropic gaseous plasma are not well investigated. They are relatively well studied in SC plasmas [21. 13. 221. But even in SC gyrotropic plasma, a lot of problems are not analysed. e.g. the influence of the interface, the waves at the intersection of the surface-wave dispersion with the bulk mode dispersion. the singular waves, the systematic picture of fields and energy along dispersion curve, etc.

The propagation of the surface plasmons in SC plasma were investigated by Wallis. Brion, Burstein and Harstein [2 I. 13 I. At given plasma parameters there is a gap in the dispersion curve. It has been proposed that pseudo-surface wave propagates in this gap. We will mention that this pseudo-surface wave can not exist at real propagation vector kz. because neither dispersion equation nor boundary condition can be satisfied.

The role of the de magnetic field is analysed by Chiu and Quinn [231. The dispersion of the waves in strongly magnetized plasma (with metal screen only) was considered in [21 j. A dispersion curve with an asymptotic behaviour has been plotted there. Later we will see that this is incorrect. It has been suggested in [24] that the contour of the compex zone describes the so-called degenerate waves. They were investigated in [25). Later it was proved that the contour is not degenerate waves [22). This question will be discussed in Section 3.2.

For gaseous plasma there are a few early works which were forgotten. Some re­sults of these works were rediscovered as a solution of the plasma waveguide problem. In [26], the complex zone and the dispersion curve which we have obtained for weakly and strongly magnetized plasma were obtained (without comments about their physical meaning). Arbitrary propagation is considered in [27) .

Let us consider a plane interface between a magnetoplasma described by the

Page 384: Advanced Technologies Based on Wave and Beam Generated Plasmas

373

tensor £ ( 4) and a dielectric with pennittivity & d or a perfect metal screen. The i. axis

of the co-ordinate frame is chosen to be perpendicular to the interface coinciding with the (yz)-plane. The SC plasma or gaseous one occupies the region x > 0. A Faraday configuration has been considered. The two-plane solution of eqs. (6) in the plasma region is, as follows:

£ 2 =Ez1 +£22 =£0z1exp(-a1x)+Eoz2exp(-a2x)

8 2 = 8 21 + 8 22 = B0z1 exp( -a1x) + Boz2 exp( -a2x). (IS)

The fields in the dielectric are:

(16)

2 2 2 2 wherekd =k 2 -&dw lc.

The boundary conditions implied on the plasma-dielectric interface and on the metal are, respectively:

{EzL=o = 0, { E y } X '-0 = 0,

Ezlx =O = 0, Evl =0. · x =O

(17)

(18)

In order to obtain the dispersion relation, boundary conditions have to be applied. By using the Maxwell's equations and after some algebra, the EY' BY and Bz

components can be expressed in terms of the E z component. Similarly, the E Y and BY

components in the dielectric can be expressed, respectively, in terms of 8 2 and Ez. Eqs. ( 17) are four homogeneous equations for the four unknown amplitudes: Eoz1> ~zl• E0dz and B0dz; eqs. (18) are two homogeneous equations for two

unknown amplitudes: £ 021 and B0z1. The condition for the nontrivial solution of such a set of equations (i.e. vanishing of the determinant of the coefficients) gives the required dispersion relation, which for a plasma-dielectric interface is:

(a 1 -a 2 )D0 (w,kz) =(a1 -a 2 ){a1a 2 (a 1 +a 2 +kd)&1Ed +

kd(a~ +a; +a1a 2 +kAa1 +a2 ))E1Ed +kdk 2& 3(Ed- & 3 )} = 0

and for a plasma-metal one is:

(a 1 -a 2 )DM (w,k 2 ) = (a 1 - a 2 )(a 1a 2 +k 2s, I &1) =0 .

(19)

(20)

Some of the solutions of D 0 (w,k 2 ) =0 and DM ((u,kz) =0 at real k'- have

been found by many other authors. The 2-tuple roots are considered in [ 14. 28).

3.2. SURFACE PLASMONS AND SINGULAR WAVES

The kind of the dispersion curve depends strongly on the de magnetic field Bo and on the retardation which is defined by the ratio ~:-·0L = l:-'d IE L . The dispersion curves

differ qualitatively in weakly ((I)~ > w c) and strongly ( w ~ < w c) magnetized plasmas.

Page 385: Advanced Technologies Based on Wave and Beam Generated Plasmas

374

1 0

a. . .§! :3

0.6

0.4

02 - -- - - a,a2• 0

N =w/oo~= 0.5 0.0

0 0

the low frequency part disappear.

For weakly magnetized plasma the dispersions tend asymptotically (Fig. 2) to the frequency mas [29). At fixed magnetic

field (N = 0.5) it has a gap for t: Dl. E (0,

0.0411). When the interface is to vacuum, the gap has been investigated in [26]. For EvL E(0.0411 , 0.0557) this gap disappears.

At larget·DL ( EvL E(17.055, 17.9)) the dis-

Figure 2 The dispersion of weakly magnetized plasma

( N = w, I w; = 0.5 ) for plasma-dielectric interface in

different ranges of c DL . Points S 1 and s2 are the

beginning and the end of the gap, Z 1, Z2 and Z 3 the intersection points of the singular-wave dispersion with surface-wave dispersion.

persian curve is interrupted (Fig. 2), i.e. it has another kind of a gap. At t ·DI. > 17.9

For strongly magnetized plasma the dispersions are limited. At E DL ::;; 2.81 they

have an end point at the crossed point of the lines m = OJ; and a 1 a 2 = 0 (Fig. 3). At

2 .8l<EDL <2.99 the dispersion curve has been interrupted (Fig. 3) and with increasing

c. .§! :3

1.0

0.8

0.6

0.4

0.2

00 00 0

- sing-.iar-wave

dispersion --- -· a 1a 2=0

N = oo/oo~= 1.5

Fig ure 3. TI1c dispersion of strongly magntized

plasma (N = oJ I w • = 1.5) f(lr plasma-didec-' p

tric tnterface in different ranges of <. Dl .

2.0

1.0 -_-y ;o· 0.8

,, )'--, zone

1 '·boundary

a. 0.6 .§! :3

0.4

." singular-wave

0.2 dispersion

' surface--wave N = w/w~::: 0.5 dispersion

0 .0 oo

Figure 4. The dispersion of weakly magne-tized plasma for metal-plasma interface.

the parameter E DL . its upper partr desappears continuasly and the lower decreases. For

big values of t ·vL the latter also desappears. For weakly magnetized plasma, only the

upper branch exists at big values of E DJ ( E DL > 17.9). At the same time for strongly

Page 386: Advanced Technologies Based on Wave and Beam Generated Plasmas

375

magnetized plasma the surface wave does not exist at big values of &oL (c DL >3.44).

As far as a metal-plasma interfaces concerned, according to [22, 29}, the solu­

tion of DM ( w,kJ = 0 (20) describes the wave in the range w 5, w c· In [30} the authors

state that the wave exists only in weakly magnetized plasma. A careful analysis of (20) (see (311 for SC plasma and [ 16) for gaseous one) shows that in the interval

w ~ < w < w c where a~.2> 0, the permittivity components &1 and c2 are positive. Conse­

quently, in this interval the eq. (20) has not physically reasonable solution. The disper­sion of weakly magnetized plasma for the metal-plasma interface is shown in Fig. 4.

Finally we would like to mention that the points S 1, S2 and C in which the surface wave dispersion approaches the bulk one, do not belong to the dispersion curve. This can easily be proved following [ 15).

In the case of multiple roots the solution can not be taken in the form ( 19). But one can take two linearly independent solutions in the following form ([32], Sect. 2.3):

Ez =(E~1 +E~2x)exp(-ax), Bz =(8~1 +B~~)exp(-ax) . (21)

The crystal direction in which a 1 = a 2 is called a singular axes and along it

one must use the solution of the kind (21 ). The analysis for a singular axes along the interface of an anisotropic medium has been performed in (33) . In the chosen system we analyse a hypothetical medium with a 1 (w, kz) = a 2 (w, k 2 ) = a(w, kz) , i.e. a

medium with a singular axis i.. The field-components solution in the form (21) must

be supplemented by the boundary conditions. This results in dispersion equations for singular waves along dielectric and metallic boundaries:

a\2a + kd)&1& d + kd(3a 2 + 2akd)c1cd + kdk 2 &3 (c d- c3 ) = 0, (22)

2 2 a +k &3 I & 1 = 0.

The dispersion of the hypothetical medium and the dispersion of the real medium are plotted on the same figures: along a dielectric they are shown in Figs. 2 and 3 and along a metal screen - in Fig. 4. The plot of the singular wave dispersion intersects the real dispersion curve just on the complex-zone contour. So, the complex contour has a physical meaning - it is a set of points, which at different frequencies in

the range of w E (0- w ~) describe singular waves. However to cover the whole range

one has to change the magnetization of the plasma and the parameters of the system. As the complex zone does not depend on the system geometry, the analogous conclusion can be done for planar waveguide, plasma column and plasma waveguide.

If the fields are calculated from eq. ( 19) one can prove rigorously that they are equal to zero ([22] for a dielectric interface and [34] for a metal one). Taking into ac­count the singular fields ( eqs. (21)) one obtains the correct value. As one can see in Fig. 3 in [18), the field of the singular wave is consistent with t.he field of the neighbour points of the dispersion. This is an important result for the theory of plasma column sustained by the travelling surface wave. There have been essential difficulties in obtaining the fields at a 1 = a 2= a I 1]. There the authors were simply forced to avoid these points.

Page 387: Advanced Technologies Based on Wave and Beam Generated Plasmas

376

3.3. ELECTROMAGNETIC FIELD AND ENERGY

In the system with a dielectric the field distribution of Ez and E Y is similar to that of

the displacement for the Rayleigh wave in solid state [35). The longitudinal field E z has always its maximum at the boundary. But the maximum of the transverse compo-nent E Y (in analogy with the wave in acoustics) is displaced towards the plasma.

In Fig. 5 the fields for RSW and GRSW are shown. One can see that the RSW has two types of fields transverse distributions - such as an aperiodic surface wave and that of bona fide one (Fig. 5). Typical for the GRSW are attenuating oscillations. At

·----·aperiodic RSW 1\ 0.8 f.-- GRSW \

- bona-fide RSW ,

-~ 0.6 r:: :J

2:- 0.4 ~

\ \

\\ :t= .0

\

iii 0.2 \

/ 0.0+--- v--

'\·~~~ ... .................

-0 2 +---+--+---t-+---+--+--+--+-1 -2

x/).

Ftgure 5. Three kind5 of E, field distribution: transverse aperiodic RSW: GRSW with attenuating oscillations; RSW of bona-fide.

1.0

0.8

~ r::

0.6 :J

~ g

0 4 :0 lv

0.2 --0.0

0.0 0 1 0.2 0.3 0.4 0.5 0.6 0.7 0.8

ro/ro~

Figure 6. The normalised energy distribution in dielectric (ud I u) and m plasma (uP I u)

(ud +up= u) and the dependence of the modules

of the energy flow vector S on frequency in weakly magnetized plasma N =05 (1: DL = 1).

the beginning of the dispersion curve at small k z the wave is almost transverse and

both the energy and the energy flow vector of the wave are concentrated predominantly in the dielectric. Along the dispersion the energy in the plasma increases. Meanwhile the total flow decreases. This decrease is mainly due to the reduction of the group velocity of the wave. Our numerical investigation demonstrates that when w ~was the whole energy is always in plasma, meanwhile in dielectric it tends to zero (see Fig. 6) .

4. Planar Waveguide

4.1. INTRODUCTION AND BASIC EQUATIONS

The use of gyrotropic plasma layer in different applications (from microwave to optical requency) has prompted the study of e. m. waves in such a layer. The gyrotropic layer

Page 388: Advanced Technologies Based on Wave and Beam Generated Plasmas

377

can be used in parallel-plate guide (36) in layered anisotropic waveguides (4), in ultra

thin films sandwiched by lossy material for propagation of surface optic waves [37), in thin magnetoplasma films (38), in microwave attenuators controlled by external magnetic field (39], etc.

We analyse a paralleled-plate waveguide filled with gyrotropic plasma,

described by the tensor E: ( 4 ). The perfect conducting metal walls of the waveguide are placed at x = 0 and x = d. The waveguide is considered to be infinite in y axis

direction. An external magnetic field B0 is applied along the i axis. The papers on

gyrotropic plasma have been devoted mainly to free [38] or grounded layer [40, 41]. In [42] Miyahara and Kobayashi have analysed the spectrum of the waves and have made

a classification of the waves propagation using a we I w, (wp I w)2 diagram in planar

waveguide filled with gyroelectric plasma. This dependence is very useful for the analysis of plasma sustained by electromagnetic waves (1, 43]. But it hides the physical

picture of proper spectrum at constant w P and w c·

In the planar waveguide we consider all four roots of eq. (9) in order to satisfy

the boundary conditions. The fields when a~ ~a~ are in the following form :

E 2 = E01 exp(-a 1x) +E02 exp(a 1x) +E03 exp(-a 2x) +E04 exp(a 2x), (23)

Bz = i/31 [E 01 exp( -a1x) + E 02 exp(a 1x)]+if32 [E03 exp( -a 2x) + £ 04 exp(a 1x)].

where fJ1.2 = c(e3K 2 - e1a~2) I wkze2•

The use of the boundary conditions

Ezlx=O=O, Ezlx =d=O, EYix =O=O, EYL=d=O. (24)

and ofthe relations (25) and (ll) leads to the following dispersion equation

2 2 4qb2 -(q +b2 ) ch[(a 1 -a 2 )d]+(q -b2 ) ch[(a 1 +a 2 )d]=O, (25)

2 2 2 2 where q = (e 3k1 - e1a 1 )a2 and ~ = (e3k 1 - e1a 2 )a1•

On the boundaries between different propagation regions neither can the fields be taken in the fonn given in eq. (23), nor can the dispersion equation be taken in the

form given in eq. (25). When a; =a; = a 2 the fields are as follows:

E: = (E~1z + £~22 x)exp( -ax)+ (E ~3z + E~zx) exp(ax), (26)

B: = i/31 (B~1z + B~2zx) exp( -ax) +if32 (B~3z + B~2 X) exp(ax) .

After applying the boundary conditions (24) to the fields in eqs. (26) we derive I d. . . f h . I 2 2 2 t te tsperswn equatiOn o t e smgu ar waves at a 1 = a 2 = a :

2 22 2 2 2 22 2 (e3k1 +e1a 1 ) sh (ad)-a (e 3k1 -e1a 2 ) d =0. (27)

For the left and right polarised waves the condition f = 0 is satisfied and the

fields components diverge. As we will see later the dispersion of the propagating wave intersects these lines. To answer the question if these intersection points belong to the

Page 389: Advanced Technologies Based on Wave and Beam Generated Plasmas

378

dispersion it will be necessary to analyse the case .; = 0. By following the article 1!51 we can prove that the e. m. waves propagate in these points.

4.2. ELECTROMAGNETIC MODES

Since the transverse propagating constants have different values in the propagation regions. different types of waves can exist simultaneously. The numerical solutions of the dispersion equation (25) show the existence of four families of waves (Fig. 7):

I. Waveguide modes: HEw (w n > w nR) and EH w (w n > l) .

2. Anisotropic modes: upper HE: ( w n > m nh) and lower HE; ( w n < (0 nh) .

3. Cyclotron HEc modes: (wnL <mn <Wnh).

4. Plasma EH P modes: (wn < min (1, /1/)).

Here wnR = (N+~N 2 +4)12 and (!)nL =(-N+~/1/ 2 +4)/2 are the cut-off frequencies at k n = 0 for the upper right and the left polarised waves. respectively.

The dispersion curves for weakly and strongly magnetized plasma are shown in Fig. 7. The first difference between the two cases is in the asymptotic frequencies of the plasma modes. For weakly magnetized plasma all EH P modes have as an upper limit the lower right polarised wave (i.e the line with the frequency wen " N) while for strongly magnetized plasma. the upper limit is the line with frequency w n ~ I. The second difference is in the asymptotic frequencies of the cyclotron modes. For weakly

4 0.. 2.0

~ :3

--HEw.IIYe -EHvn\'e -- -·· a,at• O

kn=kzc/({j);(c/ 12)

a

3 • 112

kn=k,cl(wp(cd )

b F1gure 7. Solutions of the dispersion equation : a) weakly magnetized plasma (N = 0.5. d, = 2); b) strongly magnetized plasma (N = 1.5. d, = 1.5) .

and strongly magnetized plasma it is (.On ~ ! and wn N. respectively.

4.3. THE INFLUENCE OF THE WIDTH AND THE MAGNETIC FIELD

The dependencies of the cut-off frequencies on the width and on the magnetization are shown in Fig. 8. The cut-off frequencies of all the modes decrease with an increase of

Page 390: Advanced Technologies Based on Wave and Beam Generated Plasmas

379

the width (Fig. 8(a)). Qualitatively, the propagation of the waves depends on the value of the width dn as compared to the two characteristic widths dhm and dcm . The value

dhm is the width at which the EH:;; mode starts at the upper hybrid frequency

w nh = w h I w ~ = w c ~I + N 2 and the value d hm is the width at which the frequencies of

HE';, and EH ';,,1 modes coincide (sec Fig. 8(a)).

2.5

2 0

... ~ 1.5

'· 1.0

0.5

0.0 0 0

' / I I ' . r.£": :-e;~ HE: ·~ ~

f · boc-dwovof

a

10

b

---------, E~1~

' EH~

Figure 8. The dependence of cut-off frequency on: a) the width of the waveguide d, (N = 1.5) ~ b) the

magnetization of the plasma N (d, = 6) The waveguide modes are plotted with thin lines and the cyclotron

modes with thick lines.

At a relatively small width when dn is smaller than dh1 the beginning of the

Ell t mode is above the upper hybrid frequency and the anisotropic mode HE; starts

at wn = wnh and kn = 0 (see Fig. 7(b) at dn = 1.5 < dh1 = 2.1 ). The waveguide modes do

not cross each other. With an increase in the frequency, they follow the order EH;,

HE;·, EH ;+I and HE ;+I. Along the entire dispersion curve they remain as the

EH and HE waves respectively. The anisotropic HE; mode is always a HE 1 wave.

When dn tends to del < dh2 the cut-off frequency of the EH; mode become close to

the cut-off frequency of HE t and the mode HE 1"' starts as backward wave (Fig. 8(a)

and Fig. 9(a)). At dn =del the beginning of the two modes coincide.

After a further increase in the value of dn , when it is greater than del but close

to it, the EH; starts as backward wave below HE t (Fig. 9(b)). Here HE 1"' has nonnal

dispersion. Figure 8(a) shows the circles around dd,d,.1 ... d,.m which denote the

backward waves. It can be seen in Fig. 8(a) that in the region of waveguide modes backward waves start only in the vicinity of the width dcm at which the cut-off

frequencies of the HE;;: and Ell ;·+I modes coincide. For dn < dcm, HE ; · mode starts

as a backward wave and for d11 > dcm Ell ~+I docs the same.

Page 391: Advanced Technologies Based on Wave and Beam Generated Plasmas

380

• a. ~ 8 II

2.5

2.0

2 3 4 • 112

kn = k,c/((l)p(cJ )

a b

F1gure 9. The changes of waveguide modes at different widths(N = 1.5) : a) at d. = 3.45 < d,1 = 3.51 the HE1w

mode starts as backward wave: b) at d" = 3.55 > d,, = 3.51 the EH ; mode starts as backward wave.

The increasing of the width of the waveguide causes an increase m the

frequency of the EH P modes. The influence of the magnetization is shown in Fig. 8(b). The cut-off

frequencies of the EH; modes do not depend on the magnetization. When N ~ 0 the

cut-off frequency of HE; mode tends to the cut-off frequency of EH; mode, and the

cut -off frequency of the HE~ tends to w n = L With an increase in the value of N the

cut-off frequency of HE; increases and asymptotically tends to the line w n = w nh .

Both increasing the magnetization and increasing the width causes a decrease

in the cut-off frequency of EH w modes compared to the HE;· and HE~ modes. So we

can juxtapose the magnetization N hm and N cm to the widths d11m and dcm (sec

Fig.8(b)).

5. Free Plasma Layer

5.1. INTRODUCTION AND BASIC EQUATIONS

Plane layers have been widely used. Isotropic plasma layers are well studied [44, 45) . In magnetized layers the analysis is made in different approximations [38, 46, 47] as well as for the layer in a waveguide 148). A recent basic paper, where a free layer (i.e. bounded by dielectrics) of width d has been investigated, is [38]. An analytical solution k~ (w) has been found in two approximations: in a non retarded limit and in a

thin film. These approximations do not allow the authors to see many characteristics of

the wave propagation especially close to the dielectric light line w = k zc 1F-; , where

they are not valid. As a result a clear physical picture of the e. m. wave dispersion. of

Page 392: Advanced Technologies Based on Wave and Beam Generated Plasmas

381

the wave families and of the field and energy distribution for the eigenmodes can not

be given. In many applications these questions are very important (see [491).

We consider the waves in a gyrotropic-plasma layer of a width d bounded by

dissimilar dielectrics characterised respectively by permettivities c dl and c d 2 . The

magnetic field B0 is assumed to be oriented along the i axis, which is parallel to the

two interfaces in the (y, i)-plane (thus kv = 0). The propagation of the wave is

parallel to B0 . Cases cd1 = t:d2 = cd and t:d1 "'- cd2 are referred as symmetric and

asymmetric layers respectively. In the plasma the field are in the form (25) whereas in the dielectric they are:

Ed2j =£0d2jexp[(-1)1kdJx), Bd2j =B0d2jexp((-1)1kdJx). (28)

2 2 2 2 . Here k dJ = k 2 - c dJm I c and J = 1, 2 .

The boundary conditions implied on plasma-dielectric interface are:

(29)

We have four unknown amplitudes (constants) in the plasma layer (eqs. (23)

and four- in the two dielectrics (see Section 3.1). In order to determine the dispersion

relation the fields of both interfaces (x = 0 and x = d) have to be matched. This

matching of the fields gives eight equations for the constants. Four of the constants can

be easily eliminate. Four homogeneous equations in tenns of four unknown amplitudes

remain (this considerable amount in algebra can be found in [38]). The condition for

the nontrivial solution of such a set of equations gives the required dispersion relation:

cxp[(al + a2)d)(~lb13 - ~3b11Xb44h:.2 - b34b42) + exp[(al - a2)d)(~4bll - bl4~1)(b43h,2 - h42h33) +

+ (f74b13- h14f73)(~1b42- ~2b41) + (hllb22 - b12f71)(b44b33- ~4h43) + exp[-(al - a2)d] x (30)

Cb12f73- bnbn)(b44~1 - ~4b41) + cxp[-(al + a2)d](f74h12- b14~2)(b33b41- b31b43) = 0.

Here bij arc the elements of the matrix:

a 2 (a; -e)(a l +K' dl)

a2(alcdl +£3/(dl)

a2(a; -.;2)(al -K"d2)

2 2 a2(a2 - .; )(ai-K"dl)

a2(alcdl - cJK"dl)

a2 (a; - .g2)(al +K" d2)

al(a~ - .;2 )(a2 +K"dl)

al (a2cdl +C3K" dl)

al(a~ -.;2)(a2 -K"d2)

al(a~ -~2)(a2 -Kdl)

a2(alcdl - c3K"dl)

al(a~ - .;2)(a2 +K" d2)

a2(alcd2 -c3K"d2) a2(alcd2 +t:JK"d2) a1(a2cd2 -c3K"d2) al(a2cd2 +c3K"d2)

For the multiple root a~ =a~ = a 2 an independent solution can be taken in the

fonn given by eq. (26) and in this case the singular waves propagate. The fonn of the

dispersion equation ofthe singular waves in this case is the same as given in [19).

It can be rigorously proved, by following the method of (15), that the points of

intersection between the dispersion curve and the lines ~ = 0, which describes the

dispersion of the wave in unbounded plasma. belong to the wave dispersion.

5.2. STARTING FREQUENCIES

A general view of the propagating four families in a free plasma layer are

plotted in [49) . The anisotropic HE a modes. which propagate in a planar waveguide

Page 393: Advanced Technologies Based on Wave and Beam Generated Plasmas

382

(Fig. 7), do not exist here. It can be seen in Fig. 1 in (49], that only the beginning of

the wave-guide mode HE t penetrates into the upper pseudo-surface region, i.e. into

the region of the HE a modes (Fig. 7). Three differences in the behaviour of the c. m. waves in weakly and strongly magnetized plasma are described in [49] .

The hybrid character of the waves is defined by the parameter r . Although the cyclotron modes at the beginning of the dispersion curves are HE waves we will

follow the tradition ( 17, 12, 18) and denote them as HE~ modes. Herem in the mode

notation is the serial number of the modes and it does not coincide with the number of half wavelengths in the transverse distributions of fields, energy and Poynting's vector.

The wave dispersions of a free plasma layer depend on four parameters: & ou , & nr.2 • the width dn and the magnetization N. The dispersion curves are to the right of

the light line w" = k n I J & DL , where & DL =max( l'DL 1, t:0 L 2). The light line crosses

the line of upper right-hand polarised wave at the frequency w n2 (Fig. 1 in (49)}.

Any mode starts on this line at a frequency, called a starting frequency This is totally different wave characteristic compared with the cut-off frequency in a planar waveguide. The starting frequencies move along the light line in another way then the cut-off frequencies along the y axis at k" = 0. The motion of the cut-off frequencies

and the crossing of dispersion curves allow the coupling of the modes to be followed [17, 12, 18). In a free layer this crossing is virtual and one can imagine that it would happen to the left of the light line. i.e . in the region where the waves do not exist.

The starting frequency of all waveguide modes increases with & DL. The depen­

dencies of the starting frequencies on the width dn are shown in Fig. 10. Both in an

4.0 4.0

3.5 3.5

3.0 3.0

2.5 2.5 "'"' . Q.

_g '-§' 2.0 :3 20

~ II c :3

1.5 1.5

1.0 1.0 .

0.5 EH~ HEi HE~ HE~ 0.5

2 3 4 5

dn = d (m;,rc ) 112)/c

0.0 0.0 0 0 2 3 4 0 0

• 112 dn = d ( wp(<-J )/c

a b Figure J(J. lbc dependence of the starting frequency on the width of the free layer d. (N = 1.5): a) an a'ynunetric

layer £ f!f. l = 0.2. £ DLl = 0.4 ; h)a symmetric layer L"vu = l:vu = t:DL = 0.4 .

asymmetric layer (Fig IO(a)) and in a symmetric one (Fig. IO(b)) the starting frequencies decrease on the layer width d" . The comparison between Figs. IO(a) and I O(b) shows how the symmetry of the layer effects the starting frequencies. When l' DL 1

tends to £ m. 2 the curves of the different modes go closer to each other and at t 'oL 1 =

Page 394: Advanced Technologies Based on Wave and Beam Generated Plasmas

383

1: DL 2 = 1: DL they acquire tangential points (point A1 for EH t and HE; modes and

point A2 for HE; and EH; modes in Fig.lO(b)) . In these points the starting frequencies of the different modes coincide and there is a coupling of the modes.

The starting frequencies of the most modes increase with N. The effect of the layer symmetry on the starting frequencies as a function of N is similar to the effect of that to the starting frequencies as a function of the width. When t: DLI tends to 1: DL 2

the curves of the different modes go closer each other and at t: DL 1 = t: DL 2 = 1: DL they acquire tangential points analogously to points A1 and A2 in Fig. lO(b).

With increasing both the layer width dn and the magnetization N the starting frequencies of the waveguide modes tend to the upper hybrid frequency w nh .

6. Grounded Plasma Layer

The basic equations, the dispersion relation and the four families of the propagating waves in a grounded plasma layer are given in [ 19). Qualitatively the propagation is analogous to that in a free plasma layer.

When we compare Fig. I from [ 19] and Fig. 7, we see that there is an essential difference in the propagation of magnetoplasmons in grounded layer and in planar waveguide. In a planar waveguide all modes from the waveguide family to the cyclotron one have cut-off frequencies . In a grounded layer their dispersion curves begin at a starting frequency which lies on the light line in the dielectric. The dependencies of the starting frequencies on d and on N are shown in Fig. 2 in (19) . The starting frequencies decrease with the width d n (Fig. 2(a) in [ 19]). For the most modes they increase with the magnetization N. In both cases of waveguide modes they tends to the upper hybrid frequency. The modes (in the order

EH ~ ,EH; , HE 1w, HE; ... . ) enter consequently into the region of the pseudo-surface waves and go to the line w nh .

7. Anisotropic Waves

The waveguide, cyclotron and plasma modes have analogues in a plasma layers (and cylindrical waveguides) at 8 0 ==> 0 or 8 0 =:::> oo . Anisotropic waves exist only at finite magnetic field 8 0 . The main part of theirs dispersion curves lies in regions

where af > 0 and a~ < 0. But the beginning of these curve may be in regions, where

the general surface waves (the complex zone) or Rayleigh surface waves (af > 0 and

a~ > 0) propagate. There are two regions of existence of the anisotropic waves - upper (wn > wnh) and lower (wn < wnh). In general. the anisotropic waves are pseudo­surface waves. But in all regions of theirs existence the positive propagation constant predominate and they as a rule have a character similar to the surface wave.

In the upper anisotropic region the wave has HE character and it exists in pia-

Page 395: Advanced Technologies Based on Wave and Beam Generated Plasmas

384

nar waveguide (Fig. 7). In the other layers only the beginnings of the wavegide modes penetrate in this region and acquire an anisotropic character. In lower anisotrpic region there are always two modes- upper and lower (in (451 -quasi-surface modes) .

2 4

-- tDi.._ 1=0.5, t Du=2

- E['l.1 :::: EDL2::::2

N == w(lw~ ::: 0_5

dn = 2

6 8 2

a b

4

-dn=O.S

-d~-1

-dn=2

EDL 1= t ou=O_S

N = w,Jro~ = 0.5

6 8

Figure 1/. Anisotropic waves: a) the anisotropic modes EH; and Ell; and corresponding to them surface waves

SW 1 (F. DLI = 0.5) and SW 2 (c DL> = 2): b) the anisotropic modes E11; and Ell: at different widthsd, m a

symmetric layer ( SW 1 = SW 1 = SW )

In a free layer there are two interfaces. The surface wave SW1 or SW2 would pro­pagates if only the one interface would exist, i.e. they would propagate along the semi­space boundary between the plasma and the one of the dielectrics. The two surface wa-

ves SW1 and SW2 are plotted in Fig. II a. In an asymmetric layer the lower mode EH f and the upper EH ~ one origin, respectively from S'W1 and SW2. At large k n the EH f mode tends to sw2 ( ,_.DLI <c DU) and the EH: one tends to swl In a symmetric layer

the anisotropic EH t and EH ~ waves tend to the corresponding surface wave respec­

tively from below and from above. Their dispersions are shown in Fig. lib at different dn. With increasing d 11 the two anisotropic waves tend to the surface wave SW which

propagates along the single interface between plasma and dielectric with t: DL .

The energy density and the Poyntig's vector distrbutions of both anisotropic mo­

/ '

02

L---~ --·-11 .0 o , l X

des in weakly magnetized plasma and at small number of strongly magnetized plasma have clearly expressed surface character. They are concetrated predominantly in the dielectric where they decay very slowly (Fig. 12)

hgure /2. The distributions of the energy (solid line) and the Poynting's vector (dashed line) of the anisotropic waves in strongly magnetized plasma N=1.5 at k. =0. 3 for

Ell~ and Ell: modes in a free plasma layer.

In strongly magnetized plasma with the increasing of the wave number the both modes

Page 396: Advanced Technologies Based on Wave and Beam Generated Plasmas

385

enter into the region of pseudo-surface waves and consequently acquire the volume character.

The grounded layer has two interfaces: a dielectric and a metal ones. The aniso-

tropic waves are two: EH fand EH: (Fig. 13). Here SW1 and SW2 are the surface wa­ves along plasma-dielectric and plasma-metal interfaces (between two semi-spaces). With increasing d,. the anysotropic modes tend to these surface wave (Fig. 13).

dn = 0.5

···· ·· dn:: 1

- d, =2

tDL =0.5

-··f ······ ...... .... ····· ·

I __ _j Elf

··· · ara2=0

2 3 4

kn=kzcl( w~(cJ 112)

Figure 13. Surface waves SW 1 and SW 2 in weakly

magnetized plasma and the corresponding to them

anisotropic modes EH; and EH: in a layer on a

substrate at different widths d • .

1.00 1 2 X o.e[j· o.s c) / 0 .4 . 0.2 ,/ . :~~ . ·. E. ~·· .. ·0 .6 u

-0.8 ·. : -1.0 --·- . :

::~ .. a·. ).·. - --l· 0.6 ·.

o.• EH~ 0 .2

ooo 1 2 X

08]1 2 X~: 0.4 0.2 0.0

~~~ -0.8 -<1.8 ·1.0

1.0 0.8

0.6

0.4

0.2

2 xo.o

F1gure 14. The evolution of the distributions of the energy density (solid line) and of the Poynting's vector (dashed line) of the anisotropic waves in

weakly magnetized plasma for EH; mode at

k. = 03 (a) and at k. = 40 (b) and for EH: mode

at k. =OJ (c) and at k. = 40 (d) in a grounded

plasma layer ( N = 05, cDL = 0.4. d. = 2 ).

In free and grounded layers. when the width of the layer increases. the anisotro­pic waves go closer to each other and when it is very large. they tend to the surface ones, propagating along the corresponding interface of the plasma. When the width is not very small the two waves repel and they are far enough from each other. They origin from the surface waves, which propagate along the corresponding interface.

The distributions of the anisotropic EH ~ and EH = modes change significantly along the dispersion. In weakly magnetized plasma at small wave number the distribu-

tions of EH ~ and EH = modes (Fig. 14(a. c)) show theirs origin from the surface wa­

ves. The distributions of EH = mode are concentrated on the boundary with dielectric (Fig. l4(d)) . The maximums of the energy density and the Poynting's vector of the

EH f mode are at the metal (Fig. 14(a)) For the EH = mode these two distributions

have different signs. At large wave number the Ell= mode strengthens its surface

character (Fig. l4(d)), whereas the EH f mode acquires volume character (Fig. 14(b)). The behaviour of the anisotropic waves in a planar waveguide is another. The

upper anisotropic wave here has a HF character. As it propagates in the upper pseudo­surface region (the HE; in Fig. 7) the upper anisotropic wave in the lower pseudo-

Page 397: Advanced Technologies Based on Wave and Beam Generated Plasmas

386

surface region is called HE 1a mode. With an increase in the width, the lower HE t tends to the lower right polarised wave. At small widths the EH t propagates outside

the complex zone (Fig. 15(a)). The increasing of the waveguide width causes an

increase of the frequency of the EH P modes and the EH t mode enters the complex

zone and becomes an anisotropic mode (Fig. 15(b)). With the further increase of the

width the dispersion of the EH { mode tends to the dispersion of the surface wave

which propagates along the plasma-metal interface [16]. So the lowest plasma mode (related to the mode number) plays the role of the anisotropic wave. We would like to

mention that from all plasma modes. the EH t mode is the only one which penetrates

0.5 --HE wave -EHwave ---- - a 1a;z0

a

• c..

i II

c 8

--HE wave -EHwave ·-·-· a 1crl•O

N = "'""'~ = 1.5 .___ __ _. d0 = 6

1 2 3 • 112

kn=kzcl(wpfcL) }

b

4

Figure 15 At large width (d. = 6) the dispersion of the EH 1P mode penetrates into the complex zone.

into the zone. Note that in the cylindrical waveguide all plasma mode with different azimuthal number I (but with fixed radial number n = I) can propagate in the complex zone [12]. In the planar waveguide the system is degenerated on number I.

'& §

0.3 .... ,~~~-

~ ..

f -01 =~\: ~ -0.2 -~: \\_1'

~ .. ... \, -0 .3 -·---· e,

01 23 456

X

0.2

- •. ...... :~ ~

-0 .3 -k+++--... +--.•. B.f-, -+---J 0 1 23456

The distribution of the fields of the anisotropic modes in the com­plex zone is shown in Fig. 16.

Figure 16. 'lbe field' in the complex zone

(d. = 6. N = 15. k n = 0.8) of: a) EH 1P mode:

b) HE ; mode.

The distributions of the magnetic components B x , B, and B r differ

only by their signs compared to that at metallic plate and the electrical

Page 398: Advanced Technologies Based on Wave and Beam Generated Plasmas

387

ones are reverse: the components Ex and E Y of the HE; mode has one half

wavelengths, the component Ez of EH tmode has two half wavelengths and vice

versa .

8. Cylindrical Plasma

We are not going to analyse in detail this interesting case. We shall only mention some of the articles on this topic. The actual investigations are exposed in ( 12, 44, 45) (plasma waveguides), [46, 47, 48) (waveguides partially filled with magnetized plasma), (2, 491 (plasma columns), [50) (nonreciprocal effects) and (51 , 52,53 , 54) (experiments) .

The questions about the anisotropic waves and the penetration of the plasma waves into the complex zone are considered in (45, 12, 55) .

Among the earlier works we would like to underline the study in [ 17, 56).

9. Conclusion

The configuration on the (w,kz )-plane of the propagation regions in magnetized boun­

ded plasma depends only on the magnetization N of the plasma and is of two kinds -one for weakly magnetized plasma ( N < 1) and another for strongly magnetized plasma (N > 1). Four families of the waves can propagate in different regions - waYeguide, anisotropic, cyclotron and plasma modes. When there is not a metal boundary in the guiding system the waveguide modes do not exist in gas discharge plasma. The waves can be bulk, surface, pseudo-surface and general surface ones. They posses a Rayleigh character - i.e. each wave is a superposition of partial waves with two different transverse constants. The waveguide. cyclotron and plasma modes have analogues in bounded plasma when 8 0 :::::> 0 or 8 0 :::::> OCJ. Anisotropic waves exist only at final

magnetic field 8 0 .

At the crossing points between the dispersion curve and the complex-zone boundary. singular waves propagate. The crossing points of the dispersion with the lines of the right and left polarised waves also belong to the dispersion of propagating e. m. waves in different layers and in cylindrical structures.

The waves in the waveguide are of hybrid EH or HE character. The criterion for this is whether the ratio Ez I Bz is respectively larger or smaller than unity. At the cut­

off frequency the EH wave becomes a TM wave and the HE wave becomes aTE wave. Analysis of the influence of the width dn and magnetization N shows that the

in-creasing both N and dn causes a decrease in the cut-off frequency of the EH w

modes as compared to the HE"" and HE ,. modes. This leads to the penetration of the

EH w modes in the region of the anisotropic and the cyclotron waves and the appearance of new anisotropic modes. Meanwhile the backward waves appear both in the regions of the waveguide and the cyclotron modes. The increase of both the

Page 399: Advanced Technologies Based on Wave and Beam Generated Plasmas

388

magnetization and the width causes a decrease of the starting frequency of all modes.

Due to the increase of both Nand dn, the EH t mode passes from the region of the

plasma waves to the region of the complex zone. The fields of the four families should be different as they exist in different re­

gions. They consist of the fields of the partial waves with different transverse constants, which can have exponential or oscillating character. At some conditions the partial waves which belong to one of the transverse constants can be negligibly small or zero. In this case the e. m. wave is defined only by the partial wave with the other transverse constant. This is the reason for the similar character of the waves in different regions. The waveguide, cyclotron and plasma modes have bulk character.

When the dispersion of the EH t mode penetrates in the complex zone, the wave

becomes a generalised surface wave. The field of the surface, pseudo-surface and general surface waves is closed to

the metal boundary, i.e. they have quasi-surface character. The anisotropic modes have pseudo-surface character and their field changes qualitatively along the dispersion.

When the plasma is bounded by a dielectric, the energy at the beginning of the dispersion curve is in the passive medium and along this curve it gradually passes into the plasma.

Acknowledgements

This work was supported by the National Fund for Scientific Research under Grant No F-819.

References

1. Benova, E., Zhely3.7..kov, I., Staikov. P. and Cap, F. (1991) Modeling of the plasma colunm produced and sustained by a traveling electromagnetic wave in a presence of a constant axial magnetic field, Phys. Rev. A 44, 2625-2640

2. Margot. J. and Moisan M. ( 1991) Electromagnetic surface waves for a new approach to the investigation of plasmas produced at electron cyclotron resonance (ECR), J. Phys. D: Appl. Phys. 24, 1765-1788.

3. Margot. J., Johnston, T. W. and Musil, J. (1992) Principles of magnetitically assisted microwave discharges, in M. Moisan and J. Pelletier (eds.). Microwave Excited Plasma, Elsevier, pp. 181-212.

4. Paiva, C. R. and Barbosa, AM. (1991) Spectral represantation of self-adjoint problems for layered anisotropic waveguide, IEEE Trans. Microwave Theory Tech. 39, 330-338.

5. Kalluri. D. K. (1993) Frequency shifting using magnetoplasma medium; flash ionization, IEEE Trans.Plasma Sc1. 21,77-81.

6. Onishchenko, I. N. and Sotnikov, G. V. (1992) Dispersion of plasma wave in a finite magnetic field

(w 11 > wP). SovietJ. Plasma !'hys. (Fizika Plasmy) 18,355-365.

7. Carmel, Y.. Minami, K., Low, P. A. l)estler, W. W .. Granatstein, V., Abe. D. K. and Rogers, J. (1990) High-power microwave generation by excitation of plasma-filled rippled boundary resonator, IEEE Trans. Plasma Sc1. 18. 497-507.

8. Hao-Ming Shen ( 1991) Plasma waveguide: a concept to transfer eclctromagnetic energy in space, J. Appl. !'hys. 69,6827-6835.

9 Dempsey. J. and Halperin. B. I. (1992) Magnetopasmons excitation in parabolic quantum wells: hydrodynamic model, l'hys. Rev. B 45, 1719-1735.

Page 400: Advanced Technologies Based on Wave and Beam Generated Plasmas

389

10. Allis, W. P., Buchsbaum, S. J., and Bers, A (1963) Waves in Anisotropic Plasma, MIT Press, Cambridge.

II. Auld, B. A (l973)Acoustic Waves m Solids, Wiley. 12. Ivanov, S. T. and Alexov, E. G. ( 1990) Electromagnetic waves in a plasma waveguide. J. Plasma Phys.

43, 51-67. 13. Wallis R. F., (1982) Surface magnetoplasmons on semiconducturs, in A D. Boardman (ed.),

Electromagnetic Surface Modes, Wiley, pp. 575-632. 14. Ivanov, S. T. and Nikolaev, N. I (1996) Singular waves along the boundary of gyrotropic plasma, J.

Phys. D.: Appl. Phys. 29, 1007-1010. 15. Alexov, E. G. (1992) An additional solution of the waveguide problem for a waveguide filled with a

magnetoactive semiconductor plasma. Phisica Scnpta 46, 429-432. 16. Ivanov, S. T., Ivanova, K. M., and Alexov, E. G. (1993) Rayleigh surface waves along the boundary

between a plasma and a metallic screen, J. Plasma Phys. 49, 227-235. 17. Bevc, V. and Everhard, T. E. ( 1962) Fast-wave propagation in plasma-filled waveguedes, J . Electron.

Control. 12, I 85-212. 18. Ivanov, S. T. and Nikolaev, N. I (1998) The spectrum of electromagnetic waves in a planar gyrotropic

plasma waveguide, Jpn. J . Appl. Phys .. 37, in print. 19. Ivanov, S. T. and Nikolaev, N. I (1998) Magnetoplasmons guided by a gyrotropic plasma layer on a

metal substrate, this issue. 20. Agranovich, V. M. and Mills D. L. (eds.), (1982) Surface Polaritons, North Holland, Amsterdam. 21. Wallis, R. F. , Brion, J. J., Burstein, E. and Harstein, A (1974) Theory of surface polaritons in

anisotropic dielectric media with applications to surface magnetoplasmons in semiconductors, Phys. Rev. 8 9, 3424-3437.

22. Halevi, P. (1982) Polaritons at the interface between two dielectric media, in A D. Boardman ( ed. ), Electromagnetic Surface Modes, Wiley, pp. 249-304.

23. Chiu, K. W. and Quinn, J. J. (I972) Magndoplasma surface waves in metals, Phys. Rev.B 15, 4707-4709.

24. Flahive, P. G. and Quinn, J. J. ( 1973) Surface wave of a electron-hole plasma in a uniform magnetic field, Phys. Rev. Lett. 31, 586-589.

25. Uberoi, C. and Rao U.l. (1978) Surface magnetoplasmons in semiconductors, Phys. Rev. B 18,2941-2943.

26. Kotsarenko, N. I. and Fedorchenko, A M. ( 1969) About the propagation of the surface waves in the magnetized plasma, Zh. Techn. Fiz . 39. 1032-1034, (in Russian).

27. Kotsarenko, N. 1., Cenkevitch, E. L.. and Fedorchenko, AM. (1971) About the propagation of the general surface waves in magnetized plasma, Zh. Techn. Fiz. 41 , 818-820, (in Russian).

28. Ivanov, S. T., Nikolaev, N. I, and Thomae, R. W. (1998) Magnetoplasmons along the interface of gyrotropic plasma surface- longitudinal propagation, Physico Scripta 57, 645-651.

29. Halevi, P. (1981) Magnetoplasma polaritons at the interface between a semiconductor and a metallic screen. II. The Faraday geometry, Phys. Rev. B 23, 2635-2639.

30. Azarenkov, N. A, Zaginalov, G. 1., and Kondratenko, A N. (1985) Surface waves on the plasma­metal boundary, propagating along the magnetic field, Ukr. Fiz. Zh. 30, 231-233, (in Russian).

31. Ivanov, S. T ., lvanova, K. M., and Alexov, E. G. (1994) Polaritons along the interface between an anisotropic semiconductor and a metallic screen, Phystca Scnpta 49, 228-232.

32. Agranovich, V. M. and Ginsburg, V. L. ( 1979) Crystal Optics Taking tnlo Accont the Spattal Dispersion and Theory of the Exitons, Nauka, Moscow, (in Russian).

33. Marchevsky, F. N., Strizhevsky, V. L., and Strizhevsky, S. V. (I984) Singular electromagnetic waves in bounded anisotrpic media, Sov. Phys. Soltd State (Fiz. Twerdogo Tela) 26, !50 1-1503.

34. Halevi, P. and Quinn, J. J. (1980) Surface helicon wave in the Faraday geometry: do they exist, Solid State Comm. 33, 467-470.

35. Stegeman, G. I. and Niz.olli, F. (1984) . in V.M. Agranovich and R. London (eds), Surface Excitations. Elsevier, pp. 217-378.

36. Chang, H. C., Jeng, S. K., Wu, R. B. , and Chen, C. H. (1986) Propagation of waves trough magnetoplasma slab within a parralel-plate guide, ll:."EE Trans Mtc. Theory and Tech. 34, 32-37.

37. Takabayashi, M., Shiba, H., Haraguchi, M. and Fukui, M. J. (1993) Surface optic waves in ultrathin film~ bounded by identical slyghtly lossy materials, Phys. Soc. Jpn. 62,2719-2724.

38. Kushwaha, M. S. and Halevi, P. (1987) Magnetoplasma modes in thin film~ in the Faraday geometry, Phys. Rev. B 35, 3879-3889.

39 . Alexov, E.G. , Ivanov. S. T .. and Kapchinskii, M. I. (1994) Rejection band in waveguide filled with cold magnetoactive plasma . Jap. J . of Appl. Physics 33, part 1, 5A. 2730-2734.

Page 401: Advanced Technologies Based on Wave and Beam Generated Plasmas

390

40. Seshadry, S. R. and 0\\.yang, G. H. ( 1966) Surface waves on a grounded anisotropic plasma slab, Electron. Lett. 2, 210-212.

41. Beletskij, N. N. , Gasan, E. A. and Y akovenko, V. M. (1987) Spectrum of magneloplasma polaritons in semiconductor layer on metal substrate, Izvestia VUZ -Fizika 25, 38-43, (in Russian).

42. Miyahara, S. and Kobayashi, S. (1988) Modes in parallel-plate guide filled with a magnetoplasma, Jpn. J. Appl. Phys. 27,2340-2348.

43. Nonaka, Sh. (1992) Mode indetitication of electromagnetic waves for large-area planar RF plasma productions,}. Phys. Soc. Jpn. 61, 1449-1452

44. Ivanov, S. T. and Alexov. E. G. (1989) Electromagnetic waves in a semiconductor plasma waveguide, Phi sica Scripta 40, 58-<i3.

45. Ivanov, S. T .• Bogdanov A T., and Alexov, E. G. ( 1990) A new family of electromagnetic waves in a waveguide filled with magnetoactive semiconductor plasma, Phi sica Scripta 42, 458-462.

46. Ivanov, S. T. and Alexov, E. G. (1990) Electromagnetic waves in waveguide filled with semiconductor plasma, Contr. Plasma Phys. 30, 763 - 774.

47. Ivanov, S. T., Alexov, E. G., Bogdanov AT., and Malinov, P., N (1990) Surface wave evolution in a waveguide with an axial plasma column upon changing the external magnetic field, Plasma Phys. Contr. Fusion 32,791-798.

48. Ivanov, S. T., Alexov, E. G. and Malinov, P. N. (1990) Waveguide partially filled with semiconductor plasma, PhiSlca Scripta 42, 452- 457.

49. Ivanov. S.4 T., Benova, E .. T.. and Avramov. K., V (1998) Electromagnetic waves in a magnetized plasma column, this issue.

50. Ivanov, S. T. and Alexov, E. G. (1993) Nonreciprocal effects in plasma waveguide, IEEE Trans. Plasma SCI. 21, 254-257.

51. Ivanov. S. T. and Alexov, E. G. (1993) Cyclotron waves in a plasma waveguide, Fizika Plasmy 15. 1031- 1034, (in Russsian).

52 Alexov, E. G.and Ivanov, S. T. (1993) An anisotrpic HE mode in a plasma waveguide, Fysika Plasmy 16, 493-495, (in Russsian).

53. Ivanov, S. T., Alexov, E. G. and Malinov, P. N. ( 1989) Symmetrical electromagnetic waves in partially- filled plasma waveguide, Plasma Phys. Contr. Fusion 32, 941-953.

54. Ivanov, S. T., Alexov, E. G. and Malinov. P. N. (1990) Nonsynm1etrical electromagnetic waves in partially plasma-filled waveguide, Contr. Plasma Phys. 30,755-762.

55. Ivanov, S. T. and Alexov, E. G. (1991) Anisotropic waves in a plasma waveguide, in K. M. Paras­kevopolulos, Proceedings, 1st Gen. Con[ of the BPU. Sept. 26-28, Thessaloniki, Greece, 544-546.

56. Ferrary., L. A (1987) Electromagnetic wave propagation in a bounded magnetoplasma, Nuovo Cimento 9, 212-225.

Page 402: Advanced Technologies Based on Wave and Beam Generated Plasmas

ELECTRON BEAM GENERATED PLASMAS: THEORY, EXPERIMENTS, APPLICATIONS

M.V. KUZELEV, G.P. MKHEIDZE, A.A. RUKHADZE, P.S. STRELKOV and A.G. SHKVARUNETS

General Physics Institute (GPI), Vavilova Str., 38, RUS-111942 Moscow

The review of results of investigations, carried out during last 30 years in GPI, on fundamental physical processes and applications of plasmas generated by long-pulse non­relativistic electron beams, as well as by high-current, short ---pulse relativistic electron beams (REB) is presented . The applications in the case, when low-density plasmas are generated, deal with using such plasmas in high-power plasma microwave electronics, whereas in the case, when high---density plasmas are created , the applications in laser physics are discussed.

Brief Introduction

In our review we intentionally restrict the consideration to the high-current REB interaction with beam-created plasmas and applications. The first reason of this is the impossibility to give a complete review of the problem. The second and more important reason is the novelty of the problem of REB-plasma interaction, because powerful REBs appeared approximately 30 years ago, and immediately the REB- plasma interaction became one of the branches of pulse - power energetics. The REB with a pulse power higher than 10 G\V and an average power in the order of 1 MW will be discussed. Such high power and high-density REBs are of interest also from the physical point of view-their interaction with gases and plasmas differs from nonrelativistic ones, and this will be shown.

The review consists of 3 parts: the theory of beam-gas and beam-plasma interac­tions, the discussion of experimental results, obtained in GPI, and their comparison with the theory and the review of applications of these phenomena, which also were investigated in GPI.

1. High-Power REB and Their Interaction With Gases and Plasmas

1.1. RE B TRANSPORTATION IN VACUUM SYSTEMS

As it was noted above, we shall restrict ourselves to the considerat ion of t he pulsed powerful REB and their interactions wit h gases and plasmas, based on the investigations carried out in GPI during the last 20 years . The investigations were performed in cylindrical waveguides with the radius R ~ 2 - 8 em and

391

H. Schluter and A. Shivarova (eds. ), Advanced Technologies Based on Wave and Beam Generated Plasmas, 391-428. @ 1999 Kluwer Academic Publishers.

Page 403: Advanced Technologies Based on Wave and Beam Generated Plasmas

392

Figure 1. Geometry of the beam- plasma systems.

the length L » R, filled with various gases , or plasmas preliminarily prepared by nonrelativistic beams. The REBs, as well as nonrelativistic beams, also have cylindrical geometry, as is shown in Fig. 1. Of course, the gas fills the waveguide. But the preliminarily created plasma, as well as the REB, may be an annular cylinder with an average radius Tp ,b and a thickness ~p.b « Tp,b < R, or a solid cylinder 0 ::; r ::; r p,b < R.

Let us begin with the REB behavior in a vacuum cylindrical waveguide with L » R. The first problem, which arises here, is the problem of beam space charge. If REBs are confined by a strong external magnetic field, then the beam potential can be determined by a reduced Poisson's equation [1] :

(1)

where v is the electron velocity, j 0 = enhv the beam current, nb the beam electron density and 11(r) the electrostatic field potential, which satisfies the boundary conditions:

rnc2 <I>Ir=ro+~b = <I>o = -(r- 1) , <I>Ir=R = 0.

e (2)

Here"'= (1- u2 fc2 )- 'h, and u is the electron velocity on the beam external surface. Moreover, the potential has to be continuous on the beam surface:

(3)

The solution of this problem under the condition ~b « Tb leads to the existance of an upper limit of REB current , so-called, vacuum limiting current

(-·/h -1/h Jo = 17 2ln(R/rb) kA. (4)

Page 404: Advanced Technologies Based on Wave and Beam Generated Plasmas

393

Figure 2. Magnetically insulated cylindrical REB diode

Figure 3. Flat REB diode and cylindrical waveguide.

This expression is correct only, if ~b « rb. But in the limit rb « R this approach can be used for solid beams in a vacuum waveguide with

(5)

In the expressions ( 4) and (5) the transverse components of electron velocity are neglected . This means that ~b is much larger than the Larmor radius of electrons in an external magnetic field and therefore [ 1]:

82 » 2(17)212(-/h- 1)312 (kA) 2

0 c2 ~brb[~b/rb + 2ln(R/rb)] (6)

This condition corresponds to a REB with a thin annular geometry, when the limiting current is given by (4) . For example, if ~b = 0.1 em, rb = 1cm, 1 = 3, R = 2.7 em, then from (4) and (6) we obtain J0 = 12 kA and B0 > 20 kG .

Let us now consider the methods of beam injection into a waveguide. Two of them are the most well- known and they are presented in Fig. 2 and Fig 3.

The first method (Fig. 2) with a cold emission cathode is known as a mag­netically insulated diode. The selfconsistent current of the system is given by the formula [2]

J _ 6(/- 1)2 kA F- (/2/3 + 2)(/2/3- I)ll2 ln(R/rb). (7)

Page 405: Advanced Technologies Based on Wave and Beam Generated Plasmas

394

The second method (Fig. 3) is that with the well- known flat diode providing the following beam current density on the anode [1]:

. (/h - 1)3hkA Jo = 17 27rd2 (8)

By varying d it is possible to inject a REB with various radial current distributions into a cylindrical waveguide. If 2d2 jr~ > 1 + 2ln(R/rb), it is possible to inject a solid beam, whereas in the opposite case the injected beam occurs to be hollow.

For example, if -y = 3, d = 0.5 em, rb = 1 em, then from (8) we obtain the current of a solid beam .h = 1rr~j0 ::::::: 40 kA, which is much larger than one can obtain from (5) and from (4). This means that such a beam cannot propagate through the waveguide with R = 2 em and would be partially reflected back into the diode due to the virtual cathode, which appears at the entrance of the waveguide.

Note that the operation time of a flat diode is restricted. The reason of the pulse restriction is the plasma, created on the surface of an explosive cathode and propagating with a very high velocity to the anode with, vp 11 ::::::: (1-;- 2) · 106 crn j s . Accounting for this one easily obtains the pulse duration for flat explosive diodes:

d T <-::::::: 500ns

- VPii (9)

if d::::::: 0.5 em. The diode collapse takes place also for magnetically insulated diodes. But for them the pulse duration is much longer, because the motion of the cathode plasma across the magnetic field is much slower.

1.2. THE DYNAMICS OF REB INJECTION IN PLASMAS AND GASES AND CRITICAL BEAM CURRENTS FOR PLASMA WAVEGUIDES

In the previous section it was shown that the space charge of a beam prevents the REB propagation through a vacuum waveguide. In the plasma filled waveguides as a result of charge and current neutralisation the beam propagation is much easier. Moreover, similar neutralization processes take place in gas- filled waveguides as a result of a very quick gas ionization and plasma creation, which leads to the beam neutralization.

The processes of beam charge and current neutralization were studied in many papers (see [1] and references). We shall present here some useful results. First of all we shall give the mathematical formulation of the problem: It is assumed that at t = 0 the bounded REB is injected along the z-axes into an unbounded plasma. Then the charge and current densities may be written as

jh _ _ . ( ) { s(z)- s(z'), r > t, ~- Pb- cnbs r - ro s(zJ) - s(z'), T < t, (10)

where s(t) is a step function, T the beam pulse duration, z' = z- ut and z1 = z­u(t-r). We also assume that nb « n1, which allows to use the linear approximation

Page 406: Advanced Technologies Based on Wave and Beam Generated Plasmas

395

for the plasma description. Substituting (10) in the field equations we can use the Fourier transformation for the field components:

=+i<l

A(r, t) = (2~) 4 J dw exp( -iwt) J ik exp(ikT)A(w, k) (11)

-=+io

and the material relation

(12)

Here E:;j(w, k) is the plasma dielectric permittivity [3]

( E: l_ ig

E:;,j = ~ig ~l_ (13)

where

(14)

with WLo: = J41fe~no:/mo: and n,.. = eBo/m(J{c the Langmuir and Larmor fre­

quencies of particles of type a, respectively, Vo: the collision frequency, and B0 (parallel to the z-axis) the external magnetic field.

After solution of the field equations one can find the Fourier components of the induced plasma current and charge density:

j;(w, k) w(E:ij- c5;j)

47r

p(w, k) k · ](w, k)

w (15)

The reverse Fourier transformations of these expressions determine the space­time dependencies of induced quantities. The major contribution in these expres­sions arises from the poles of integrands in (11). Accounting for

(in the presence of the external magnetic field), the most interesting quantities are the induced ones behind the REB front at iz'l > ~, r': , where the virtual cathode

W Le He

can arise. After the REB stops, in the plasma the induced plasma current arises

Page 407: Advanced Technologies Based on Wave and Beam Generated Plasmas

396

with opposite direction (parallel to the REB direction), which partially cancels the current induced by the REB front. We shall not give here a full analytical solution of the problem and restrict ourselves only to qualitative discussions the physical phenomena of REB injection dynamics. As was noted above, the beam front induces the longitudinal electric field Ez, which tends to decelerate the beam electrons and to accelerate more effectively plasma electrons . As a result, this field creates the reverse plasma current, which is equal to the beam current and has opposite direction near the REB front. The effective neutralization of the beam current by the plasma current takes place only when the beam thickness is much larger than the skin- depth, i.e.

c f:l.b »Ask=­

WLe (16)

In this limit the plasma current is completely localized in the inner part of the beam, and complete neutralization of beam current and charge near the beam front takes place. However with increasing distance from the front the current neutral­ization decreases as a result of beam magnetic field diffusion in the radial direction. The diffusion length and time can be determined by the following relation:

2

4Jr2r2 Zd = U7d = __ .!!_aJ.U

c (17)

where a 1. = m(d~~:;) is the transverse conductivity (across the external magnetic field) surrounding the plasma. At distances z > ZJ or if t > 7J the beam current neutralization is completely absent, all the reverse current is flowing outside of beam. It should be noted that the charge neutralization of beam remains as a result of repulsive action of the beam space charge field on the plasma electrons.

Let us make some estimations: If the plasma density np ~ n 0 ~ 1014 ern-a and the electron temperature T = 10 eV, then in the absence of an external magnetic field the plasma conductivity a = 2 x 1014 s- 1 . Under these conditions the beam current neutralization for rb == 1 em remains up to t < 7d = 10 p,s, or Zd = 300 rn . At the same time if np ~ 1017 cm - :1, n 0 = 3 x 1019 cm- :1 (atmospheric pressure, weakly ionized plasma) and T = 1 eV, then a 0 = 3 x 1012 s- 1 , leading to 7d = 100 ns and Zd ~ 3 m for the beam with rb ~ 1 ern.

If the beam pulse duration is small, 7 < 7d, then the fields induced by the beam front and behind the front cancel each other. But if 7 ~ 7J, then the plasma net current remains and flows in the beam direction. The straightforward induced current also remains up to 7 < TJ.

Let us now pass to the processes of gas ionization by the REB and the sub­sequent beam neutralization by the induced plasma current after gas ionization. But in the first place it is necessary to consider two phenomena which have a very important role in the physics of beam created plasmas. These are beam- plasma instabilities, or stimulated Cherenkov radiation of plasma waves by beams [4, 5J and low frequency instabilities in the current-driven plasma caused by induced plasma currents [6J (see also [3, 71).

Page 408: Advanced Technologies Based on Wave and Beam Generated Plasmas

397

The stimulated Cherenkov radiation will be considered for a REB moving along the axis of cylindrical waveguide completely filled with a cold strongly magnetized plasma. This instability is described by the following dispersion equation [7] :

We substitute in this equation the expressions for the dielectric permittivity (14)

in the limit fle -t oo. In the case considered k.1. = l]f, where f.Lls are the roots of

Bessel functions, J1(Jlts) = O,R is the radius of the metallic .waveguide, and k11 is longitudinal component of wave vector with frequency w, which is radiated by the REB. Stimulated Cherenkov radiation corresponds to the solutions of (14) with Im{w} > 0, and the last quantity represents the growth rate of the beam-plasma instabilities in the plasma waveguide.

It is easy to notice that the equation (18) has unstable solutions with Im{w} > 0

only if

(19)

The frequency of excited radiation under this condition is less than the plasma

electron Langmuir frequency and the phase velocity approximately coincides with the beam electron velocity:

- k .• - J 2 k2 2 2 . s: - ' .• W - zU + W - WL e - .1. U / + 1.o - Wres +to (20)

Then from (18) it follows that the growth rate of instability is equal to

(21)

Moreover this growth rate determines the amplitude of excited plasma waves [7]:

E 2 ( nb ) 1/3

---.,..---~ - / 47rnbmc2 (r- 1) ~ 2np

(22)

Very simple estimations show that this field is sufficiently large and that it can

influence the gas ionization by beam. Indeed, for a REB with 1 = 3 and nb :::::!

lOll m-3 (which corresponds to the current density Jb:::::! 0.5kA/cm2 ) in a plasma

filled waveguide with R = 2 em, from (19), we find the critical plasma density, above which stimulated Cherenkov radiation takes place: n cr :::::! 5 x 1012 em - 3 .

Then relation (22) leads to E = 300 kV / em, which is quite sufficient for breakdown of gases at atmospheric pressure. Even for the low current beam which is used in our experiments for precreation of plasmas in waveguides by ionizing the gas at the pressure p0 = 10-3 Torr, the beam- plasma instability occurs to be very important. Indeed for a beam with a kinetic energy of electrons of 10 keY and a density of 109 cm - 3 (which corresponds to the current density .'i A/ cm2 ) and critical plasma

Page 409: Advanced Technologies Based on Wave and Beam Generated Plasmas

398

density ncr = 5 x 1012cm-3 , from (22) one obtains E = 1 keY / em which occurs to be sufficient for gas breakdown at Po = 10-3 Torr.

Finally a few remarks about the influence of plasma induced current on the process of gas ionisation: For simplicity we suppose that the induced plasma cur­rent is exactly equal to the beam current-or that complete beam neutralization takes place. This means that the drift velocity of plasma electrons is equal to Up = :!!.ILu. In the above estimations for REBs with :!!.tL > 10- 2 and therefore np np

up ;::: 3 x 108 cm/s > VTe ~ 108 cmjs. As a result in this system the existence of the well-known Buneman instability is possible. Indeed this instability arises when [3]

2 2 > k2 2 ~ Mts 2 ( ) WLe - j_min up~ R2 up. 23

The corresponding density is much less than implied by (19), namely the parameter nb > 1010 cm-3 suffices for the above considered case of a REB, or in other words, it takes place in a plasma, if the growth rate of the instability

y'3 ( m ) 'h Im{w} = 2 2M WLe 2: Ve (24)

where ve is the electron collision frequency. If ne ~ 5 x 1012 cm-3 , then from (24) we have Im{w} ~ 2 x 109 s-1 , this quantity being more than ve = 3 x 109 p0 , if p0 < 1 Torr. The Buneman instability is very dangerous in the sense that it leads to the cut- off of the plasma current, which can become the reason of the REB behavior. It should be noted at the same time, that in accordance to the above estimations this instability is possible only in the case of low gas pressures, in high pressure gases when p0 > 10 Torr it appears to be impossible.

In conclusion let us consider the gas ionization by relativistic electron beams by purely electron-neutral impacts (collisions). This process can easily be calculated from the well- known formula for the stopping power of relativistic charged particles in the gases [8]:

(25)

Here I ~ 3Jz, where J is the average ionization potential of atoms, z is the atomic number, and no is the neutral particle density per cm3 . The quantity v; is called ionization collision frequency. From (25) one can obtain the time dependence of the plasma density

np(t) = v;nbt (26)

where v; is in the order of v; ~ 108p0 (for E ~ 1 MeV), with p0 being the gas pressure in Torr.

Page 410: Advanced Technologies Based on Wave and Beam Generated Plasmas

Figure 4. Schematic for investigations of beam- plasma interaction:

1 cathode, 2 anode, 3 diaphragme, 4 glass chamber, 5 metallic waveguide, 6 collector, 7 copper cylinder.

2. Experimental Studies of the Beam-Gase and Beam-Plasma Interactions

2.1. LOW PRESSURE BEAM-PLASMAS

2.1.1. Investigations of Nonrelativistic Beams

399

The first experiments on the REB-plasma interaction were carried out at GPI for the case of low density plasmas, which were preliminarily created in low pressure gases by nonrelativistic beams. For this reason we begin with the description of the experiments on nonrelativistic beam-plasmas (9, 10]. The experimental set up and instruments are presented in Fig. 4. The nonrelativistic electron beam was generated in the flat diode located at the end of a cylindrical waveguide with a radius R = 4 em, filled with gases with pressures of Po = 2 X 10-4 ..• 10-3 Torr. The solid beam with parameters E = 4 ... 10 keV, J = 0.15 ... 10 A, rb = 0.5 ... 2 em and pulse duration T = 500 p,s was injected parallel to the external longitudinal magnetic field B0 = 0.5 . .. 3 kG.

The physical picture of the beam propagation and process of gas ionization com­pletely corresponds to the one described above. Namely when the injection current exceeds the limiting one, which for a nonrelativistic cylindrical drift chamber is given by (5), then at the entrance of the chamber the virtual cathode appears, which partially reflects the electrons. If the current is less than the limiting one, then beam propagation and gas ionization by electron impact take place. The plasma density slowly increases with time before it reaches the critical value. After that the rate of ionization increases very quickly and during one tenth of a microsecond full ionization of the gas in the chamber takes place. At the same time on the oscillograms of plasma current and voltage very intensive oscillations are observed and output radiation appears. These phenomena are presented in Fig. 5, taken from [10]: The oscillograms (a) and (b) correspond to the beam current

Page 411: Advanced Technologies Based on Wave and Beam Generated Plasmas

400

I I '•

Figure 5. Oscillograms: (a) of beam current on the collector, (b) of signal corresponding to the plasma density, (c) of signal output electromagnetic radiation.

on the collector and the plasma density, respectively, whereas the oscillogram (c) represents the signal of output electromagnetic radiation. It is seen that all these oscillograms simultaneously change their chararacter and the moment of changing corresponds to that when the plasma density coincides with critical one (19) . It should be noted that the critical plasma density can be reached during a beam pulse only when the beam current is sufficiently high. When the beam current increases, the time for achieving the critical plasma density decreases. In our case the maximal beam current J = 10 A corresponds to the minimal time for achieving ncr and is equal to tmin = 200 !J-S. The radiation frequency band is very

2

wide 20 MHz < f < 200 MHz, which corresponds to I; < w < ~ (in accordance with theory), and the amplitude of fields is on the order of several kV per ern, permitting gas ionization with V; = 5 X 10- 7 s- 1.

In Fig. 6 the experimental dependencies of n cr on energy for various values of beam current J and their comparision with theory are presented. From this figure one can conclude that the theory is not only in qualitative agreement with experiments, but also in quantative.

Thus we see that for nonrelativistic beams the theory of beam- gas interaction as well as beam-plasma interaction quite adequately describes the experiments. This statement pertains not only to the existence of critical plasma density, but also to the beam- plasma discharge, which takes place when np > n cr and excitation of microwaves becomes important. Just the microwave discharge leads to the full ionization of gas. When the beam is switched off, the created plasma decays very

Page 412: Advanced Technologies Based on Wave and Beam Generated Plasmas

401

tP

J J

I

z " 6Y. Figure 6. The dependencies of plasma density threshold on the energy of electrons: (a) ex­periments, (b) calcualations. Dotted curves correspond to Bo = 1200 G, (1) J = 0.13 A, (2) J = 0.4 A, (3) J = 0.7 A; solid curves to Bo = 2400 G, (4) J = 0.17 A, (5) J = 0.33 A, (6) J=0.7A.

slowly, which allows us to investigate short-pulse REB- plasma interaction with a given constant density of plasma.

2.1.2. Interaction of Short- Pulse REB with Low Density Plasma In the previous section the process of low pressure (Po < w-3 Torr) gas ioniza­tion was considered intentionally. The reason was that the created plasma with a maximal density :S 1014 cm-3 was completely ionized and the additional gas ionization by short- pulses REB with a current J = 1 . .. 5 kA, energy of electrons E = 0.5 . . . 1 MeV and pulse duration T = 40 .. . 100 ns was impossible. This allows us to investigate the REB- plasma interaction very accurately without additional difficulties. In this way a preliminarily prepared plasma density profile in a drift chamber was given and constant.

All experiments in REB- plasma interaction were carried out in the cylindrical waveguide as shown in Fig. 4. In such a waveguide the preliminary plasma was created by a nonrelativistic low-current electron beam and after the beam was switched off, the REB with above parameters was injected into the slowly decaying plasma. As was mentioned above, the plasma density was less than 1014 cm-3 with an electron temperature T < 2-5 eV. The conductivity of such a plasma is in the order of (0.5 - 1) x 1013 s- 1 , which corresponds for rp = 1 em to the skin relaxation time T s k < 500 ns. Therefore the induced reverse plasma current was located in the inner part of the REB. All experiments were performed in very heavy gases, ~ 2: 105 , and therefore ion motion during a REB pulse could be neglected. At the same time the pulse duration is much larger than the period of electron Langmuir oscillations, which provides the REB charge and current neutralization during the pulse. Moreover this pulse time was sufficiently short so tha t the additional gas ionization by REB at Po < 10- 3 Torr was negligible.

Page 413: Advanced Technologies Based on Wave and Beam Generated Plasmas

402

13

0,5

1.

Figur·e 7. The oscillograrns of net curreut J (solid line) and beam current ·h (dotted line).

Thus all experiments for the investigation of REB charge and current neutral­ization were carried out with the given and constant plasma density. They are described in [11 , 12, 13, 14] . We shall discuss the results of these experiments.

In experiments first of all the total current J, the sum of beam current Jb and induced plasma current Jp, J = Jb + Jp, was measured. Under the conditions p0 < 10- 3 Torr and L = 100 ern the beam current was constant and therefore we could find the plasma current J, = J - Jb · The constancy of .f& wa..c.; confirmed by thf~ measurement of current on the collector isolated from plasma by a thin foil at the right end of the chamber and it turned out to be equal to the beam current.

The beam potential at several points, which characterizes the charge neutral­ization processes, was also measured. In Fig. 7 the oscillograms of full current J (solid curve) and beam current Jb (dotted curve) in the plasma waveguide with np = 6 x 1012 cm- 3 are presented. It can be seen that at t = 13 ns the plasma current, which practically completely neutralizes the beam current, very sharply cuts off. This process begins at the beam collector and propagates with high velocity (but < c) in the direction opposite to the beam velocity 'lL. Sueh cut­off takes place when the beam current is sufficiently small and it is absent when the beam current is high. This indicates that the physical nature of the induced current cut- off has no pla..<>rna character.

For clarifying plasma effects the process of beam neutralization was investi­gated af t = 7 ns. The results of these measurements near the beam collector are presented in Fig 8. The solid curve corresponds to the oscillograms of full current .!, the dotted one to the beam current Jb for np = 2.1 x 1011 cm ··-:l (I) and np = 5.8 x 1012 cm- 3 (2). The beam current .1, = 700 A was less than the vacuum limiting current Jo = l.G kA with T'p = 1'b = 1.5 em and for the energy E = 430 keV.

In Fig. 9 the results for the ratio TJ = !_p_J for various values of np, rp and 1'b

" and a comparison with theory (solid curves) are visualized by showing 1- T]: dots correspond to r·h = r, = 1.5 em and circles to rb = 2 em and rp = 1.5 ern.

Now we can explain the reason for the cut-off of induced currents at t = 13 ns. It is caused by the limitation of emission from the beam collector. If the

Page 414: Advanced Technologies Based on Wave and Beam Generated Plasmas

403

1 ~· o.2 ' .... ·" O.Cf "" ... ....... (),6 -+·-~---..... -----o.a I '1. I

2 0,'2. ' O."t

0/1 0,&

i

Figure 8. Oscillograms of currents Jb (dashed line), J = Jb + Jp (solid line) and the ra­tio 7] = Jpfh (dotted line), h = 700 A, E = 430 kV: (1) np = 2. 1 x 1011 cm- 3 , (2) np = .5.8 x 1012 cm- 3 .

induced current cannot be supported by the emission of the collector, it cuts off and the plasma column begins to be polarized. The polarization then will propagate towards the cathode and this finally leads to the cut · off of the plasma reverse current. The velocity of the polarization (longitudinal surface) wave is less than the speed of light c and it decreases when the plasma density increases, which is in agreement with theory. At the same time, if the beam current is sufficiently high and intensive heating of the collector takes place, then electron emission occurs to be sufficient for supporting a reverse induced current and the phenomena of cut- off does not arise. All this is seen from Fig. 10 in which the dependence of T/(Jb) is shown fort = 25 ns and np = 1.5 x 1013 cm- 3 . Moveover the independent heating of the collector also leads to the increase of its emission and supports the reverse current.

Let us now return to the plasma mechanisms of reverse induced current damp­ing. From experimental results follows that it depends on the plasma conductivity which occurs to be anomalously low. Moreover plasma resistivity increases with increasing the ratio Bl>.. In Fig . 11 again the dependencies of the ratio

np

t!:,.Jp 1/.h - .lp 1/Jb 1/J,,

on the plasma density for Jb = 3.3 kA and E = 450 keV are presented at t = 4 ns and t = 7 ns . Here the value of 17 is taken from Fig. 9 (the results obtained for small, sub-limitng beam currents .f& < .10 ) , whereas the currents Jp and Jb are measured in the above experiment. Thus, t he value Delta.JP = r1.Jb - .lp is proportional to a.n arlditional damping that appears with large currents .h (with small beam currents

Page 415: Advanced Technologies Based on Wave and Beam Generated Plasmas

404

0

0

10 .. ., e BfO" t 2. .. • 8 -w'• t Y1p

Figure 9. The dependencies of l - 11 on np at t == 7 ns. (1) theoretical curve for Jb == 700 A, E == 430 kV. rb == rp == l, 5 em, points : measured values; (2) theoretical curve for h == 700 A, E == 380 kV, rb == 2 em, Tp = 1.5 em , circles: measured values.

0 10

Figure 10. The dependence of 11 on Jb at t == 25 ns and np == 1.5 x 1013crn-a

Page 416: Advanced Technologies Based on Wave and Beam Generated Plasmas

t

0

-+-·+------ ..... ...... ......

' '+ ' \2

\ \ _ _!)____________ \

o-... ~ 0

t''q - ~ \ +, ' ,, ' ...... d-, .............. +

oo, + ....... '--o---.a.... ', ..........

~~---~~--~~~~~~~~·----L'----~~~~~~·~--L'--~'~'-Lt~JL~· 10"' 2. If c I <fO" t. It e I 'K/a t a, c fO~r

rlp

405

Figure 11 . The experimental dependencies of the plasma current damping on np for Jb = 3.3 kA, E = 450 kV and ( 1) t = 4 ns, (2) t = 7ns.

Jb the value tl.JP = 0). One can see that the plasma current is completely damped at t = 7 ns for np < 2 · 1011 cm- 3 . For higher plasma density (or higher plasma conductivity) there is less damping of return current.

In conclusion let us show two figures for clarifying the process of charge neu­tralization when the beam is injected into the bounded plasma. In Fig. 12 the dependencies of the relative beam potential ~ on the plasma density for several values of Jb are presented. It is easy to see that the complete charge neutralization takes place when np > 1012 cm- 3 .

Finally in Fig. 13 the ratio of the current transported through the waveguide beam Jb to the vacuum limiting current J0 as a function of the plasma density np

at t = 25 ns is shown. The points correspond to J = 10 kA, E = 320 keV and the crosses to Jb = 7 kA and E = 350 keY. The solid curves correspond to the theoretical dependencies for Jb = 10 kA, E = 320 kV.

From the above discussions follows that for short pulse REBs and low density plasmas the theory quite adequately describes the plasma-beam interactions qual­itatively as well as quantitatively. Using this we are able to handle the process of plasma- beam interactions in the problems of application which will be discussed in Section 3.

2.2. BEAM GENERATED HIGH PRESSURE PLASMAS

Contrary to the low-pressure beam plasmas, which first of all are applied to pulsed powerful microwave electronics, the high pressure beam-plasma systems are widely applied towards powerful pulsed lasers, and namely this problem will

Page 417: Advanced Technologies Based on Wave and Beam Generated Plasmas

406

Figure 12. The experimental dependencies of "~ on np for several values of ·h:

No. Jb (kA) Uo (kV) Jb/Jo No. Jb (kA) U0 (kV) h/lo

(1) 0.7 380 0.5 (4) 3.3 450 2 (2) 1.1 380 0.73 (5) 10 320 9.8 (3) 2.2 380 1.6 (6) 17 320 15.7

The corresponding beam densities are indicated by arrows.

be discussed below in Section 3. We mean chemical and eximer lasers, pumped by

pulsed powerful REBs. The main problem for effective operation of such lasers is

the energy deposition into the high pressure gases and the ionization of them. In

turn these effects sensitively depend on the character of REB propagation through

the gases and plasma creation, induced currents and energy losses of relativistic

electrons in the system. All experimental investigations of REB- gas and REB-plasma interactions in

the limit of high gas pressures and high plasma densities were carried out on the

experimental device Terek-1R [15] . The REB was generated in the flat explosive

diode with parameters Jb = 5 . . . 15 kA and an energy E = 0.8 . . . 1.5 MeV was

injected into the cylindrical waveguide with radius R = 6 .. . 8 em and length L = 0.5 ... 2 m. The external longitudinal magnetic field could be varied in the range

of B0 = 0 ... 2.6 kG and REB pulse duration was in the order oft= 30 ... 120 ns.

A schematic of the experimental device is presented in Fig. 14. Contrary to the low pressure gases, in the case of high pressure gases considered

here the ionization of atoms, creation of plasma and its recombination take place

during the REB pulse. In other words the gas ionization and plasma creation are

realized by the REB, when it is injected into the waveguide filled with the gases.

Page 418: Advanced Technologies Based on Wave and Beam Generated Plasmas

407

I _ . .......,

o[ • • • • :· I I I I I I I I I

1010 t ~ 6 & iOff t .. 6 8 fOtt t 't 6 8 10° n,

Figure 13. The dependencies of h/ lo on np at t = 25 ns; solid curve: theory ; points: measured values for Jb = 10 kA, E = 320 kV; crosses measured values for J = 7 kA, E = 350 kV.

Figure 14 . Schematic of experimental device.

In the experiments the following parameters were measured: the voltage Ud and the current Jd on the diode, currents on the anode foil Ja and grid J9, on the collector Jp, net currents Jnk, equal to the difference between beam current Jb and plasma current Jp on five points of the chamber Jn 1 ••• Jn5 , beam potentials

Page 419: Advanced Technologies Based on Wave and Beam Generated Plasmas

408

"' c: c ...

I

\ \

40

30

20

10

\ \ \ \ 0.7 \ 'r,n: p \ \ \ \ \ \

0-He ~-Air

X -Mix +- SF6

10

Figure 15. The pressure dependencies of the beam charge neutralization time.

lh ... U 5 , the energy losses of beam in gases Q, measured by the increase of pressure Po-

In Fig. 15 the dependencies of charge neutralization time on the gas pressure T(Po) in the magnetic field Bo = 2.6 kG for He, SF6 and a mixture of He: SF6 : H2 = 7.5 : 21.5 : 3.5 are presented which practically coincides with such dependencies for air. In Fig. 16 for these gases the pressure dependencies of the beam front propagation velocity is shown. It increases with pressure up to p0 :::::: 10 Torr and decreases afterwards. This phenomenon may be caused by the intensive scattering of beam electrons at high gas pressures and "erosion" of the beam front 1 .

Let us now consider the process of the beam current neutralization by the induced plasma current . But before that it should be noted that under the action

1 More details about the experimental measurements can be seen in [16].

Page 420: Advanced Technologies Based on Wave and Beam Generated Plasmas

409

Bo: 2.4 kG

P0 Torr Figure 16. The pressure dependencies of the beam front velocity.

of beam space charge at the beginning of injection, part of the plasma electrons are pushed out at both sides of the waveguide. But more essential of course is the beam current neutralization by the induced plasma reverse current.

In Fig. 17 return plasma current Jp and net current Jn1. at the entrance of the waveguide and for the gases mentioned above as functions of pressure Po are

shown. In the range p0 = 10 ... 200 Torr the induced plasma current Jp ,....., pd2 ,

which can be explained as Jp ~ npVdr, where np ,....., Po and Vdr ,....., p;/12 , but when

Po > 200 Torr we have Jp ,....., p"i; 1;, (np ,....., Pd2 and Vdr ~ p01 ). Thus near to

p0 = 10 Torr the reverse plasma current has its maximum. When the REB was switched off, in the plasma the induced forward current arises, which of course is slightly less than the beam current . Then it decays and from the decay time, which is equal to the skin-time, the plasma conductivity can be determined, since

it is equal to Tsk = 411":pr~ . This statemei:It of course is only correct, if Tsk is Jess than the recombination time, equal to TR ~ npo:R where aR ,....., 10-7 s · cm3 is the recombination constant.

It has to be noted that in [15] the plasma conductivity was determined taking into account skin effect as well as recombination. The results are presented in Fig. 18 and 19 as the radial distributions of Jp (measurement) and O"p (calculation) at several times t for air (Po = 175 Torr, R = 8 em, E = 1.4 MeV, J = 10 kA, t = 60 ns). Of course the plasma conductivity depends on the type of gas.

An induced plasma current arises by the action of the beam front, but it exists when the beam is switched off and finally it leads to significant plasma heating, ap­proximately 10- 15% of plasma heating being caused by this current, and as a result

Page 421: Advanced Technologies Based on Wave and Beam Generated Plasmas

410

0

,j _____ ...

2

//

Figure 17. The dependencies the induced plasma current Jp and net current h on the pressure at the entrance of chamber for (1) air, (2) helium, (3) mixture.

this leads to additional gas ionization. Of eourse this effect is essential at relatively low gas pressures, when the collective effects of beam-plasma instabilities can also be appreciable. In Fig. 20 this phenomenon is shown as time dependencies of the beam and the plasma currents and electron density in argon at p0 = 400 Torr. In Fig. 21 and 22 the dependencies of n(t)p and T(t) are presented, calculated without and with taking into aecount the influence of the plasma current in the processes of eleetron heating and additional ga..c; ionization . It should be noted that the induced electric field in plasma exceeds 700 V / em, indicating the very essential influence of induced processes on the plasma heating as well as on the REB transport in plasmas.

In conclusion a few remarks about the REB transport through gases and plas­mas shall be added. The transport efficiency is determined by the ratio of the

beam current on the collector to the injected current K = JJ(~) , which in turn

Page 422: Advanced Technologies Based on Wave and Beam Generated Plasmas

411

zo

-20

2 6 r Figure 18. The radial distributions of Jp: experiments.

very sensitively depends on the induced plasma current and the plasma density and also on the existence of an external magnetic field B0 = 2.6 kG, which prevents the scattering of the beam. In Fig. 23 the dependencies of K(p0 ) for air (curve 1) and argon (curve 2) are presented. The solid lines correspond to the experimental measurements, the dotted ones to the theoretical calculations. We see the significant discrepancy between them for argon: The experimental value of K(p0 ) is much less than theoretical one. We think that namely this is the result of an anomalous ionization of argon by the induced plasma current . For checking this suggestion we added to the argon a small amount of SF6 , approximately to a concentration of 0.04%. As a result of capturing of electrons by the molecules of SF 6 the electron concentration decreases and the agreement between theory and experiments becomes much more satisfactory (see Fig. 24) . With increasing the additional concentration of SF 6 in the system a hose instability occurs leading to a decrease of K (Po) .

Thus we can conclude that the theoretical representations of REB- plasma interaction at high pressures of gases, p0 > 10 Torr, quite adequately explain experiments. At about the pressure range p0 < 10 Torr described in [15], quite different effects become important in the REB- gas interactions , this problem being outside of our review.

Page 423: Advanced Technologies Based on Wave and Beam Generated Plasmas

412

G

1/,J

11,2

0,1

u~-.....__-"--_,___........__...__ __ _

2 5 ~ Figure. 19. The radial distributions of O"p : calculations.

3. Applications of Beam-Plasma systems

Passing to the applications of the beam-plasma interaction let us point out once more that there exist many of such applications in ionospheric physics and plasma chemistry, plasma technology and accelerator physics, plasma and quantum elec­tronics and other fields. Of course it is impossible to discuss all these applications in this lecture. Therefore we shall restrict ourselves to applications of pulsed pow­erful REB interactions to classical microwave and quantum optical electronics. Just for this reason we considered above two opposite limits of low pressure (Po < 10-3 Torr) and high pressure (Po > 10 Torr) gas ionization by electron beams and the physical phenomena accompanying them.

3.1. RELATIVISTIC PLASMA MICROWAVE ELECTRONICS

We shall begin with highpower relativistic microwave electronics based on the phenomenon of beam- plasma instability, or in other words, on the stimulated Cherenkov radiation of a straightforward relativistic electron beam under the condition w

U > kz = V ph (27)

Page 424: Advanced Technologies Based on Wave and Beam Generated Plasmas

413

Figure 20. The time dependencies of h, Jp and np .

11/ 1'~-

I l

10 l 2 \ \

,f

I

2

I ..__ __ ....L...---~....1 0 80 150 t

Figure 21. The time dependencies of Tp and np without taking into account the electric field induced.

Page 425: Advanced Technologies Based on Wave and Beam Generated Plasmas

414

lf .eV

\ 3 \ \

10 \

' \ \ ,np ' 2 ' ' ' ' ' ....

I

I ~--~--~--~--~--~0 80 160 t) ns 0

Figure 22. The time dependencies of Tp and np with taking into account the electric field induced.

where Vph is the phase velocity of electromagnetic waves parallel to the beam ve­locity. The frequency band of radiation ~w = w- kz u is a function of the beam and plasma densities and geometry of the plasma- beam system. Therefore by varying these parameters it is possible to change the radiation frequency and frequency band in a very wide range, realizing the very monochromatic coherent radiation as well as the wide-band noise radiation. Namely these possibilities represent the ad vantages of the plasma-beam sources of microwave radiation in comparison with vacuum sources, such as forward and backward oscillators, magnetrons, gyrotrons and others.

Today the beam- plasma microwave sources of microsecond pulse duration are realized with powers in the order of hundred Megawatt in the milimeter wavelength range and with powers in the order of Gigawatt in the centimeter wavelength range. The freguency band of such sources may be very narrow, 5%, as well as very wide,

Page 426: Advanced Technologies Based on Wave and Beam Generated Plasmas

415

~r---------------------------,

I

-------------· ----~- - ---..a.--c:c~-- "-.. I --------- . ............_ __ _ -- ... -- ...... ._-._..._.

I

2

OL-------~------~------~ !.f/J J.ftl .f.f/J PP, Ton

Figure 23. The dependencies of current transport efficiency on gas pressure: (1) argon, (2) nitrogen. The solid curves result from experiment, the dotted ones from theory).

K

0,5

0,2

Figure 24. The dependencies of current transport efficiency on the presence of SF6 in argon at Po = 1 atmosphere and L =55 em . Dotted line corresponds to Monte-Carlo calculations.

D.w / w ~ 1. Moreover it has to be noted that in the repetition regimes the average power of the plasma- beam microwave sources can reach up t.o 105 Watt .

3.1.1. The Basic Theory of Plasma-Beam Microwave Sources Before describing experiments on beam-plasma microwave oscillators and ampli­fiers, which have been peformed in the plasma electronics laboratory of General Physics Institute of the Russian Academy of Sciences [17, 18, 19, 20], let us consider the basic principles of theory of such microwave sources. The schematic of such

Page 427: Advanced Technologies Based on Wave and Beam Generated Plasmas

416

Figure 25. Schematic of the experiment . "C" is the explosive cathode as a source of REB in a magnetically insulated diode .

sources is presented in Fig. 25. Here "C" is the cathode of a magnetically insulated explosive diode, which is placed in the longitudinal magnetic field B 0 = 20 kG. This diode forms a hollow cylindrical relativistic electron beam with an average radius of rb = 0.65 em and a thickness of ~b = 1 mm. In accordance with (7) the injected beam current in a cylindrical waveguide with R = 1.8 em, when 1 = 2 (or E = 0.5M eV), is equal to Jb = 3.8 kA. On the collector of the REB there is located the long pulse ( T > 100 J.tS) source of a nonrelativistic beam with energy E = 0.6 keV and current Jb = 10 A. Namely this beam creates the cylindrical hollow plasma with a thickness of ~P = 1 mm and a various average radius of 0. 7 < r P < 1 em. The maximum plasma density corresponding to the full ionization of gases in a waveguide (p0 ~ 10-3 Torr) is equal to np = 3 x 1014 cm-3 .

The short- pulse ( T < 100 ns) REB is injected into the inner part of the precreated annular plasma cylinder with a density range 101:.! cm-:J < np < 5 x 1014 cm- 3 ,

which allows to study two opposite limits of plasma -beam interaction: strong (or single particle) interaction, when rb ~ r 1, and weak (or collective) interaction, when rp - rb > ~b · These two approaches are known as Thomson and Raman regimes of REB radiation in plasma waveguides.

We would not like to present all details of calculations; they can be found in [7]. Instead we shall only present the results , which concern the excitation of cable (TEM- like) modes of the plasma waveguide. The resonance radiation frequency and wave numbers are given by the expressions 17]:

Page 428: Advanced Technologies Based on Wave and Beam Generated Plasmas

From these expressions follows that a threshold plasma density exists

w~,h = kiou2'Y2 = ~21'2 ln-1 R l..l.pTp Tp

417

(28)

(29)

above which the stimuluted Cherenkov resonance radiation is possible. Moreover, the frequency of radiation increases when the plasma density increases. The radi­ation frequency band is determined by the REB density and the geometry of the system. If 6w » wn-312, the Thomson regime of Cherenkov radiation is realized and

(3 ( 6brb w~ ) 'Ia 6w = UOk = v 2 Wres 2Tp 6 p ")'5W~ (30)

Under the opposite condition, the Raman regime of Cherenkov radiation is possible and

1 ( 6brb w~ ) 'h 6w = UOk = -2 Wres -2 A 52

rpup 'Y wP (31)

From a comparison of (30) and (31) follows that by varying the ratio ~brb one u..prp

can change the mechanism of radiation from Thomson radiation to the Raman one and vice versa. This is shown in Fig. 26, where the dependencies of ok(w) for Thomson (a) and Raman (b) cases are presented. We see that the frequency band of excited radiation in the case of Thomson mechanism is much wider than in the case of Raman mechanism.

For an amplifier the output and input powers of radiations are coupled by the relation:

Pout = P;n exp(2okL) (32)

If at the output end of the system the wave reflection with the coefficient "' occurs, then the condition of self- excitation of the system looks like

(33)

where L is the length of the system (see Fig. 21). This relation represents the starting condition for the REB current for excitation of the oscillator.

Thus, in addition to the plasma density threshold for stimulated radiation there exists the starting condition on the beam current (or on the length of the system) when the system is operated as oscillator. On the other hand, for an amplifier the last condition is not necessary, and it can work even when the beam current is less than the starting current.

Page 429: Advanced Technologies Based on Wave and Beam Generated Plasmas

418

Figure 26. The frequency dependencies of 15k for Thomson (a) and Raman (b) regimes of radiation.

Here it has to be pointed out that the spectrum of output radiation in the case of a highpower plasma-beam system in general differs from the spectrum of input radiation. In [21] was shown that the output radiation posseses a narrow frequency band, if the spectrum of input radiation is monochromatic or of very wide noise- type. But if the input radiation has a wide band and is regular, the output radiation is noise- like. This is shown for the output radiation in Fig. 27 for a beam with Jb = 2 kA and 1 = 2.

The very important characteristic of the beam- plasma microwave oscillator is the efficiency, which can be determined only on the basis of a nonlinear approxima­tion and by using compututational modeling. In [7] the efficiencies of plasma-beam microwave sources are calculated as functions of the parameter

(34)

for Thomson and Raman mechanisms of radiation. The results of calculation are presented in Fig. 28, from which one can conclude: The Thomson radiation ef­ficiency depends more strongly than that of the Raman radiation on the beam

current, as J;h, and its maximum value also is higher, about 20 %. But in the limit of high current beams the Thomson radiation efficiency depends on the beam current as J/; 1 and therefore the Raman mechanism of radiation appears to be preferable.

Regarding dependencies of radiation efficiency on the energy of REB electrons, it should be stressed that the maximum value of efficiency corresponds to J.L ~ 1 for Thomson as well as for Raman regimes of radiation. At the same time in a recent paper [22] the dependence of J.Lb) for a magnetically insulated diode was calculated . The result of the calculation is presented in Fig. 29, from which follows

Page 430: Advanced Technologies Based on Wave and Beam Generated Plasmas

419

1

0,8

O,fJ

0,4

0,2

0 0 0 10 t5 20 'Z5 30 35 'tO

Figure 27. The spectrum of output radiation in the Thomson regime at "Y == 2 and J = 2 kA; (1) for noise input radiation , (2) for regular input radiation .

Figure 28. The dependencies of radiation efficiency on the beam current ; (1) in the Thomson regime, {2) in the Raman regime.

Page 431: Advanced Technologies Based on Wave and Beam Generated Plasmas

420

Jl

1,2

1,0

0,8

0,6

0.4

0,2

0 2 3

Figure 29. The dependence J.L(/).

4 s 6 7 8 9 10

that the optimum value of J.l is reached approximately at 'Y = 3. Further increase of 'Y practically does not influence the value of J.l ·

In conclusion of this section a brief summary of theoretical studies of the beam­plasma microwave sources is presented in Table 1 showing the optimum values of efficiency, output power and length of the system for the beam parameters Jb = 2 kA and 'Y = 2. The experimental results will be compared with these values .

3.1.2. Experiments and comparison with theory for highpower plasma- beam microwave sources

Let us now proceed to the results of experimental investigations of the beam­plasma microwave sources and their comparison with the theory presented above. All experimental results were obtained on the devices "Terek- 1" and "Terek-2" in the plasma electronics laboratory of GPI. The schematic of the devices is shown in Fig. 25 . The REB parameters were constant: rb = 0.65 em,"( = 2, and Jb = 2.4 kA.

Page 432: Advanced Technologies Based on Wave and Beam Generated Plasmas

421

Table I. Optimum values of efficiency, output power and length of the system for the beam parameters Jb = 2 kA and 'Y = 2.

11 L lcmJ Pb IMWJ Pout IMWJ

180

0. 79 18 1022 (80)

P,MW •

300

200

• 100 • ·v

Figure 30. The dependence P(np)·

T}

17.6%

(8%)

The other parameters of the system (plasma density, geometry of system) were tuned in a sufficiently wide range.

The first confirmation of theory consists in the observation of the plasma density threshold, above which the stimulated Cherenkov radiation takes place. This is shown in Fig. 30 for rp = 0.8 em and L = 25 em. The radiation appears at np > 2 x 1012 cm- 3 , increases when the plasma density increases and reaches the maximum value P = 300-350 MW at np = 1013 cm- 3 . Then it drops very sharply and practically disappears when np > 4 x 1013 ern - 3 . The theoretical value of the plasma density threshold np,h = 5 x 1012 cm- 3 quite sufficiently corresponds to the experimental observations. Moreover the experimental value of radiation efficiency ,...., 20% also is in a good agreement with calculations.

In conclusion let us briefly discuss the results of recent papers 120, 21J devoted to the study of radiation frequency spectra. They are presented in Fig. 31 for a

Page 433: Advanced Technologies Based on Wave and Beam Generated Plasmas

422

8 eli' 6 cAf

0

8 JP iff

6

4

2

0

8 JP 6 rif

4

2 .. 0

10

10

10

60

20 30 40 /.

45

20 30 40 f,

33

·~ 20 30 40

/.

50

8 dP JF 70

6

4

2

' 50 0 10 20 30 40 50 /.

8 JP

N\ Jf

54

6

4

2

..... 50 0 10 20 30 40 so

/.

Figure Sl. Comparison of the experiment al radiation spectrum (solid Jines) with calculations (dots ).

given rb = 0.65 em and various rp (0.7 ern < rp < 1 em). The experimental data are presented by solid lines, the calculated results by dotted lines. The agreement between them is only qualitative. For quantative comparison additional investi­gations are needed (23]. But anyway these figures demonstrate that by varying the parameters of the plasma- beam system it is possible to generate very narrow band~practically monochromatic -powerful radiation with D.w/w < 5 %, as well as very wide band noise-type radiation with D.w/w < 1.

Page 434: Advanced Technologies Based on Wave and Beam Generated Plasmas

423

3.2. HIGH PRESSURE PLASMA-BEAM SOURCES OF COHERENT OPTICAL RADIATION

High pressure beam-plasma systems are applied in many technical and scientific problems. Of course it is impossible to give a review of all these problems in detail. For this reason we shall restrict ourselves only to the investigations carried out in the General Physics Institute of the Russian Academy of Sciences . In particular we shall consider the REB excited chemical lasers in high pressure (in the order of atmospheric pressure) gases. The main advantage of chemical lasers is the chemical energy, which leads to significant contributions to the stimulated radiation via definite chain reactions taking place only in ionized gases. As a result the efficiency of chemical lasers may be higher than 100 %. Firstly a chemical laser with an efficiency of 200% was realized in [25) in the 70's, using the beam ionization of SF 6 at atmospheric pressure and initiating the chain reactions. The full radiation energy was very high, about 4.2 kJ . It shall be noted that even in the absence of chain reactions this laser was very effective: full energy J ~ 300 kA and efficiency 8%.

Much more effective are the eximer lasers with XeF and KrF, which also represent the types of chemical lasers, pumped by high current REBs. As was shown in [26, 27, 28], the efficiency of such lasers with chain reactions can exceed 500-700 %. Of course the radiation efficiency is measured as a ratio of radiation energy to the input energy into gases by REB . Therefore the main goal of the experiments was the optimization of beam input energy into gases. As was shown above there exist several canals of beam energy losses in gases and created plasmas: ionization losses due to the processes of direct impact ionization of atoms by REB electrons, energy losses due to the induced plasma current and Ohmic energy delivered by this current, acceleration of the plasma electrons under the action of space charge fields of the beam and external magnetic field . All these processes can be regulated by the gas pressure, properties of atoms and resistivity of current circuit . Namely these processes and their investigations were the main goal of all our experiments, which were carried out on the experimental device "Terek- lR", described above. The schematic of experimental device is presented in Fig. 32. It consists of the REB source "Terek-lR", laser resonator and the set of diagnostics for measurements. REB parameters are E = 1.5 MeV, J = 5-15 kA and 40-70 ns and magnetic field 2.4 kG.

The preliminary experiments on the excitation of chemical lasers ware carried out using the gas mixture He : SF 6 : H2 with various ratios of the components, but without chain reactions (for avoiding explosion) . All details of experiments are described in [29, 30). We shall present here only the main results. First of all it should be mentioned that the HF laser mirror was prepared from mailor · aluminium foil and has a reflection coefficient of 80%. The length of the laser resonator was of the order of 130 em with a radius of 13 em.

In the first experiments [29] a slightly different geometry of the device was used . Namely, the REB was injected into the laser in the longitudinal direction, not from the side as shown in Fig. 32. In these experiments the mixture He : SF6 :

Page 435: Advanced Technologies Based on Wave and Beam Generated Plasmas

424

Figure 32. The schematic of the experiment.

H2 = 24 : 7 : 1 was investigated with a total pressure of 0.5- 1 atmosphere. The maximum total energy of radiation was ~ 5J, which corresponds to an efficiency of 8% from the input energy of REB into the gas.

In the following experiments !30, 31, 32, 33, 34] the geometry of the device, which is shown in Fig. 34, was used and the gas mixtures (1) He : SF6 : H2

= 6 : 7 : 1, (2) Ar : SF6 : H2 = 6 : 7 : 1 and (3) Ar : SF6 : H2 = 12 : 7 : 1 were investigated. The maximum energy of laser radiation was obtained using the gas mixture Ar : SF6 : H2 = 6: 7: 1 and was equal to 8.5J, which is in the order of 5.6 % of the input energy of REB into a gas mixture of atmospheric pressure. It should be noted that the maximal radiation efficiency exceeds 8-10 %.

In Fig. 33 the dependencies of laser radiation energy Q on the gas pressure p0

for the above indicated mixtures are presented, whereas in Fig. 34 the dependences of Q and on the beam energy input into the gas (with p0 ) are shown for the mixture Ar : SF 6 : H2 . From these figures one can see that the optimal pressures for all mixtures are different and less than 1 atm.

We would like to underline once more, that the chemical lasers in our exper­iments were operated under the condition that chain reactions are not possible. Theoretical calculations show that the chain reactions lead to an increase of inten­sity of laser radiation, of approximately 50 times. Therefore the efficiency exceeds 400- 500 % for the mixture Ar : SF 6 : H2 = 6 : 7 : 1 in the presence of chain reactions. Namely this result was obtained in j26] using a REB with much less energy. Weexpect to obtain a radiation energy in the order of 400 - 500J.

In conclusion we would like to tell very shortly about our future plans. First of all, let us point out that the longitudinal geometry of laser resonator is not effective for the beam energy input into gases. In this sense there is higher efficiency with a coaxial geometry with multipole N ·pole magnetic field and radial injection of

Page 436: Advanced Technologies Based on Wave and Beam Generated Plasmas

8

6

z

0 o.z Po

0.6

425

0.8

Figure 33. The dependencies of laser radiation energy on the gas pressure for mixtures (1) He : SF6 : H2 == 6: 7: l , (2) Ar : SF6 : H2 == 6: 7: 1 and (3) Ar : SF6 : H2 = 12: 7: 1.

fJ • . J

8

E, :/ Z50

100

0.8 1.Z

Figure 34 . The dependencies of laser radiation energy (1) and beam energy input (2) on the gas pressure for mixture Ar: SF6 : Hz == 6: 7: 1.

Page 437: Advanced Technologies Based on Wave and Beam Generated Plasmas

426

'-~==__!l.._____-~ l!l

(

-~__!!-~.

1 - ---~--" "

v

" " a 10 u

VII ____ __ __ ;.._ ___ _ ... ·- ___ _,_ __ _ ---

• ., .. ·-- ·-- ··----~------

,;·f-----~-

10 11

Figure 35. (1) Configurations of REB injection with a multipole magnetic field. (a) Double coil scheme of REB injection. (b) Configuration of external beam injection. (c) configuration of inner beam injection . (d) "Squirrel Cage" scheme of REB injection. (II) Dependencies of a energy deposition efficiency 1'/G on pressure. Curve A: N = 4; B: N = 12; C: N = 24. (III) Dependencies of a specific energy deposition q(R) with chamber radius R at different values of magnetic field for argon at p = 1 atm and N = 12. Curve A: B = 0; B: B = 8.5 kG; C : B = 11.3 kG ; D: B = 28.2 kG. (IV) Distribution of specific energy deposition q(R) with chamber radius R for various N at P = 2 atm. Curve A: N = 0; B: N = 4; C: N = 8; D: N = 12; E: N = 32. (V) Distribution of a specific energy deposition q(R) with chamber radius Rat different pressures p for B = 4.7 kG and N = 12. (VI) Distribution of argon plasma electron concentration with chamber radius R at different pressures. Curve A: P = 0.5 atm; B: p = 1 atm; C: p = 2 atm; D: p = 4 atm. (VII) Distribution of excited dimers Ar; concentration with chamber radius R at different pressures. Curve A: p = 0.5 atm; B: p = 1 atm; C: p = 2 atm; D: p = 4 atm .

REB from the cathode, placed on the axis of the system, or placed on the surface of waveguide with injection of REB into the center (see Fig.35.1) . In Fig. 35.11-VIII the results of calculations of the beam injection from the axis in argon arc presented.

In the laboratory of plasma physics of the GPI the experimental investigations will begin in the near future, and we hope to obtain first results in the middle of this year.

Page 438: Advanced Technologies Based on Wave and Beam Generated Plasmas

427

4. Conclusions

From numerous beam-plasma systems and their applications we choose two op­posite limits: low- presure gases (p0 < 10- 3 Torr) and plasmas with density np < 1014 em - 3 and high pressure gases (p0 > 10 Torr) and plasmas with np > 1017

em- 3 . In the first case plasma is created by low energy long pulse electron beams and is used as a resonator for pulsed powerful coherent microwave electronics based on the stimulated Cherenkov radiation of dense high current REBs; in the second case plasma is created by high current REBs themselves and represents the active media for coherent optical radiation. We restrict ourselves to these two cases in view of the fact that namely these phenomena are investiga~ed in the GPI theoret­ically as well as experimentally. Moreover in the GPI wide investigations in many fields of applications of powerful coherent microwave radiation are carried out for far- distance radiocommunications, for plasma heating in thermonuclear devices and so on. Regarding short pulse eximer lasers: they are used for investigations of particle accelerations, laser isotope separation and others. But these problems are themselves very interesting and about them special lectures should be given.

References

1. Rukhadze, A .A., Bogdankevich, L.S . , Rosinsky, S.E., Rukhlin, V.G. (1980), Physics of High Current Relativistic Electron Beams, Moscow, Atomizdat [in Russian].

2. Fedosov, A.l., Litvinov, E .A., Belomintsev, S.Ia., et. al . (1977), Limiting Current in a magnetically isolated cylindrical REB diode, Fizika 10, i34-143.

3. Alexandrov , A.F. , Bogdankevich, L .S., and Rukhadze, A.A. (1984}, Principles of Plasma Electrodynamics, Springer Verlag, Heidelberg.

4. Akhiezer, A.l., and Fainberg, la.B. (1949}, Interaction of Charged Particles Beams with Electron Plasma, Doklady 69, 555-556.

5. Bohm, D., and Gross, E . (1949}, Theory of Plasma Oscillations, Phys. Rev. 75 , 1851- 1876. 6. Buneman, 0. (1959) Dissipation of Current in Ionized Medin, Phys. Rev. 115, 503-517. 7. Kuzelev, M.V. , and Rukhadze, A .A. (1995}, Plasma Free Electron lasers , Paris , Edit.

Frontier. 8 . Landau , L.D. , and Lifshits , E.M . (1956}, Electrodynamics of Media, Moscow, Nauka [in

Russian] . 9. Raizcr, M.D ., Rukhadze, A .A., and Strelkov, P.S. (1967}, Current Convective Instability of

Electron Beams in Plasma, JETP 53, 1891-1897. 10. Bogdankevich, L.S. , Raizer, M.D., Rukhadze, A.A., and Strelkov, P .S. (1970}, The Thresh­

old of High Friquency Instabilities of Electron Beams in Plasma, JETP 58, 1219-1233. 11. Klok , O .D. , Krementsov, V.I. , Strclkov , P .S., and Shkvarunets, A .G . (1974}, Injection of

Relativistic Electron Beams in Plasma, JETP 67, 1101- 1409. 12. Krementsov , V.I. , Rabinovich, M.S., Rukhadze A.A., et. al. (1975), Excitation of Electo­

magnetic Waves by High Current Relativistic Electron Beam in Magnetic Plasma, JETP 68, 1218- 1229.

13. Krementsov V.I., Strelkov, P.S. , and Shkvarunets, A.G . (1976), Neutralization of the Space Charge and Magnetic Field of a Relativistic Electron in Magnetized Plasma, Fisika plasmy 2 , 936-944.

14 . Shkvarunets, A.G ., Strelkov, P.S. , and Krementsov, V.I. (1977), Damping of Reverse Current Induced by Relativistic Electron Beam in Plasma, Fisika Plasmy 5 , 770-773.

15. Bondar, I.F. , Klimov, V.I., Mkheidze G.P., et. al. (1994) , Fields and Currents in Plasma Generated by REB, GPI Reports 45, llO· 146.

Page 439: Advanced Technologies Based on Wave and Beam Generated Plasmas

428

16. Arutunian, S.G ., Bondar, l.F., Mkheidze G .P., et. al. (1983), Relativistic Electron Beam Injection into Neutral Gases and Plasma, Plasma Phys. 25, 11-24.

17. Collections "Relativistic Microwave Electronics" (1979-1989), v. 1-6, Appl. Phys. Inst. N. Novgorod .

18. Kuselev, M.V ., Rukhadze, A.A., Strelkov, P.S., and Skvarunets, A.G . (1987), Relativistic High-Current Plasma Microwave Electronics : Advantage, Progress and Outlook, Fisika plasmy 13, 1370-1382.

19. Rukhadze, A.A ., Strelkov , P.S ., and Shkvarunets, A.G . (1994), Wide- Band Relativistic Plasma Microwave Oscillator , Fisika plasmy 20, 686- 688.

20. Kuzelev, M .V ., Loza, O.T., Ponomoriov A.V., et. al. (1996), Spectral Characteristics of a Relativistic Plasma Microwave Oscillator, JETP 109, 2048- 2063.

21. Birau, M., Buzi, I.M., Kuzelev, M .V., et. al. (1996), High-Power Broad- Band Tunable Microwave Oscillator Driven by REB in Plasma, BEAMs 96, Prague, vol.l. pp. 225-229.

22. Kuzelev, M.V., and Rukhadze, A.A. (1998), Optimal Efficiency and Radiation Spectra of Cherenkov Plasma Microwave Amplifiers, Fizika plasmy 24, 53Q-533.

23. Birau , M. , Krasilnikov, M.A., Kuzelev, M .V., and Rukhadze, A.A. (1997), The Nonlinear Theory of Relativistic Plasma Microwave Amplifier, JETP 111, 1258-1273.

24 . Birau, M ., Krasilnikov, M.A., Kuzelev, M.V ., and Rukhadze, A.A . (1997) , Problems of the Relativistic Theory of Microwave Plasma Electronics, Uspekhi Phis. Nauk 167, 1025-1042.

25. Gerber, R .A., and Patterson, E.L. (1976), Studies of a High- Energy HF Laser Using an Electron-Beam Exited Mixture of High-Pressure F2 and H2, Journ. Appl. Phys . 41, 3524-3530.

26. Baskin , A .S. , lgoshin, V.I. , Oraevsky, A.N ., and Sheglov, V.I. (1982), Chemical Lasers , Nauka, Moscow.

27. Lakoba, I.S ., and Jakovlenko, S.l. (1980), Active Media of Exciplex Lasers, Quantum Electronics 1, 677-719.

28. "Chemical Lasers", eds. Gross , R ., and Botta, J. MIR, Moscow 1980. 29. Bondar, I.F., Zavarotny, S .l., lpatov, A.L., et . al. (1981), Experiment on Laser Excitation

by REB on the TEREK-I Devices, Kratk . Soob. Fisiki 8, 8- 12. 30. Baskin, A.S ., Konoshenko, A.F., Oraevsky, A.N. (1979), A Study into Conditions of an

Efficient Initiation of HF Chemical Lasers by a Relativistic Electron Beam, Quantum Electronics 6, 2166-2174.

31. Zavarotny, S.l., Ipatov, A.L., Mkheidze G.P. , et. al. (1983), SF6-H2 Laser Pumped by High-Current Relativistic Electron Beam, JTP Letters 9, 46-49.

32. Klimov, V.I. , Mkheidze, G .P., and Savin, A .A. (1993), An Ultraviolet Noncoherent Radi­ation Source Using Noble Gas Dimers Excited by an Electron Beam, Plasma Sources Sci. and Technol . 2, 123-126.

33. Arlantsev, S .V ., Klimov, V.I ., Mkheidze G.P., et. al. (1994), "Sqirrel Cage" - an Effective Configuration of REB Injection, Plasma Sources Sci. and Technol. 4, 147- 151.

34 . Arlantsev, S.V., Klimov, V.I., Mkheidze G .P ., et. al. (1994), Efficient systems of injection gas electronics, GPI Reports 45, 166-192.

Page 440: Advanced Technologies Based on Wave and Beam Generated Plasmas

EBIT: AN ELECTRON BEAM SOURCE FOR THE PRODUCTION AND CONFINEMENT OF HIGHLY IONIZED ATOMS

G. FUSSMANN, C. BIEDERMANN, R. RADTKE

Max-Planck-Jnstitut for Plasmaphysik, EURATOM Association, Bereich Plasmadiagnostik, Mohrenstr. 41, 10117 Berlin/Germany

Highly ionized atoms can be conveniently produced by an electron beam in an EBIT device. We give a survey on the technical operation, the physical principles involved in production and confinement of the ions, and the possible applications in atomic and plasma physics.

1. Basic Concepts

I. 1. INTRODUCTION

Whereas in the past highly ionized atoms with charge numbers Z ~ 30 could be generated only in large accelerator facilities, the effort to produce them is considerably reduced in an EBIT device. In fact, with such small scale apparatus - fitting in a laboratory of common size - it is now possible to create practically any ion from the whole periodic table of elements. This offers a multitude of exciting studies in atomic physics , and many interesting questions of this type have already been addressed.

However, there are also other areas, like astrophysics or high temperature plasma physics, where highly ionized species are important. In fusion oriented plasma research, heavy elements like tungsten are considered as wall materials. Because of erosion, these atoms can penetrate into the plasma and reach there easily charge states in the range of 10 $ Z $ 70. Hence, there is the need to determine their densities by spectroscopic methods. Furthermore, the radiation produced by such ions (other elements like Ar or Kr may be added deliberately as coolant for this purpose) is an important issue; it has been treated so far only on a purely theoretical basis.

Mainly for these reasons, the plasma diagnostic branch of IPP decided to install an EBIT to pursue corresponding atomic physic questions of fusion relevance. This paper reflects some of the technical experience and experimental results that has been gained since installation in 1996. In addition, our present understanding - including unresolved problems- of the ion confinement and heating in the trap is discussed.

429 H. Schluter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 42~8. © 1999 Kluwer Academic Publishers.

Page 441: Advanced Technologies Based on Wave and Beam Generated Plasmas

430

1.2. GENERAL TECHNICAL DESCRIPTION

The Electron Beam Ion Trap (EBIT) is a unique device for producing, trapping, and studying highly charged ions within a small volume. EBIT is a new tool enabling unprecedent experiments with highly charged ions impossible to study with conventional ion sources, accelerators or storage rings.

Whereas very highly charged ions can be manufactured at a few large accelerator facilities using Ge V -energies, the generation of ions in the 1.5-m-high EBIT requires far less input energy. The atomic physics of highly charged ions can be studied using electron beam ions sources (EBIS), which operate at the same principle as EBIT and are widely used for collision experiments and as injectors for accelerators, but are not designed for spectroscopy. Storage rings allow the accumulation and storage of highly charged ions over long periods of time enabling electron-ion cross section measurements by collision with gas jets and electron cooler beams, but are not suited for x-ray spectroscopy due to the storage at high velocities resulting in a significant Doppler broadening. Similar this troubles the beam-foil spectroscopy, which can be used to measure transition energies and lifetimes with high x-ray flux but only in short bursts .

The most important feature of EBIT, separating it from other methods studying highly charged ions, is the ability to obtain high-resolution spectra from ions nearly at rest excited by a monoenergetic electron beam. Since the ions in EBIT are trapped and stored essentially stationary, they can undergo repeated excitation and re-emission to provide an extended constant x-ray flux. Another key feature is the narrow charge-state distribution which can be selected from almost any element of the periodic system.

The development of EBIT was encouraged by the electron beam ion sources built as injector-sources for highly charged ions at several laboratories. E.D. Donets from Dubna­laboratories, USSR, demonstrated with the production of helium-like xenon ions (Xe52+> in the KRION II device the value for atomic physics [I]. When the electron beam ion source at the Lawrence Berkeley Laboratory did not succeed to produce high enough charge states, the problem was suspected to be caused by instabilities in the 1-m-long electron beam [2], heating the ions too fast before they can be ionized sufficiently . This inherent characteristic was supposed to be suppressed by making the trap only 2 em long. It was realized in the electron beam ion trap at the Lawrence Livermore National Laboratory [3] specifically designed with a short trap length and almost perfect trapping of highly charged ions for x-ray spectroscopy. This first EBIT operated at electron energies up to 30 keV proving its powerfulness to the research in atomic physics with a plentitude of publications. It was upgraded and followed by a second device called SuperEBIT, which can run up to 200 keV electron beam energies, enabling the production of up to hydrogenlike uranium (lJ-11+) [4] . Initialized by this success, EBIT­facilities have been built up at the National Institute of Standards and Technology [5), USA, the Oxford University [6]. England, the Institute of Laser Science of the University of Electro-Communications in Tokyo [7) , Japan, and at the Max-Planck lnstitut ftir Plasmaphysik, Bereich Plasmadiagnostik [8], Berlin. Other institutions plan to set up further devices.

Page 442: Advanced Technologies Based on Wave and Beam Generated Plasmas

431

1.3. MAIN COMPONENTS OF EBIT

The basic idea of EBIT is illustrated in a cut through the instrument shown in Fig.1-l. The EBIT employs a high-density electron beam to ionize, trap and excite a specific population of ions.

Collector ..

Metal ion source

Superconducting Helmholtz-coils

High voltage drift tubes

Electron gun

Liquid Nitrogen (77 K)

Liquid Helium(4 K)

Jon trap

Trap potential

Fig. J.J : Cut through the EBJT-device showing the main components.

The electron beam is extracted from an electron gun, threaded through the drift tube arrangement and guided to the collector. By means of the magnetic field of the superconducting Helmholtz-coils the electron beam is compressed to increase the current density. Gaseous species can be injected into the trap from a differential pumpstep 90° to the major axis of EBIT. Metal ions are guided along this axis given by electron beam.

I. 3. 1. Electron Gun The electron beam is the heart of EBIT. It serves in a three-fold way. Firstly, due to the high current density, the space charge of the electron beam traps the ions, secondly, successive ionizing collisions of the electron beam create ions in high charge states and finally, the selective electron-beam excitation drives the light emission (dominantly in the x-ray regime).

The electron beam is emitted from a Pierce-type electron gun. The barium-doped tungsten cathode is heated from the backside to about 1100 K. With the help of the geometry and

Page 443: Advanced Technologies Based on Wave and Beam Generated Plasmas

432

the focus voltage, electrons emitted from the 3 mm diameter spherical cathode converge to a spot of 0.36 mm radius. The electron current is adjusted by the anode voltage of typically 3.4 kV for a I 00 rnA current of the 0.5 Jlperv gun. The electron-gun assembly is surrounded by a magnetic bucking coil, canceling the strong field from the Helmholtz coils and maintaining zero field at the cathode. This is necessary to reach laminar electron flow condition for the maximum magnetic compression of the electron beam.

I. 3. 2. Superconducting Coils and Beam Guidence in the Magnetic Field

The electron-beam current density is determined by the local magnetic field at the drift tubes and the launching parameters at the cathode. With a 3 Tesla axial magnetic tield produced by the superconducting Helmholtz-coils at the drift-tube region the beam is compressed to 70 micrometer diameter. The maximum electron-beam compression can be reached for the condition of Brillouin flow, where electrons are leaving the cathode in zero magnetic-field environment and with zero electron-beam temperature. For this laminar flow without distortion of the beam envelope the Brillouin current density within a square profile is given by

( 1.1)

with Bin Tesla and Ec in eV. For an electron beam withEe::: 10 keY energy and a field of B = 3 T the current density amounts to 4300 A/cm2 The Brillouin radius of the electron beam is given by

( 1.2)

This results in r8= 27 Jlm for a total electron beam current of I"::: 100 rnA. However, these ideal conditions are not achieved in EBIT, since the random thermal transverse motion of the finite electron temperature causes a further broadening of the beam. A semi-empirical description including the finite electron temperature and the magnetic field at the cathode was developed by Hennann [9], who derived the expression

(1.3)

forthe characteristic beam radius, where r c• T c and Be are the radius of the cathode, its temperature and magnetic field, respectively. The Hermann radius reaches a minimum, when the field at the electron cathode is zero. The beam profi.Ie can be approximated by a Gaussian including 80 % of the total electron current within rH. With a temperature of the electron emitting surface of kTc :::0.1 eV and Be :::0 a Hermann radius of rH = 32Jlm is obtained. In Figure 1-2 the compression of a lc=103 rnA electron beam is visualized by calculating the radius of an electron starting at the boundary of the cathode

Page 444: Advanced Technologies Based on Wave and Beam Generated Plasmas

433

(rc = 1.5 mm) as a function of the distance from the emitting cathode. The anode voltage is set to 3.5 kV and at the drift tubes the electrons reach an energy of 25 keV. The trajectory showing how this eneveloping electron spirals around the major EBIT axis is plotted in the lower part of figure l-2. A measurement of the ion-beam overlap using an imaging slit to map the radial extend of the x-ray emission confirmed an electron-beam radius of approximately 35 )lm (see ref. [10]).

The very weak dependence of the beam radius from the total electron current and the beam energy can be used for electron-ion recombination experiments to change the ionization or recombination rate for ions already trapped and stripped to high charge states by varying the electron energy over a wide range. The variation of the beam energy can be used to optimize the ionization rate and to avoid rapid heating of the ions.

The 3-Tesla axial magnetic field varies by less than 0.02 % over the 2 em long trap region. The niobium-titanium coils are continually cooled by liquid helium and the reservoir surrounding the magnet is shielded by a liquid nitrogen compartment to reduce the heat radiation. For a 3-Tesla magnetic field the coils of the Berlin EBIT are loaded with 56 A, before reaching persistence mode and the current leads are removed to reduce further the heat losses and cut down on liquid helium consumption.

0.15

E 0.10 0

Electron

15

Electron beam axis z [ em ]

8 ->-

0.03

0.02

0.01

0.00

-0.01

-0.02

·0.03 L---1.--'----'---'-------''---_.J -0.03 -0.02 -0.01 0.00 0.01 0.02 0.03

x[cm]

Fig. 1-2: Radius of a boundary electron as function of the distance from the cathode showing the beam compression. The electron starts at the cathode with r c = 0.15 em and zero magnetic field. The space charge of the 103 mA electron beam is included in the calculation. Anode and drift tubes are set to 3.5 kV and 25 kV, respectively. To the right the trajectory of this enveloping electron f>piraling around the beam axis is ph!tted.

1.3.3. Drift Tube System Apart from the electron gun, the drift-tube assembly is the second major component of EBIT and serves two basic functions. The potential applied to the copper drift-tube assembly accelerates the electron beam to its full interaction energy and the three sections provide the axial electrostatic trap for the ions. Fig. l-1. shows in the center the drift-tube arrangement and indicates schematically the resulting trap potential.

Page 445: Advanced Technologies Based on Wave and Beam Generated Plasmas

434

The middle drift tube consists of a 2 em long section with an inner diameter of I em and eight 3-mm wide slots for observation. The two end drift tubes are each 9 em and tapered from an inner diameter of 0.3 em to 1.4 em at the outer end. Each has a counterbore matching into the middle drift tube. All three are insulated and hold in place by precision ceramics mounted to the liquid helium reservoir. The non-uniform cross section of the drift tubes with the tapered geometry provides an optimal axial well with a short trap length to increase the ion density, a flat bottom to define a uniform energy of the ions and a steep, constant slope at the outer ends to establish rapid escape of lower charged ions enhancing evaporative cooling.

The accelerating beam voltage is supplied by a fast precision high voltage amplifier, elevating the drift tube assembly up to 40 kV with a slew rate of 30 Jls/kV. A floating power supply superimposes a positive bias to the bottom drift tube of typically 500 V and a fast switchable second floating power supply controls the top drift tube and allows injection or dumping of ions when pulling this potential down to the potential of the whole assembly.

0~ 3 J _/'\__ . ..,~ 2

Jf£ 1 ------~~----------------~~----~---=--------------~·~ ~10

j g 5

____ , _____ _ v \

\

' ~

elL- '~=-~ ../ -/-cr-;=. [j -... -r-

11: "' c 0 _g c c

-8 0 "' ~ .Q ., 0

~ :~ E

~ ~ u ~

Q; 1§~ .<: c 0 ~ N

"" g. a. o; ~ dl ., ::> 0 c ., 0

() < 1- () 1- (f) () w iii ::2v>

Electron gun Drift Tubes

Fig. 1-3: Schematic of the electrodes and magnets of the Berlin EBJT together with the electrical potential distribution and the magnetic field. The dashed potential line shows a configuration where the top drift tube barrier is opened to dump the trap inventory and the high voltage drift tube assembly is lowered to the potential of the metal-ion source allowing injection of ions.

Page 446: Advanced Technologies Based on Wave and Beam Generated Plasmas

435

Due to the geometry of the counterbore on the outer drift tubes and the different inner diameters of bottom/top and center drift tubes, there will be a trapping potential established when the electron beam is running even if all drift tubes are on the same potential. Therefore the middle drift tube is risen by I 00 V above the platform potential of the assembly to ensure a smooth slope of the potential drop and complete dumping of the trap inventory.

The radial trapping of the ions is provided by the space charge of the high-current density electron beam. The confinement of the ions is described in more detail in the next chapter. Due to the unknown space charge of the ions, we cannot determine the interaction energy of the electron beam with the ions exactly by the applied accelerating voltage. However, measuring the x rays emitted through radiative recombination of beam electrons fixes the value of the interaction energy.

The profiles of the electric potential and the magnetic field along the electron-beam axis of EBIT are shown in Fig. 1-3. The cathode of the electron gun is held at ground potential. With the anode potential determining the electron current all other electrodes, like the transition electrode are operated to provide a smooth rise of the potential between electron gun and drift tubes to avoid reflections of electrons.

I. 3. 4. Electron Collection Leaving the drift-tube asssembly, the highly compressed beam of electrons is diverged by the declining magnetic field of the Helmholtz coils and a magnet with reversed field surrounding the collector electrode and finally dumped on the walls of the conical collector electrode. The collector is operated at 1500 V to decelerate the electrons between the high voltage drift tubes and the collector, thereby reducing the beam power consumption. This heat load of about 200 watts has to be dissipated by the liquid­nitrogen flowing through the collector. A supressor electrode just in front of the collector entrance prevents secondary electrons, released when the electron beam spreads over the copper collector surface, from travelling back towards the drift-tube assembly.

The electron beam is collected with an efficiency of 99.99 % resulting in a typical loss current of I 0 JlA read on the anode and transition electrodes.

Towards the metal-ion source the collector is followed by an extraction electrode and an einzel lens minimizing the radial excursions of ions to be extracted from or injected into the trap.

1.3.5. Injection of Atoms and Ions There are three possiblities to inject ions into EBIT:

• The necessity of cooling large parts of the inner structure of EBIT, like the superconducting magnet and the drift tube assembly with liquid helium and the outer shield with liquid nitrogen establishes a large cryogenic pumping system, which has a favorable side effect: generating a very low vaccum pressure. However, a small amount of residual background elements, which are not easily gettered to the cold surfaces, are present in the vacuum chamber and can be ionized by the high-density electron beam. Most notable is barium evaporated from the dispenser type cathode of the electron gun and tungsten. Both contaminants accumulate slowly in the trap with

Page 447: Advanced Technologies Based on Wave and Beam Generated Plasmas

436

filling times of several seconds. They may serve as EBIT-intrinsic high-Z elements for investigation or as tracers for spectral identification and can be kept at tolerable level by frequent dumping and refilling of the trap with fresh ions.

• A second more active way injecting neutral species into EBIT is a differentially pumped gas jet. The system consists of several small orifices separating two chambers equipped with a turbomolecular pump and limiting the gas load on the EBIT vacuum system. The apertures direct a stream of ballistic neutral atoms straight into the intersection region with the electron beam in the trap. The gas flow can be varied over a wide range filling the trap easily with neutral densities in the order of 105 cm·1 or higher. This method can be used to inject gaseous elements and also to introduce high-vapor-pressure solids by for instance controlled heating of the specie. Further, the gas-jet system is used to inject a cooling agent. To remove the heat imparted on the highly charged ions during the frequent ionizing collisions leading to a rapid increase of the ion temperature, low-Z ions, like nitrogen gas can be injected into the trap. By this evaporative cooling mechanism the kinetic energy transferred to low-Z ions from the highly charged ions is carried out of the trap, since the low-Z and lower charged ions are less confined by the trapping potential.

• The third possibility makes use of an external ions source. Most commonly applied with EBIT and also most sophisticated is a MEtal Vapor Vacuum Arc (MEVV A) source located above the EBIT vacuum vessel allowing to inject low charged metallic ions [ 11) (see Fig. 1-1 and 1-3 ). A high voltage spare triggered by a separate electrode between the anode and the cathode of the MEVV A creates a current stream on the cathode and forms a hot spot of eroding material , which vaporizes and expels metal ions. The element to be injected is selected by the cathode-ring or trigger-pin material. Interchanging trigger and cathode leads, allows a second material e.g. for calibration, to be readily available without breaking vacuum. Ions in charge states I+ to 4+ are extracted by the 8 kV between anode and ground potential of the beampipe and are guided by a focusing einzellens and the extractor electrode into the trap region of the drift tubes (Fig. 1-3). The extracted ion current of the order of 100 nA within 20 ~s result in a pulse of 1011 ions. Due to the large emittance and wide energy spread only a small fraction of the ion swarm reaches through the narrow electrode apertures to the collector and are guided by the electron beam into the trap. During injection of the MEVV A-ions the potential of the drift tubes is lowered to the extraction potential of the metal-ion source and the top drift tube is temporarily biased down to the potential of the drift-tube assembly, allowing ions to enter the trap (dashed line in Fig. 1-3). MEVVA-ions are decelerated to energies slightly above the center drift tube and are reflected from the potential step of the bottom drift tube. During this instance the axial trapping potential of the top drift tube is raised with a rate of 125 V /~s capturing some I 04 ions in the trap to be ionized, stored, excited and monitored.

1.3.6. The Vacuum System All parts of the interior of the EBIT are designed for ultra-high vacuum conditions by employing appropriate materials. The main vacuum vessel containing EBIT is evacuated down to 2· 10·6 Pa with an oilfree turbomolecular drag pump and two ion pumps

Page 448: Advanced Technologies Based on Wave and Beam Generated Plasmas

437

removing efficiently noble gases. The electron-gun chamber is pumped by an additional ion pump to reduce ion bombardement of residual gas on the cathode. The gas injector and the metal-ion source are evacuated by separate pumping systems consisting of turbomolecular drag pumps and ions pumps and can be valved off for service. During operation of the EBIT, the superconducting magnet is cooled by liquid helium to 4 K and the surrounding shield and the collector are cooled by liquid nitrogen to 77 K taking the vacuum in the drift tube region far below the endpoint of I o-7 Pa measured by an ionization gauge in a remote position. The large cold surfaces act as a huge cryogenic pumping system creating a vacuum of about w-w Pa in the trap, necessary to provide a clean environment of only one dominating element of the highly charged ions to be investigated.

1. 3. 7. Electrical Control System EBIT uses microcomputers to control the timing of the potentials of the trap and the data acquisition . All levels of the applied voltages, amplitudes of voltage ramps and the tuning of the electron beam through the drift tubes are operated manually dialing the analog value. The timing of various potentials on the drift tubes and injection or extraction of ions is activated by a sequencer sending a pattern of trigger pulses to the

EBIT R

~0

PtlolonEn"'!!Y

Timing Pattern

.___ _ __._, _ _ __ H>~Dolll ...n.........L. L.:.:::J----E------+~ ...... ...;..J1.....;.

1----------t-<>·e...-~

,-----c;----+~Gooa ~

.---·- +oRo..... ___n_

Fig. 1-4: lllustration of a typical £BIT-setup sketching x-ray detection, control system and data acquisition.

Page 449: Advanced Technologies Based on Wave and Beam Generated Plasmas

438

respective high-voltage amplifier and synchronizing the acquisition of x-ray spectra. A typical setup with the data-taking scheme is shown in Fig. 1-4. The measurement cycle is sketched in the timing pattern of the sequencer. First, the top drift-tube potential is lowered to release all ions from the trap and start with a fresh inventory. The electron­beam energy regulated by the drift tube potential is taken down to the potential of the MEVV A-ion source to allow the injection of metal ions triggered by a pulse in the timing pattern. After the flight time of the ions from the MEVV A source to the drift tubes, the trap is closed by raising the top drift-tube potential.

At this instance the drift-tube voltage is set to generate the electron-beam energy for the desired charge-state distribution. For example, to produce heliumlike ions, this corresponds to a beam energy just below their ionization threshold. Ionizing for a period long enough to reach equilibrium in the balance of ionization and recombination, the beam energy is swept linearly through a dielectronic-recombination resonance. Simultaneously with the sweep, the data acquisition is gated on and a multichannel scaler (MCS) is started digitizing the time of the ramp. Knowing the sweep rate and the start value of the voltage ramp, the MCS spectrum can be calibrated to the linear increasing electron-beam energy. The data-taking system records the digitized photon energy (ADC) registered by the solid-state detector and the respective beam energy (time of event) producing spectra and scatterplots (see section 3.3.1 ).

Since the ramping of the electron-beam energy has to be sufficiently fast, typically tew tens of ms, to prevent disturbance of the ionization balance, this cycle has to be repeated many times to obtain adequate statistics. This scheme of data acquisition has the advantage of sampling a wide range of electron-beam energies with the same ions and the observation of all electron-ion interactions resulting in photon emission.

2. Particle Confinement and Related Questions

As we have learned in chapter 1, a key element of EBIT is the drift tube system which provides the electrostatic trapping of the ions. In addition, the strong magnetic field needed to compress the electron beam can support their confinement. This combined electrostatic and magnetic confinement of single ions will be explained in the first part of this chapter. In the second part the effect of collisions will be discussed. Both, ion-ion and electron-ion collisions are important. The latter detennine the ionization and recombination rates and the heating of the ions. The fonner are important for attaining a Boltzmann distribution and, in connection with heating, determine the escape rate from the trap. An important point is the broadening of the ion distribution due to heating. Thereby the overlap with the electron beam can become very small and the ionization effectively ceases at a certain charge number much lower than predicted by the ionization­recombination balance.

2. 1. THE ELECTROSTATIC TRAP

2. 1.1. Potential of the Electron Beam The potential produced by the beam electrons in the trap region is obtained from Poisson's equation which reads in cylindrical coordinates

Page 450: Advanced Technologies Based on Wave and Beam Generated Plasmas

439

1 d dcpe p --r-=--. r dr dr £0

(2.1)

If we assume a homogeneous charge density over the beam cross-section of radius b this can be expressed as

(2.2)

where the linear charge density

Qe =-~=-3.35·10-91 Ie[A]I [As] c/3 f3 m

(2.3)

is determined by the beam current I.= 1-e n0 v011tb2• ~ = v,/c is the relativistic velocity ratio; the latter is connected with the relativistic parameter y = (1-~2)" 112 and the kinetic energy of the beam electrons via y = I + E,/m.c2 = 1 + E,/511 keY and ~ = (y2 -I )112/y. For typical beam energies E.= 10 to 40 keY we get~= 0.195 to 0.374.

Equation (2.1) has the general solutions <j> 1 = c1 - p(O) r2/£0 for r < b and <j> 2 = c2 ln(r/a) for b < r < a, where a is radius of the metallic drift tube which for the moment we assume to be on zero potential. The matching conditions at r = b for the potential and its derivative determine the two constants c 1 and c2• We thus obtain

cp~ox(r)=_f1_f[t{l-r2 /b 2}+ln(a/b)] ; O~r~b 21teo lm(a/r) ; b<r~a

(2.4)

The depth of the central potential is obtained from Eq. (2.4) as

cpbox (O) = _f1_(.!. + ln ~) = -59.96 I Ie[A] I(.!.+ ln ~) [V]. (2.5) e 21te0 2 b f3 2 b

For comparison we give also the results for a Gaussian charge density distribution p = Q. exp( -r2/b2)/(1t b2). The potential has then the form

where E1(x) is the exponential-integral function. In the limit of small x, E 1(x) -t -

0.5772 - In x, and the first bracket becomes 0.2886 for r = 0. With the asymptotic expansion E1(x) -t exp(-x)/x we get with alb >>I for the potential

Page 451: Advanced Technologies Based on Wave and Beam Generated Plasmas

440

(2.7)

Its central value

ifltuss(O) = ~[0.2886 + ln !!..] 2n£0 b

(2.8)

is about the same as for the box distribution given by Eq. (2.5). The potentials according

to Eq. (2.4) and (2.7) are plotted in

Fig. 2-1.

2 . 5 ',

' '

l . 5

Other quantities of interest are:

Fig. 2-1 : The normalized potentials ji>r rile box like (solid line) and Gaussian charge distribution (dashed line). A relative large beam radius bla of 0. I is assumed.

• The total number of electrons in the trap: N< =II) L/ e v" = 2.08· 10111 II) Llj3

• The electron density: n,, = NjV =2.08· 1010 II) /j3 nb2 [m 1]

For typical beam data I" = 0.1 A, E,. = I 0 ke V, b = 35 fJ.m and a trap length of L = 2 em

we get the following values: N" = 4.3· 108, n" = 2.75·101Rm·1_ Furthermore, with a= 5

mm, i.e alb= 143, the central potential amounts to <j>(O) = -168 V.

2.1. 2. Potential of the Empty Drift Tubes

The basic geometry of the drift tube system is depicted in Fig. 2-2. Here we have ignored

the horn-like shape of the lower and upper drift tubes since this widening of the tube

diameters is not important for the potential in the trap region . The enlarged radius of the

central drift tube will, however, be taken into account. Whereas the first and the third

tube are generally on the same high voltage level 1)1 = U (say 10 kV), the potential of the

central tube is lowered by an amount V "" 0.1 - I kV to establish an axial through. Since

the lengths of the tubes are much larger than their radii, the changes of the potential are

confined to the transition regions at the entrance and the exit of the central tube.

We can obtain an analytical expression for the potential of this arrangement by means of

a Fourier-Bessel series.

Page 452: Advanced Technologies Based on Wave and Beam Generated Plasmas

lj> = +U : lj> = U- V I lj> = +U

'I 1 L I I

<Pex _ 0 .

441

FiJ?. 2-2: Idealized f?eometry of the drift tube system

We first seek the solution of the Laplace equation .11j> = 0 for the unit-step boundary problem: \jl(r =a,, lzl >c) = 0 and \jl(r = a2,

lzl ~ c)= I. This is given by

I z l~c (2.9)

lzl>c

FiJ?. 2-3: Potential of the empty trap.

where the fln = 2.405, 5.520, ... are the zeros s of the Bessel-function 10 • The coefficients c"

= [fln 11(fln)l' occurring in this expression were obtained by postulating \jl(r, ±c)= 1/2.

By means of the unit step function the potential of the empty trap can be written as

1/Jex = U- Vllf(r, z) . (2.10)

The subscript ex is used here to indicate that this function is fully detennined by the external settings. A plot of this potential is shown in Fig. 2-3 for U = 0, V = I , and the actual dimensions of the Berlin EBIT: a, = 3.5 mm, a2 = 5 mm, L = 2c = 20 mm.

2. 1.3. Potential of the Unloaded Trap As a next step, we consider the potential produced by the beam in the actual drift tube system

(2.11)

To a first approximation IJ>ex is only a function of z, and IJ> c depends only on radius. As mentioned in Sec. 1.3.3, however, there is an axial variation of the beam potential even if all three tubes are at the same potential if their radii are different (see Fig. 2-4). This z-

Page 453: Advanced Technologies Based on Wave and Beam Generated Plasmas

442

dependence of the potential and the concomitant axial E-field is easily identified from Eq. (2 .5). The combined effect of tube and beam potentials is shown in Fig. 2-5 for a typical set of data.

¢trapl 0 ~20

FiM. 2-4: Porenrial (inverred) produced hy the beam FiM. 2-5: Potential of the electrostatic trap (U = 0. V= in rhe empty driji tuhe system. 200 V, I, = 0.1 A, b = 35 Jlm).

2. I. 4. Potential of the Loaded Trap Whereas the calculation of the unloaded trap is a straight forward matter, it is much more difficult to obtain the self-consistent potential when the trap is loaded with ions. Anticipating the arguments from section 2.3.2 we assume a Boltzmann distribution for the ions. Those of charge number Z will then exhibit the following charge density distribution

( eZ(/J(r)) n2 (r) = n20 exp ----rr- . (2.12)

Here we ignore the weak variation along the z-axis and simplify the trap potential by steep walls at z =±c. The function <j>(r) = <l>e(r) + <l>i(r) is the radial potential which also includes the self consistent contribution from the ions. Charge densities and the potential are connected by Poisson's equation

[ r 2 eZ,P(r) l Eo d dcp I Qe I -2 nzo ---

---r-=-ene+eZn2 =--- e b -Z-e kT . r dr dr rtb2 neo

(2 .13)

In this equation the electrons have been assumed una!Iected by the radial electric field since most of them leave the trap without colliding; moreover their gyro radius is very small because of the low perpendicular momentum.

Equation (2.13) can be solved numerically, imposing the boundary conditions <j>(O) = <1>'(0) = 0, and with the ratio nzr/nd1 as a parameter. More relevant than this ratio are the neutrality parameters

Page 454: Advanced Technologies Based on Wave and Beam Generated Plasmas

frzn 2 dr

Tla := 0ia . ; rne dr

0

frzn 2 dr t1 ·- -'-'0"-;--­'Jb .- b '

fo rne dr

443

(2.14)

which tell us to what extent the electron charges are compensated by the ion charges over the whole trap ('11.) and the beam area (llh). Results of such numerical calculations are shown in Fig. 2-6 and Fig. 2-7 for a central fraction of nzofnco = 1.2·10-' of Kr'0+-ions, which is sufficient to accomplish a complete filling of the trap ('11.:::; I). In this case only about llh:::; 4% of the ions are found within the beam region. As to be seen in Fig. 2-6, the central potential is reduced in this case by about 1/4 compared to the unloaded trap (for consistency with the preceeding sections the potential is shifted so that <)>(a) :::; 0). With rising temperature the fraction llJlla is decreasing because of the broadening of the ion distribution. Also the ion distribution is seen in Fig. 2-7 to peak in the center, but the radial decay is slower compared to the electrons: therefore the ion majority is found in the large volume outside the beam region.

~ (Volt ]

-50

- 100 ,'

I

- 1 50~

I

/ /

. .'1-5- - 1 r/a

p

~:: - - --- -----.:~-~~~-- ----·-- · -- --- ---0. 0 . 02 0 . 03

r / a

-0 . 2

Fix. 2-6: Self-consistent radial potential of the .filled Fig. 2-7: Charge distributions of the Gaussian beam, trap ¢ (solid) , and the electron part ¢, (doued). the ions ( 10 times magnified), and the total charge for

the conditions of Fig. 2·6. Note the different radial Parameters: 11, = 100%. 11b = 4%, Z = 30, T, = 500 scale. eV, alb= 143, 1,= 0./A, E, = 10 keV.

Once 11.:::; I is reached the radial electric field at the drift tube radius vanishes. It is, however, possible to go even beyond this point by filling more ions into the beam region. Then, the position of vanishing E, is moving into the interior of the trap to r0 <a. Ions in the outer region r > r0 are not confined anymore and will diffuse rapidly to the wall of the drift tube where they are neutralized. Hence, this outer zone will be practically free of charges and therefore E, vanishes everywhere in this region (i.e. <1> :::;

const.). Of course, the total number of ions in the trap cannot be increased further by this "overfilling", but their distribution is becoming more concentrated and the fraction in the beam region can be increased by about a factor of 1.5. This narrowing of the ion distribution is limited by the enhancement of the radial particle outflux, which steeply rises for r0 ~ b, and finally determines the stationary state by balancing the filling rate.

2. 1. 5. Energy of the Electrons For many applications the precise beam energy and its band width is essential. Since the electrons start with a negligible thermal energy of kTgun "' 0.1 eV at the cathode surface

Page 455: Advanced Technologies Based on Wave and Beam Generated Plasmas

444

of the gun (<!>c = 0) their kinetic energy is at any position given by the local potential. Specifically in the trap region we have with U2 = U- V

(2.15)

where for the approximation the expression (2.5) has been inserted for <!>c(O) and the

contribution from the ions has been neglected, assuming lla << 1. There is, however,

still the variation of the potential over the beam cross-section. According to Eq. (2.4)

this results in an energy width of

(2.16)

tor the assumed box-like density profile. Electrons on axis are therefore about 15 eV

lower in energy than those at the rim. Slightly smaller values are obtained for the

Gaussian beam profile. Hence, energy widths of about 50 eV, as observed in the

experiments (see chap. 3), cannot be explained by this profile effect. The larger

experimental width may be either due to a varying ion loading of the trap, or due to a

long term drift or a ripple of the high voltage power supplies.

2.2. PARTICLE ORBITS AND CONFINEMENT CONDITIONS

Disregarding collisions, the motion of the ions in the combined E- and B-fields is given

by the force equation

(2.17)

with the charge q = e Z. Since B has only a z-component and Ez vanishes in the trap

region except close to the turning points z = ±c, we will ignore here the axial bouncing of the particles and concentrate on the motion in the radial plane. Because of the axial

symmetry the calculation of the ion trajectories is simplified considerably, but is still

too complicated to be described by an analytical formula. Some numerical results are

shown in Fig. 2-8. As to be seen, the ions move on rosetta orbits which generally oo not close after a finite number of azimuthal rotations. The ion rotation is clock-wise (Bl

> 0), but due to E, < 0 the gyro-center motion is in the opposite direction, in agreement

with the drift approximation v0 ,ift =Ex B I B2 .

Page 456: Advanced Technologies Based on Wave and Beam Generated Plasmas

445

y y y y

X

y y y y

Fix. 2-8: Motion of a 10 keV ion with Z =30. J1 = 84 in the EBIT trap (I, = 0.2 A. B = JT. radii nori1Ullized to a = 5mm). At the starting point the angle of the velocity vector (arrow) is varied from left to right in steps of 11!4 from a0 = 0 to 7141C. Note that only for <Xo = nljirst picture of the bottom line) the particle does not reach radii smaller than the starting radius r If

However, we are not so much interested in the details of the motion but would rather like to know the radial limits rmin:5: r :5: rmax to which they are bound under certain conditions. This information can be obtained from the two constants of motion: energy

m 2 E=-v +qlP

2

and -as a consequence of symmetry -the generalized angular momentum

(2.18)

(2.19)

For a constant magnetic field the 9-component of the vector potential is simply A9 = r B/2. If we specify at the starting point (r0, 90) and the velocity vector v0 =v0 (sina0 e,-cosa0 e9 ), we can calculate from Eq. (2.18) the modulus of the velocity as a function of radius

(2.20)

and by means of Eq. (2.19) we get an equation for the azimuthal components (counted positive in the clock-wise direction) of the velocity

qB 2 2 -r0v0 cosa0 + rvcosa = -(r - r0 ). 2m

(2.21)

Page 457: Advanced Technologies Based on Wave and Beam Generated Plasmas

446

The two equations (2.20) and (2.21) are not sufficient to calculate the full trajectory but allow to determine the limiting radii rmin and rmax since at those points there is no radial velocity component and hence cosa "" ± l. The two extreme radii r m can thus be calculated by solving the equation

(2.22)

Introducing the gyro radius at the starting position rg = m vJqB, this can be written as

(2.23)

In the last equation the minus sign applies for the case when the ongm r = 0 is circumvented within a period of motion, since then the azimuthal velocity does not change sign; conversely, if the origin is not circumvented, the plus sign applies. Within the square root the ratio of the change of potential energy to the kinetic energy occurs. If the gyro radius is small (rg <<a) this ratio is also small and the square root is close to l. Denoting the square root by s we can formally solve Eq. (2.23) for rm

(2.24)

Useful first approximations arc obtained by ignoring the electric field and putting s = I. For the two limiting cases U0 "" 0 and 1t we then get rm = (lr0 - 2rgl, r0) and rm = (r0, r0 + 2rg), respectively. Hence only for the singular case a 0 ""1t the ions move in the semi­external region r ;::: r0 . For all other angles they have access to the region r < r0 • This statement holds not only for the pure magnetic case s = l but also when an additional radial electric field E, is present. A negative E,-field reduces both rmin and, rmax if 0 < 0,1 < 1t. For U 0 = 0 we find r max ;;;; r0 and similarly r min ;;;; r0 for U 0 = 1t, since s = I is the correct result in these cases .

Let us now assume that we have a box-like beam distribution and only one ion has been produced by ionization within this region at r0 < b. The ion will move on a confined orbit as discussed above. The important point is that it will always penetrate into the region r < r0 as far as a 0 =1= 1t, and even for a 0 = 1t it will come back to the starting radius r0 within each gyration. Therefore it is guaranteed that a second collision will take place. Again after this collision the particle will penetrate into the beam region and a third collision will occur. Thus, a sequence of collisions will take place, in the course of which the kinetic energy and the charge state of the ions is increased. This sequence is tenninated when the ion touches the wall of the drift tube. We can calculate the corresponding maximum energy from Eq. (2 .22) by putting rm =a, q>(a) = 0, and (since b <<a) r0 "" 0 . The result is

Page 458: Advanced Technologies Based on Wave and Beam Generated Plasmas

447

Ernax = q B a - qcp(O) = eZ 1.2 ·107 z (aB)2 - cp(O) , 2 2 2 ( )

8m J..l (2.25)

where J..1. is the ion mass in atomic units. With a = 0.05m, B = 3T and Z/J..L "" 1/2 for fully ionized atoms, the first term in the bracket amounts to 1350 Y whereas the second has already been estimated to <!>(0)"" -150 Y. In conclusion, particles up to an energy of Emax = Z·l.5 keY can in principle be confined- mainly because of the strong magnetic field. Since ions with Z = 60 are easily produced in an EBIT, energies up to 90 keY could be confined.

There are several reasons that such high energies are not observed in practice. One is of course that the axial potential well is generally much lower than the 1.5 kY which would be needed at minimum for the axial confinement. Furthermore, collisions with neutral atoms, and other ions must be taken into account. Collisions with neutrals, however, are very rare due to the remarkable vacuum conditions; the mean free path is estimated at A;o = (n0a)'1 "" (105 cm·3 • 10' 15 cm2)' 1 = 108 m. More important are ion-ion collisions which will be discussed in the following section.

The time needed to heat up the ions from zero energy to E; = kT can be estimated by means of the equations given in section 2.3.3. For the single ion case considered above the overlap factor with the beam is given by the fraction of time that the particle spends in the beam region during a gyro-period. Approximately this is given by

(2.26)

where the energy dependence enters via the gyro-radius rg = m v/qB = (2 kT m) 112/qB. The overlap factor in this case reaches a minimum value of about (b/a)/n.

2.3. EFFECT OF PARTICLE COLLISIONS

2. 3.1. ionization Neglecting the process of recombination the density of ions in charge state Z is decreased by ionization at a rate

(2.27)

where v" = c j3 is beam velocity, and <Jzion is the ionization cross-section. We are mainly interested in a characteristic ionization time which is determined by the coefficient of the first term on the right hand side

Page 459: Advanced Technologies Based on Wave and Beam Generated Plasmas

448

(2.28)

The ionization cross-sections can be obLained from the empirical formula given by Lotz [ 12) . It is based on the Born formula derived by H. Bethe for hydrogen and helium

(2.29)

Here I; is the number of equivalent electrons of the ion under consideration and Xz is the ionization energy. Equation (2.29) may be used as a first approximation if no specific information is available from the experiments or more refined calculations.

Using the Lotz formula the cross-sections calculated for krypton (Znuci = 36, J..l = 84), for example, can be roughly approximated by the exponential law 0'/''" = w-21 m-2 exp(-Z/3) for Z:::; 33 and beam energies between 5 to 25 keV. Using ~ = 0.2 and the previously estimated beam density of n0 = 3·1 0 1Hm -1 for Ic = 0.1 A, we get, from Eq. (2.28), t'zion = 6.6· 10-6 exp(Z/3) sec, yielding 6.6 J..lS < t'ziun < 0.4 s for the charges 0 :::; Z < 33. Summing up the ionization times up to the charge state Z, we get t = t 1 1: exp(Z/3) = t 1

( c<Z+I 111 -1 )/( e 113-1 ). Solving this for Z shows us that the charge state rises logarithmically with time: Z = 3 In[ 1 +(e ln -1 )t/t'1] - I. The charge state Z = 20 is thus reached already after about 20 ms but Z = 30 is obtained not before 0.5 s.

We do not pursue here the effect of radiative- and dielectronic recombination which are generally assumed to determine the ionization balance. In a one-dimensional, time dependent model the corresponding rate equations are relatively easy to be solved by numerical methods. The highest charge state atLained under stationary conditions is generally found to be close to ionization limit imposed by the beam energy (i.e. Xzmax "" Ee). In what follows we rather concentrate on the radial broadening of the ions which possibly can lead to an effective stopping of the ionization process at a much lower charge state.

2. 3. 2. /on-Ion Collisions The collision time for momentum exchange of test-ions of type "a" with field particles of type "b" having the same temperature is given by [13)

(2.30)

where m,h is the reduced mass, and J..l. , J.ln are the relative atomic masses. There is some uncertainty with respect to the Coulomb logarithm InA = ln(sma/s90, ). Here the lower collision parameter, determined by 90°-deflections, is given by s91,, = e2 Za ZJ(4rtE0 kT) yielding 5.2·10-9 m for Z = 30 and kT = 500 eV. Generally , the Debye length /...0 = [e2/E0

(nJkT0 + na Z/lkTa + no Z02/kT0)r 1'2 "" [e2 n/E0kTJr 1' 2 "" 96 J..lm is taken as the maximum collision parameter smax· There is, however, no screening of the ions outside

Page 460: Advanced Technologies Based on Wave and Beam Generated Plasmas

449

of the electron beam, thus smax "" a = 5 mm may be assumed as an upper limit. Nevertheless, the uncertainty in the Coloumb logarithm is small : 9.8 !5: InA !5: 13.8.

Let us first assume that the beam region is filled with about 104 Kr30•-ions (Nz!Ne"" 10"4)

at a temperature ofT= 500 eV. Their density is then nz "" 3· 10 14 m·', and we obtain for the self collision time 'tzz = 0 .3 ms. We can assume that after a few self collision times, i.e. within about 1 ms, a Boltzmann distribution is established.

Similar to momentum exchange, the energy exchange rate can be characterized by an relaxation time

(2.31)

which, for instance, allows us to estimate the temperature relaxation time of the K_rl(M-_ ions with fully ionized nitrogen to tE N.Kr"" 1 ms.

All these times are short compared to the typical data collection time of several seconds between dumping of the trap. One has to bear in mind, however, that much longer times (factor !5: a2/b2 "" I 04) can result if the ions do not concentrate in the beam region but are almost homogeneously spread over the cross-section of the drift tube because of heating by the electrons and collisions with other particles. We have therefore to consider the effect of ion-ion and ion-atom collisions on the broadening of the spatial distribution. As far as the gyro radius is small compared to the characteristic gradient length of density the effect of ion-ion collisions can be treated by the macroscopic equations of plasma physics. This leads to the well known expression for the classical fluxes [ 14]

(2.32)

where j. is the radial current density of the a-particles due to of collisions with the various b-particles, and p'; = dp/dr are the pressure gradients. The fluxes across the magnetic field are produced by the azimuthal friction forces R6• which occur because of the different diamagnetic velocities occurring in the bracket of Eq. (2.32) (note that all ExB drifts are equal). Expression (2.32) possesses three remarkable properties. 1) summing up all current fluxes yields L j. = 0: the fluxes are ambipolar. 2) If there is only one ion specie, we have a= band there is no flux at all because there is no friction . 3) If there are ions with different charges, an equilibrium is reached (j. = 0) which is attained when all bracket terms in (2.32) disappear. For equal and constant temperature this leads to

kT dlnna kT dlnnb ----=----qa dr qb dr

(2.33)

Page 461: Advanced Technologies Based on Wave and Beam Generated Plasmas

450

where c. is a constant. This result is known from tokamaks and denoted as impurit_v accumulation, since it has the effect that the higher charge states accumulate in the center of the discharge. Although the potential does not occur in the density relation on the right hand side of expression (2.33) it is actually the Boltzmann distribution in disguise. Equation (2.32) tells us therefore that a Boltzmann distribution across the magnetic tield cannot be established without collisions among different species that produce azimuthal friction, which then, as second order effect, causes the radial drift of the particles.

A first clue that Eq . (2.33) is in fact equivalent to a Boltzmann relation is obtained by taking the Z-th root of expression (2.12). More clearly it can be seen by postulating that the stationary state is characterized by the vanishing of the perpendicular streaming velocity of all ions:

With E = -V¢ and T = const. the Boltzmann relation is easily obtained:

kT 'Y(I/>+-lnnz) = 0

eZ ·

_ eZ¢

=> nz = nzo e kT

(2.34)

(2.35)

One should notice that under such equilibrium conditions the magnetic field - which is of crucial importance for collisionless particles - does not determine the spatial distribution of the ion ensemble. Basically, this essential result is due to the fact that the Lorentz forces do not change the particle energy.

Assuming that a Boltzmann distribution has been established over the cross-section we can calculate from

b _eZ¢

f e kT rdr FBoltz ·- N z (r :s; b) _ ""Jo"----,-,.---z .- Nz(r :s; a)- ia __ eZ_¢ ,

e kT rdr 0

(2.36)

the overlap factor with the beam area. For the box-like beam distribution we obtain

FBollz(a) _ 1 { 1 2 - a 1- (a I b)2(1-a) = (b I a)z- za

1 + ------'"-'""'----'---a-1 ea -1

a>> 1

a<<1' (2 .37)

Page 462: Advanced Technologies Based on Wave and Beam Generated Plasmas

451

where the parameter a = e Z IQY( 47t £ 0 kT) has been introduced for convenience. With b/a "" 0.01 the minimum overlap factor (b/a)2 is thus in the collisional case much smaller than in the single particle approximation, where Fz ~ (b/a)/1t had been found. For I< = 0.1 A and ~ = 0.2 we have a "" 15 zrr with T in eV. Fig. 2-9 demonstrates the asymptotic behavior of Fz80"' for small and large values of a.

P: ~-·~ l t ~

l

0.1

0 . 0 1

0.00 1

0.5 1 . 5 2 a

Fig. 2-9: The Boltzmann overlap factor of the ions with the electron beam as a function of a-ZIT for alb= 143. The approximation F/01" =

(bla/·2a is shown as the dotted line.

2. 3. 3. Electron Collisional Heating of the Ions The energy loss of an electron due to collisions with ions is determined (see ref. [15]) by the equation

(2.38)

where v,'h = (2kT/mJ is the thermal velocity of the ions, and the function H(x,M) is defined as

H(x,M)= erf(x) -~(l+M)e-x2 X -fit (2.39)

The function H reaches zero for x = x0 "" 3.5. For x > x0 the ions are heated by the electrons (H > 0); conversely, for x < x0 the ions would transfer energy to the beam electrons (H < 0). The maximum energy to which the ions can be heated by the beam is thus given by v/l(vz111 ) 2 = Xo2, which leads to

(2.40)

This limit is in the 100 MeV range and hence far beyond of the temperatures achieved in the experiments. We can therefore use the approximation H(x,M) ""1/x valid for x << I. From the energy balance of the trap region, Ph••• = J nc (-dEjdt) dV = 3/2 k dT/dt J nz dV, we then obtain the following equation for the temperature rise of the Z-ions

Page 463: Advanced Technologies Based on Wave and Beam Generated Plasmas

452

l dkT = e 4 ln: ~ !!.!_ Fz . 2 dt 41tEo mz ve

(2.41)

which by integration can be cast into the form

For the overlap factor in the single ion approximation according to Eq. (2.26) we obtain <1/Fzsingl<> = (2/3)/Fzsingle for not too small final energies. With the beam data InA= 10, n" = 3·1 0 1 ~ m·', f3 = 0.2, the time to heat He-like krypton (J.l = 84, Z = 34) to the maximum confined energy of Emax = k T = 5 I keV is thus estimated with 'tH = 0.81 sand 1/Fz""gk(EmaJ = 143 1t tot= 242 S.

In the more important multi-ion case with a Boltzmann distribution it is more convenient to divide Eq. (2.41) by kT and change to a= e Z 1Qel/(41t f 11 kT) as the new variable. We then obtain

where r< = e2/41tfo mec2 = 2.82·1 o -IS m, the classical electron radius, has been introduced. The characteristic heating time 'tzhcat is about 17 ms forb= 35 J.lm, f3 = 0.2 and j.l.z/Z = 2, but decreases with rising z. Note that 'tz heat is independent of the beam density since the confining potential - and thus a - is also proportional to n<. An example of a numerical integration of Eq . (2.43) is shown in Fig. 2-10. It demonstrates that the temperature rise is considerably slowed down when a"' 2 is reached.

1 . 5

Fig. 2-10: Development of the ion temperature (a·· l)

due to electron heilling. The temperature rise is flattened because of decreasing overlap with the beam.

Putting a = 1 as the lower limit in Eq. (2.43), we learn (again from numerical integration), that the time after which the ions are leaving the beam area is about 2

10 15 20t 1" " 'tzh"•'. After this time also the ionization is retarded considerably. We can thus estimate

the maximum charge that can be reached by solving the equation

(2.44)

where crzion is in units of 10"26 m2 and I" in A. The above equation describes the stopping of the ionization due to the broadening of the ion distribution. This effect is expected to

Page 464: Advanced Technologies Based on Wave and Beam Generated Plasmas

453

occur, since with increasing Z, the ions are better heated by the electrons but, in contrast, their ionization is slowed down. For the cross-sections given for Kr in section 2.31 we estimate Zmax = 27 ([3 = 0.2, I< = 0.1 A); their temperature, as obtained from a = I 5 eV Zma/f = 1, is about 400 eV. Although the temperature is in reasonable agreement with the experimental observations made elsewhere [I Sa], the estimated charge state is definitely too low, since even He-like ions (Z = 34) arc found with noticeable abundance in the experiments (see sect. 3.3.3) under the assumed conditions. The reason for this underestimation may be due to neglecting the cooling by the lower charge states. This evaporative cooling, mentioned already in sec. I .3.5, is particularly important when continuously gas atoms are blown in, which already in low charge states couple effectively to the high Z-ions according to Eq. (2.3 I), but escape· from the trap, thereby taking away the thermal energy. Low Z-elements like nitrogen can be added to enhance the cooling. Such experiments and the modeling by a numerical code are described by Penetran te [ 16].

2. 3. 4. Collisional Induced /on Losses The ion-ion collisions cause also a particle loss along the axis. If, as a result of such a collision, an ion receives an energy of m v112 /2 > e Z V, it will escape parallel to B, since the probability for suffering a second collision during the short time £\t s; Llv11

(about 0.1 J.!S) can be neglected. The loss rate from a volume element is then given by the diffusive flux density in velocity space by the particles passing the border velocity. We can write this flux density as

f<vl = f(v )(av) = f(v ) -F, dt Coli m

(2.45)

where f is the distribution function. On the right hand side we have expressed the diffusive collisional increase of the velocity by the inversely directed friction force. This equality pertains for any stationary distribution function . For test ions of type a, with a velocity much larger than the thermal velocity of the tield particles of type b, the latter can be written as

(2.46)

Since we are interested in the unidirectional flow along B, we can, as an approximation, assume a !-dimensional Maxwellian for the test particles

(2.47)

where va = (2 kTJmY'2 is the thermal velocity, and v11 has been replaced by v to simplify the notation. The loss rate is then given by

Page 465: Advanced Technologies Based on Wave and Beam Generated Plasmas

454

(2.48)

where the factor 2 has been inserted to cover the losses on both ends of the trap. Introducing the collision frequency given by the inverse ofEq. (2.30), and w := v2m,/v/ = e Z V/k T, the result can be written as

dna 3 e-w -=--n v b- (2.49) dt .fi a a (J) .

A confinement time can now be obtained in the usual way from 't = I iza I na1. 1•

Considering only the collisions among the Z- ions, we get

.fi 4 ·lOll !fil V eZV r=--wew ,., __ "'J'fl z e kT [s], (2.50)

3Vzz nz z3

where Tis in eV, n, in m ', and V in Volt. For the typical case of nz = 3·1014 m·', T = 500 eV, Z = 30, llz = 84, an axial potential through of V = 100 V would give a confinement time of about 0.4 s. However, a doubling of the voltage would rise this time already to 360 s.

Since the loss rate according to Eq. (2.48) is proportional to nz2 the radial outflux can be assumed negligible, provided the trap is weakly loaded with ions (TL << I) and the axial potential through (V) is not larger than the radial potential drop of typically 150 V. Both conditions can be violated in the experiments, either voluntary (rising V), or accidentally by uncontrolled particle influx.

So far no quantitative information on the confinement times is available from the experiment. One difficulty in such measurements is the proper time of filling . In the case of noble gas blowing there is the uncertainty caused by the weakly adsorbed atoms on the cooled walls, which actually provide a delayed particle source. Injecting the ions hy means of the MEVV A system has the disadvantage that the ions filled in are not confined to the beam region in the trap but may be spread over a much larger area. Therefore, their initial heating and ionization will be considerably slower than expected.

An even greater uncertainty arises from the continuous influx of barium and tungsten. In particular the latter- because of its high ion states - is found to expel all other ions in a long term experiment. In fact, we observe that for V = I 00 V it takes about I OOs for W64+ to approach the stationary state; simultaneously the level of Ba54+-radiation is strongly reduced during the transition to stationarity. Increasing the axial voltage drop to 300 V postpones the relaxation appre<.:iably and stationarity is reached not before 600 s. From this we infer on one hand that the axial barrier is essential, and on the other hand that the temperature of theW-ions (under such non cooling condition) is rather large (T"" (I 00*64)/5 = 1280 eV).

Page 466: Advanced Technologies Based on Wave and Beam Generated Plasmas

455

3. EBIT Experiments

The ability of an electron beam ion trap to produce and confine highly charged ions has led to a variety of new experimental opportunities, extending from atomic physics and plasma physics measurements to ion-surface interaction studies. In the following sections, we will present an overview of the various experimental techniques developed for EBIT and highlight some of the results obtained. The largest class of EBIT experiments has been spectroscopic x-ray observations from in situ measurements on highly charged ions. However, it is also possible to extract the ions from the trap for external experiments.

3. I. ELECTRON-ION COLLISION PROCESSES AND RADIATIVE TRANSITIONS

In combination with the ability to vary the energy of the electron beam producing the trapped highly charged ions, the EBIT is ideally suited for several different kinds of electron-ion collision experiments. The technique used for such type of measurements consists in counting the x rays produced in the electron-ion interaction under investigation. Usually, this involves pre-selecting a desired charge-state population with the methods described in section 1.3.7. A major advantage over hot plasmas or other ion sources is that, in EBIT, the electron-ion interaction can be studied under well-defined conditions. In this context, most important is the narrowness of EBIT's electron-energy distribution permitting to isolate specific interactions. By contrast, the energy distribution of a plasma in equilibrium is essentially Maxwellian with the result that a large number of processes takes place simultaneously. Another remarkable feature of the EBIT is that the ions are essentially at rest. That means Doppler broadening is insignificant, and no correction for any velocity distribution is needed.

Several different electron-ion interactions can have a significant impact in EBIT. Electron-impact ionization will be treated first since it is the dominant collision process shifting atoms or low-charged ions into higher charge states. While impact ionization creates ions with higher positive charges, impact excitation leaves the ions in an excited state. Most of the x rays produced in an EBIT experiment originate from such collisions. Section 3.1.2 addresses this process. Section 3.1.3 is a description of the processes by which ions recombine with electrons. The EBIT is a low-density medium, so that three­body collisions are not important. Thus, for the ionization equilibrium the coronal limit holds.

3. 1. 1. Impact ionization There are several different electron-collision processes that can lead to ionization of an atom or ion. The simplest ionizing collision, direct ionization of an outer-shell electron, takes place when a colliding electron imparts sufficient energy to a bound electron to knock it into a continuum state. The energy loss of the incident electron is ual to the ionization energy plus the kinetic energy of the removed electron. The symbolic equation for this process is

A 2 + + e-; A(Z+Il+ + e + e . (3.1)

Page 467: Advanced Technologies Based on Wave and Beam Generated Plasmas

456

If the energy of the colliding electron is sufficiently high, another ionization process, inner-shell ionization, is possible as well. Inner-shell ionization leaves the ionized ion in an excited state, which subsequently decays by emitting an x ray. The process can be represented by the equation:

(3.2)

Ion excitation via inner-shell ionization can be distinguished experimentally from direct excitation by its different threshold energy. This was employed, for instance, in an EBIT experiment [ 17] where the intensity of the n = 2-1 x rays in the reaction Eq. (3.2) was used to determine the cross section for the inner-shell ionization of the 1 s electron in lithiumlike Cr21+.

There are more sophisticated ionization processes which we just mention. For ions with three or more electrons, indirect ionization processes become possible. Two such processes, for example, are: excitation-autoionization and resonant excitation double­auto-ionization. They all take place only at energies well above the threshold for direct ionization.

3.1. 2. Direct Excitation and Radiative Transitions The excitation of an x-ray level in a highly charged ion is a process to which several different feeding mechanisms can contribute. The simplest is direct excitation of the radiating level by an inelastic electron-ion collision. Since the collision rates are fairly low in EBIT, the excited ion will usually decay by emission of a photon before a second collision can change its state again.

ls2s lso ~

I

•'• two- ', photon ',

' ' '

Fi!?. 3-1 : Fine-srrucrure levels of helium/ike iron Fe24•.

ls2p3Pz

ls2p 3P1

ls2p3P0

Figure 3-1 presents a detailed level scheme for helium-like iron Fe24 • , an important element observed in astrophysical x-ray sources. It shows the different ls21 fine structure levels, along with the most probable emission lines involved in a n = 2-1 transition. Line w, the resonance line, is the highest in energy and also the most intense. In low-

Page 468: Advanced Technologies Based on Wave and Beam Generated Plasmas

457

charge heliumlike ions, the lines x, y, and z are not observed, in agreement with the selection rules for LS-coupling. In ions with higher nuclear charge, however, complete LS-coupling is no longer valid, and inter-combination transitions of the type 3P - 1 S and ~s - 1S are observed. The related radiative decay probabilities of the ·1P1, -'P2, and 1S 1

levels can be as high as 108 - 1 0 1~ s· 1• Moreover, in highly charged ions the 1 s2s 1 S0 -

I s2 1S0 transition, although strictly forbidden for single-photon emission, can take place at a relatively high rate via two-photon emission. Since the latter process is not quantized, a continuum results.

Besides direct excitation, inner-shell ionization, radiative cascades from higher-energy levels, and electron-ion recombination all can contribute to the population of a radiating level. Through a combination of high-resolution x-ray spectroscopy and EBIT's monoenergetic electron excitation, it is possible to separate different level feeding mechanisms and to perform measurements of complete electron excitation functions. In EBIT, such measurements were first made for the lowest excited states in neonlike barium Ba46+ [18] and for heliumlike titanium Ti20+ [19].

3. 1.3. Electron-Jon Recombination Electron-ion recombination shifts highly charged ions into lower charge states and includes, in a low-density medium like EBIT, two different processes: radiative recombination (RR) and dielectronic recombination (DR).

Radiative recombination is a nonresonant process where an incoming electron recombines into a vacant orbital of an ion, which in the following emitts a photon with an energy equal to the electron's initial kinetic energy plus the binding energy of the bound state it falls into (Fig. 3-2):

A2++e~A(Z-l)+ +hv. (3.3)

... ... \

~ r-J'-."

~-n=m!!!!!!!!!!!!!!! !!!!!!!!!!!!!

n=3--

n=2--

IF~ n=1 __._..._ __._..._ .....--.-(a) (b)

Fig. 3·2: Illustration of radiative (a) and dielectronic (b) recombination onto heliumlike ions.

The corresponding RR x rays can be easily identified in an EBIT spectrum since their energy is larger than that of the electron beam. The electron does not have to fall into the lowest open shell, e.g. the n = 2 level in a heliumlike ion . It can recombine into any

Page 469: Advanced Technologies Based on Wave and Beam Generated Plasmas

458

higher n as well, giving rise to lower-energy RR x rays. However, the probability is

largest for recombination into the lowest open shell. Jt is important to stress that the

cross sections for RR can be accurately calculated for the range of electron and x-ray

energies used in EBTT experiments. As a result, most EBIT cross-section measurements

use RR intensities to normalize the cross-section scales.

In contrast, dielectronic recombination is a resonant process. Because two electrons arc

involved in the DR reaction, it is conceptually more difficult than radiative

recombination. In the usual two-step picture, dielectronic recombination is described as

the resonant capture of a free electron by an ion, thereby forming a doubly excited

intermediate state. If the intermediate state thus created decays to a non-autoionizing state

by the emission of a stabilizing photon, the DR process is complete. The lowest energy

resonance produces a doubly excited ion with two electrons in the L shell (n = 2) and

one electron in the K shell (n = I). It is consequently labelled as KLL. Succeeding

resonances involve electron capture into higher n shells. They occur at higher energies

and fonn the KLn resonance series (KLM, KLN, ... ). Generally, the DR reaction can be

represented by the equation:

(3.4)

A distinctive feature of dielcctronic recombination is that the energy of the recombining

electron lies below the energy hv 1 of the transition excited. At the energies where the

resonances occur, DR cross sections are usually much larger than those of any competing

process. Thus, the DR process can have a dramatic effect on both the ionization balance

of a plasma and its emitted x-ray spectrum. It is essential for x-ray spectroscopic

measurements to consider that the stabilizing transition (hv 1) is followed by subsequent,

lower-energy transitions of the spectator electron taking the singly excited ion down to

its ground state:

(3.5)

These subsequent relaxation transitions can be a significant contributor to line radiation

extending from a few hundred eV to the keY x-ray range. The relaxation transitions have

been analyzed recently for highly charged barium [20].

Due to its capability to scan the electron-beam energy linearly over a wide range through

the dielectronic-recombination resonances, the EBIT is ideally suited for obtaining DR

cross sections. Several ions in the helium like [21 , 22] and neon like [23] isoelectronic

sequences have been studied with this method. Subsequent investigations [24] have

included lithium- and berylliumlike ions as well.

3.2. EBIT INSTRUMENTATION

The most commonly used method of investigating the highly charged ions in EBIT is

spectroscopy. Several different spectrometer systems are being used in combination with

EBIT, depending on the energy range and the energy resolution required. X-rays above

Page 470: Advanced Technologies Based on Wave and Beam Generated Plasmas

459

roughly I keY in energy can be observed through thin x-ray windows (beryllium or plastic foils), which separate the ultra-high vacuum of the EBIT vessel from the spectrometer vacuum [25]. To analyze x rays with energies above roughly 3 keY, spectrometers can be used that operate in a helium atmosphere with a beryllium window at EBIT's observation port [26]. For x-ray spectroscopy below the soft x-ray region and in the YUY band, it is necessary to couple the spectrometer to the same vacuum as the EBIT.

Low resolution x-ray data can be obtained with solid-state detectors. They can be calibrated using radioactive sources and survey a broad spectrum, ranging from several hundred eY to several hundred keY. Solid-state detectors provide a very efficient way for x-ray observation because of their near 100 % quantum efficiency. This is important for EBIT as the number of photons is much smaller than from plasma sources. In addition, these detectors are very useful in tuning EBIT to tind best operating parameters.

Because the electron-ion interaction region in EBIT is only about 70-J.l.m wide, EBIT is essentially a line source matching the dimensions of an entrance slit for a grating spectrometer. It is thus an excellent source for diverse high-resolution spectrometer geometries. Most of the spectrometers used in EBIT measurements employ crystals. All crystal spectrometers operate with the principle of Bragg's law, which states that a crystal lattice reflects x rays of wavelength A. only at angles E> determined by

nlt=2dsinE> (3.6)

where d is the lattice spacing of the crystal and n is the order of reflection. Crystal spectrometers can be operated in a focusing or nonfocusing geometry using curved or flat crystals, respectively. Curved crystal spectrometers greatly improve the light collection efficiency over those of flat-crystal configurations. Flat-crystal spectrometers, on the other hand, are very flexible with respect to the geometrical dimensions and easy to align. Usually, these kinds of spectrometers are used in combination with a position­sensitive proportional counter [25,26]. The spectrometer resolving power is a function of several different factors (detector resolution, electron-beam width, resolving power of the crystal , imaging properties). Generally, the largest contribution to the line broadening comes from the spatial resolution of the proportional counter and the limited resolving power of the crystal. High resolution spectra were recorded on EBIT with a curved crystal in the von Ramos configuration [27] where the measured resolution (in second order Bragg reflection) was as high as A. /!1/.., = 4300 [28].

A novel experimental approach on EBIT is the detection of light in the visible and near­uv spectral region emitted by highly charged ions [29]. The spectral lines that appear in this region are mostly magnetic dipole transitions arising from the 3d" and 2p" ground­state configurations of high and mid-Z" ions, respectively. Compared to x-ray diagnostics, visible spectroscopy has the advantage of large collection efficiencies, better spectral resolution and easy implementation as plasma diagnostic method.

Finally, we should mention here that spectroscopic analysis of the emitted radiation is not the only diagnostic technique for measuring highly charged ions in EBIT. Besides ion extraction, which is used for external experiments and analyses of the ions, Fourier-

Page 471: Advanced Technologies Based on Wave and Beam Generated Plasmas

460

transfonn ion cyclotron resonance (Ff-ICR) mass spectrometry is another in situ method to study the ions [30]. It makes use of the characteristic ion cyclotron frequency ro = eZB/m associated with the circular ion motion in EBIT's magnetic field B to detennine the ratio of mass m and charge Z. In particular, the Ff -ICR method was used to measure the time evolution of the relative ion abundances in EBIT.

3.3. SPECTROSCOPY EXPERIMENTS

The EBIT was originally intended to be a facility for a wide variety of spectroscopy experiments. The investigations perfonned so far include transition energy and radiative lifetime measurements, x-ray polarization measurements, cross-section measurements tor excitation, ionization and dielectronic recombination, tests of quantum electrodynamics (QED) and relativistic calculations, and analysis of spectroscopic data for astrophysics, fusion, and laser research. Most of the experiments were conducted at the Lawrence Livem10re National Laboratory EBIT facilities, and for a comprehensive collection of the results we refer to the LLNL EBIT project reports [31] . Here, we cannot summarize all these aspects of x-ray spectroscopy with EBIT. As an illustration, we give an example of a x-ray spectroscopic measurement of the dielectronic recombination process showing how we take advantage of the EBIT capabilities.

3. 3. 1. Scatter Plot of X-Ray Events The primary technique for observing dielectronic recombination at EBIT is the measurement of the photons emitted in this process. A very nice method to obtain cross sections for DR as well as several other processes in one comprehensive data set is to produce first a particular ionic charge state by appropriate choice of the beam accelerating voltage and then probe the ions repeatedly by fast scans of the beam energy. The rate at which the beam energy is swept over the resonance must be chosen so that the ionization balance in the trap does not change significantly during an energy sweep. During the scans, each detected xray is saved as a two-parameter event that consists of x-ray energy and event time within the voltage ramp. Combining the x-ray data and using the wave fonn of the drift-tube voltage (which is also measured during each sweep), a scatter plot of x-ray energy versus electron-beam energy can be created for the events observed. This is illustrated in Fig. 3-3, which shows a scatter plot of data obtained for highly charged A0+ target ions with a solid-state detector.

The ion population in the trap extents from lithiumlike (Z = 15) to bare ions (Z = 18), but the dominating fraction of the ions is in heliumlike (Z = 16) stages. Events from bound-state x-ray transitions excited by electron collisions are manifested in the form of horizontal lines. X-rays from radiative recombination (RR) appear as slanted lines; their energy equals the sum of the increasing electron-beam energy and the binding energy. The latter can be taken from the plot by extrapolating the slanted lines to zero-beam energy. The intense spots in the lower left area of the plot are the results of DR resonances. We recall that, different to radiative recombination and direct excitation, dielectronic recombination is a resonant electron-capture process. Note also that the RR events appear as a slowly varying background under the DR resonances. The brightness of the DR spots demonstrate the importance of the process compared to radiative recombination .

Page 472: Advanced Technologies Based on Wave and Beam Generated Plasmas

461

By applying cuts to the raw data along radiative recombination or direct excitation lines and projecting the evenB found in these cuts onto the electron-beam energy axis, excitation functions for x-ray emission can be generated. Excitation functions for two separate x-ray bands are shown in the lower plots of Fig. 3-3. The n = 2 RR cut contains the x rays emitted by RR to n = 2 and the DR x rays from the KLn (n = 2,3,4, ... )resonance group. We should mention here that, with the exception of the KLL, each resonance of the KLn group has a higher and a lower x-ray energy decay channel. The DR fealUres observed in the n = 2 RR cut are associated with the high-energy branch. The n = 2-1 cut contains the x rays from the collisionally excited n = 2- I transitions (the broad hump above 3-keV beam energy) and the DR x rays corresponding to the low-energy decay channel. The higher members of the resonance series merge smoothly into the direct excitation spectrum at the n = 2-l threshold. Above threshold, there are a few smaller resonances labeled KMM, KMN, and KMO. The KMM resonances, for example, is one in which an electron is captured into theM shell (n = 3) while a bound electron is excited from the K shell to the M shell. These resonances are associated with a process known as resonant excitation. Resonant excitation is a recombination process where the resonant doubly-excited state decays by Auger emission. The Auger rrocess leaves the ion in an excited state, which subsequently decays radiatively.

8

> .. .><

6 ,.. !." ~ ., ,.. .. ...

4 X

2

Eleclron beam energy [ keV )

FiN. 3-3: Sniff e.· plot of x-ray events for highly charged argon ions. The lower plots show exciration functions fr>r different curs ru rhe dara.

Page 473: Advanced Technologies Based on Wave and Beam Generated Plasmas

462

3. 3. 2. DR Cross Sections

The cross section for dielectronic recombination can be obtained by counting the characteristic x rays emitted in the DR process relative to the number of radiative recombination x rays appearing around the DR resonance. This technique essentially normalizes the DR cross section to the theoretically calculated RR cross section [21]. The natural width of the cross section for DR is about two orders of magnitude smaller than EBIT's beam-energy width, which is typically 50 eV full width at half maximum (FWHM). Thus, instead of working with the narrow profiled DR cross section, it is justified to characterize each DR resonance by its resonance strength, which is the cross section integrated over all energies. The resonance strength S;dr for dielectronic recombination from an initial state i through the intermediate state d to the final state f is defined by

sidf := f aid1(E)dE , 0

(3.7)

where O";dr is the cross section for the DR process. The procedure to obtain experimental DR resonance strengths is based on a comparison of measured and predicted dielectronic­excitation functions using an expression of the following form

LXzKzLLsidf(Z)G(E- Ed;) l 0 R(E) = z d J

IRR(2)(E) LXzO"RR(2)(Z,E) (3.8)

z

Here, l0 R(E) and IRRt2>(E) are the detected intensities of the DR x rays and RR x rays to n = 2, and <JRR\ 21(Z,E) is the theoretical RR cross section for recombination to n = 2. E is the electron-beam energy, Ed; is the capture-resonance energy, and G(E-Ed;) is the normalized Gaussian of the electron-beam energy profile with a characteristic width y = .:.\Ebearn- Kz is an independent amplitude factor defined by K z = S;dr/s;dr where S;df are relative theoretical dielectronic recombination resonance strengths. Xz is the fraction of ions in charge state Z. In essence, the technique consists of finding the best fit between the right and left-hand side of Eq. (3.8), where Xz, y, and K are taken as fit parameters. The shape of the excitation function I0 R(E) is very sensitive to x, and y while the cross­section scale is sensitive to K. Figure 3-6 is an example, showing the result of such a fit procedure for the KLL resonance of krypton target ions studied in a recent EBIT experiment [241.

The method has been used to measure, for several different elements, the total dielectronic-recombination resonance strengths for ions in neonlike to heliumlike charge states [2 I -24]. From the comparison of the experimental and theoretical excitation functions around the DR resonances, it is possible to assess the reliability of the dielectronic-recombination data used in the fit procedure. Generally, the results agree well with the theoretical predictions.

Page 474: Advanced Technologies Based on Wave and Beam Generated Plasmas

463

3. 3. 3. Determination of Relative Jon Abundances The experimental approach used for measuring the dielectronic-excitation function opens a way of determining in situ by spectroscopic methods the relative abundance of the highly charged ions. It appears that the strong KLL resonance is ideally suited for this purpose because it produces DR features for the different charge states that are well separated from each other (see Fig. 3-4 (b); the curves were generated by applying a 50-eV FWHM Gaussian to the theoretical resonance strengths). The results (Fig. 3-4 (a)) show that, for the conditions of this experiment, up to five charge states are resolved in the dielectronic-recombination spectrum. The relative charge-state distribution determined through the fitting process is: XHe= 0.25, xLi=0.30, X80 =0.22, x8 =0.14, and Xc = 0 .09.

Experimentally, the resolution of the technique can be improved by reducing the beam­energy spread. In EBIT, this can be accomplished by lowering the current density of the electron beam. The method was used recently to measure the relative ion abundances of highly charged krypton ions [32] . The evolution of different charge states as a function of the time after closing the trap was observed.

2.0 5

1: Li (a)

He Li (b) 0 4 N 1.5 b

3

-~ 1.0 0 2 ., ., .. .. e 0.5 u

0.0 0 8.8 9.0 9.2 9.4 9.6 8.8 9.0 9.2 9 .4 9.6

8edron beam ene1VY I keV I Electron beam energy I keV 1

Fig. 3-4: Experimental and theoretical DR cross section for the KlL resonance of krypton target ions: (a) Measured (histogram) and fitted (line) excitation cross section. (b) theoretical excitation-cross sections for the helium/ike to carbon/ike charge states. The signatures of the helium-. lithium-, beryllium-. boron- , and wrbonlike charge states in the spectrum are marked. By folding the theoretical excitation-cross section curves with the relative charxe state distribution, the fitted curve in (a) is obtained.

3.4. SELECTED TOPICS

3.4. 1. Jon-Surface Interaction Studies The success of experiments observing ions within EBIT demonstrated its unique characteristics of a low emittance ion source producing essentially "cold", very highly charged ions and has led to efforts extracting ions from EBIT to allow investigations outside the trap. At LLNL and NIST laboratories ion-extraction beamlines have been built utilizing ions with energies as low as 2 keY x Z and ion charge states up to Z = 80 [33, 34]. The collision interaction of slow highly charged ions with surfaces has been the recent focus of intense experimental and theroretical research. Investigations of

Page 475: Advanced Technologies Based on Wave and Beam Generated Plasmas

464

the electron emission, x-ray emission, surface sputtering and scattering, charge-exchange and surface defect formation have been started.

Slow highly charged ions are amazing species. They can bear an enormous amount of potential energy that may be larger than their kinetic energy. The total potential energy may he in excess of 200 ke V. When these ions approach a target they release the energy at the surface in contrast to fast ions penetrating the bulk. As the ions come closer to the surface the potential harrier between the ion and the target material drops below the Fermi level at relatively large distances allowing the transfer of electrons into very high n or continuum states. The ion is promoted into a multi-excited state with electrons occupying high-lying outer levels, while core levels are virtually empty. The formation of this "hollow" atom and its complex decay dynamics is studied by mea<>uring energy spectra of the emitted electrons and photons as well as yields in dependence of the projectile velocity, charge of the ion, and material of the target surface.

Measurements of the secondary electron emission showed a domination of low energy electrons with a mean energy of less than 20 eY. The total electron emission yield increases proportional to the charge of the impinging projectile, with a yield of 300 electrons per Th75 + ion. The yields were found to increase with lower velocities, which could be modelled down to impact velocities of 2.5 ·106 cm/s, where deviations become evident due to the image-charge acceleration infront of metal surfaces [35].

When the slow highly charged ion touches the surface a hollow atom with highly excited states is formed with a great number of Auger transitions and recapture processes occuring. At the end of the decay cascades the K and L shell is tilled giving rise to the emission of a complex array of soft x ray lines which can be used to obtain valuable information on the cascading and the last steps of the neutralization [36] .

If the target on which the slow highly charged ion approaches is an insulator a positively charged depletion region may form at the surface due to the small mobility of the electrons. The time for the depletion zone to be recharged can be on the order of 10·10 s, determined by the conductivity of the material. Due to the high charge and energy deposited in a small volume a larger number of atoms, ions and clusters are ejected in a so called Coulomb explosion from the surface. The time of this exploding highly charged zone is shorter than the recharging time. Observations with an atomic force microscope reveal a blister-like structure on the insulating surface with a volume almost linear related to the charge of the incident ion. A measurement of the total secondary ion yield shows a dramatic increase with the ions charge pointing to the large amount of surface energy set free when highly charged ions impinge on the surface of the target [37].

3.4. 2. QED- Tests One of the most promissing experimental approaches for the investigation of effects of quantum electrodynamics (QED) is spectroscopic structure measurements of highly charged ions. Generally, the QED effects appear as a difference between the true (experimental) energy and the Dirac energy of an atomic state ." The lowest order QED terms scale as Z~, and increase as Z~ relative to the gross structure. For one-electron systems this difference is the Lamb shift, although this term was originally used only for

Page 476: Advanced Technologies Based on Wave and Beam Generated Plasmas

465

the 2s 11r2p 112 energy splitting m hydrogen. In the QED theory, the Lamb shift is commonly expressed as

(3.9)

where zn is the nuclear charge, (X the fine-structure constant, n the principal quantum number, and all other quantities have the usual meaning. F(<XZ0 ) is a dimensionless slowly varying function describing the sum of different QED and relativistic corrections. The leading QED corrections are the self-energy and vacuum-polarization corrections which constitude a large part of the Lamb shift in highly charged ions. The self-energy corrections are related to emission and reabsorption of a virtual photon by an electron in an ion, while the vacuum-polarization corrections describe the interaction of the electron and the nucleus when the exchanged photon excites a virtual electron-positron pair of the vacuum.

The largest data base exists for one-electron ions, where accurate calculations and experimental results on the Is Lamb shift in hydrogenlike ions with nuclear charge I :::;; Z" :::;; 110 are available [38]. To give an example, the measured Is Lamb shift in Au79• and U91 + is 202 and 470 eV, respectively. For ions with several electrons, the situation of the QED theory is more complicated because it requires, besides the electron­electron interactions, also taking the effect of the screening of the Lamb shift into account.

With EBIT, high-resolution crystal-spectrometer measurements have been performed on 2s-2p intrashell transitions in very highly charged thorium and uranium ions [39, 40], which provide experimental tests of relativistic and QED calculations. (Since the QED correction is much larger for s orbitals then for p orbitals, measurements of 2s-2p transitions energies are primarily sensitive to the 2s Lamb shift.) The QED-induced energy shift of the 2s 112 level in these ions is more than 40 eV. The 2s 112 QED-energy shift results for the lithiumlike Th87+ and U89+ ions are in excellent agreement with recent theoretical calculations (see, e.g., [4 I]). An experiment-theory comparison in the sequence of berylliumlike through neonlike ions, on the other hand, demonstrates the need for a better treatment of the electron-correlation terms in these highly charged multi­electron ions.

3. 4. 3. Radiation Function of Heavy Atoms in Fusion Plasmas For the high electron temperatures (Tc = 10-30 keY) expected in next-generation tokamaks, such as the International Thermonuclear Experimental Reactor (ITER), the radiation of heavy ions is of importance in many respects. Krypton, for example, is of interest since it has been recently proposed as a coolant for the plasma edge region to reduce the heat load on the plama-facing components [42] . In addition, for ITER plasmas, krypton is also considered a promissing candidate for x-ray diagnostic measurements because it will not be fully stripped in the hot plasma core, so that its K-shell radiation can still be observed under these conditions [43]. The predictions from plasma modeling

Page 477: Advanced Technologies Based on Wave and Beam Generated Plasmas

466

calculations suggest that, for the conditions of ITER, more than 80% of the ionized krypton atoms are expected to be in heliumlike and lithiumlike charge states.

Successful use of krypton as coolant and/or temperature monitor requires a comprehensive and reliable atomic database. Above all , there is a strong interest in the radiation and steady-state cooling rates , which are required to predict the effect of injected krypton on the ITER performance. Using the Berlin EBIT facility, we have started first spectroscopic effort to validate existing theoretical data of the radiation function S(TJ for krypton. As in the dielectronic-recombination studies, the measurements employ EBIT's capabilities to create an ion population at one energy and probe it with electrons at another energy. In order to produce a high fraction of helium like and lithiumlike ions in the trap, EBIT's run conditions were optimized with respect to electron-beam energy, ionization time, and axial trap depth; the ion abundances were determined with the technique described in section 3.3.3. In our current studies, the preselected ion population is probed within 20 ms over a scan interval ranging from 2 to 25 keY beam energy. This is the proper range to detect the contributions from all radiation processes that would occur in a plasma of 5 keY electron temperature. From the data recorded during the scans. a scatter plot is produced similar to that illustrated in Fig. 3-3. The radiation function of krypton at 5 keY electron temperature can then be constructed from the scatter plot by applying vertical cuts to the raw data, projecting the events found in these cuts onto the electron-beam energy axis, and folding the resulting spectrum with the energy­distribution function corresponding to the specified value forTe .

References [I] Donets, E. D. (1985) Electron beam ion sources and associated physics at JINR, Nucl. Instmm.

Meth. 89, 522-525. 121 Levine, M.A., Marrs, R.E. , Schmieder, R.W. (1985) Measurement of Instabilities and Ion Heating

in an Electron Beam Ion Source, Nucl. Instrum. Meth. A237, 429-440; Levine, M.A., Marrs, R.E. , Henderson , J.R., Knapp, D.A., and Schneider, M.B. (1988) The Electron Beam Ion Trap: A New Instrument for Atomic Physics Measurements, Physico Scripta T22, 157-163.

[3] Marrs, R.E., Levine, M.A., Knapp, D.A ., and Henderson, J.R. (1988) Measurement of Electron­Impact-Excitation Cross Sections for Very Highly Charged Ions, Phys. Rev. Leu. 60, 1715-1718.

[4] Knapp, D., Marrs, R.E., Elliott, S.R., Magee, E.W., and Zasadzinski, R. (1993) A high-energy electron beam ion trap for production of high-charge high-Z ions, Nuc/. lnstmm. Meth. A334, 305-312.

[5] Gillaspy, J.D. (1996) EBIT Spectra of Highly Stripped Ions from the Visible to the x~ray, Physico Scripta T65, 168-17 4.

[6] Silver, J.D. , Varney, A.J ., Margolis, H.S., Baird, 1-'.F.G., Grant, l.P., Groves, P.D. Ilallct, W.A .. Handford, A.T., Hirst, P.J ., Holmes, A.R., Howie, D.J.H., Hunt, R.A. Nobbs, K.A ., Roberts, M., Studholme, W., Wark, J.S., Williams, M.T., Levin, M.A., Dietrich, D.A., Graham, W.G., Williams, l.D., O'Neil, R., Rose, S.J. (1994) The Oxford electron-beam ion trap: A device for spectroscopy of highly charged ions, Rev. Sci.lnstrum . 65, 1072-1074.

[7 ] Nakamura, N., Asada, J., Currell, F.J., Fukami, T., Hirayama, T., Motohashi , K., Nagata, T., Nojikawa, E. , Ohtani, S., Okazaki, K., Sakurai, M., Shiraishi, H., Tsurubuchi , S., and Watanabe, H. (1997) An overview of the Tokyo Electron Beam Ion Trap, Physico Scripta T73, 362-364.

[8] Biedermann, C., Fl\rster, A., FuBmann, G., and Radtke, R. (1997) First Results from the Berlin EBIT, Physica Scripta T73, 360-361.

[9] Hermann, G. (1958) Optical Theory of Thermal Velocity Effects in Cylindrical Electron Beams, J. Appl. Phys. 29, 127-136.

110] Levine, M.A., Marrs, R.E., Bardsley, J.N., Beiersdorfer, P. , Bennet, C.L., Chen, M.H ., Cowan, T. , Dietrich, D. , Henderson, J.R., Knapp, D.A., Osterheld, A., 1-'enetrante, B.M., Schneider, M.B., and

Page 478: Advanced Technologies Based on Wave and Beam Generated Plasmas

[II]

[12]

(13]

[14]

[15] [15 a]

[16)

[17]

(18]

[19)

[20]

[21]

[22]

[23]

[24)

[25]

[26]

[27]

[28]

[29]

[30]

[31]

[32]

[33]

467

Scofield, J.H . (1989) The Use of an Electron Beam Ion Trap in the Study of Highly-Charged Ions, Nuc/. lnstrum. 843, 431-440. Brown, !.G., Galvin, J.E., Gavin, B.F., and MacGill, R.A., (1986) Metal vapor vacuum arc ion source, Rev. Sci. lnstrum. 51, 1069-1084. Lotz, W. ( 1968) Electron-Impact Ionization Cross-Sections and Ionization Rate Coefficients for Atoms and Ions from Hydrogen to Calcium, Zeitschriftf Physik 216,241-247. Hinton, F.L. (1983) Handbook of Plasma Physics, 1, editors: M. Rosenbluth and A.A. Galeev, North-Holland Publishing Company, Amsterdam, New York. Fussmann, G., Field, A.R., Kallenbach, A., Krieger, K., Steuer, K.-H. , and the ASDEX-Team (1991) Impurity transport and neoclassical predictions, Plasma Physics and Controlled Fusion 33, 1677-1695. Miyamoto, K.: Plasma Physics for Nuclear Fusion, MIT Press Cambridge 1980. Beiersdorfer, P., Decaux, V., Widmann, K., (1995) Measurement of the Temperature of Cold Highly Charged Ions Produced in an Electron Beam Ion Trap, Nuc/. Instrum. 8 98, 566-568. Penetrante, B.M., Levine, M.A., Bardsley, J.M. (I991) Evolution of ion-charge-state distribution in an electron-beam ion trap, Phys. Rev. A43, 4861-4872. Vogel, D., Beiersdorfer, P., Marrs, R., Wong, K., and Zasadzinski, R. (1991) Evolution of ion­charge-state distribution in an electron-beam ion trap, Z. Phys. D (Suppl.) 21, 193-195. Beiersdorfer, P., Osterheld, A.L., Chen, M.H., Henderson, J.R., Knapp, D.A., Levine, M. A., Marrs, R.E., Reed, K.J., Schneider, M.B., and Vogel, D.A. (I990) Evolution of ion-charge-state distribution in an electron-beam ion trap, Phys. Rev. Lett. 65, 1995-1998. Chantrenne, S., Beiersdorfer, P., Cauble, R., and Schneider, M.B. (1992) Measurement of electron impact ionization cross sections for heliumlike titanium, Phys. Rev. Lett. 69, 265-268. Biedermann, C., Radtke, R., and Fussmann, G. (1997) Direct observation of x-ray emission from high-n spectator electrons following radiative stabilization in dielectronically excited highly charged barium, Phys. Rev. AS6, R2522-2525. Knapp, D.A ., Marrs, R.E., Schneider, M.B., Chen, M.H ., Levine, M.A., and Lee, P. (1993) Dielectronic Recombination of Heliumlike Ions, Phys. Rev. A 47, 2039-2046. Beiersdorfer, P., Phillips, T.W., Wong, K.L., Marrs, R.E., and Vogel, D.A. (1992) Phys. Rev. A 46, 3812. Schneider, M.B., Knapp, D.A. , Chen, M.H., Scofield, J.H ., Beiersdorfer, P., Bennett, C.L., Henderson, J.R., Marrs, R.E. and Levine, M.A. (1992) Measurement of the LMM dielectronic recombination resonances ofneonlike gold, Phys. Rev. A 45, R1291-R1294. Fuchs, T., Biedermann, C., Radtke, R., Behar, E., and Doron, R. Channel-specific dielectronic recombination of highly charged krypton, Phys. Rev. A (in press). Beiersdorfer, P., and Wargelin, B.J. (1994) Low Energy X-ray Spectrometer for an Electron Beam Ion Trap, Rev. Sci. Ins/rum. 65, 13-17. Beiersdorfer, P., Marrs, R.E., Henderson, J.R., Knapp, D.A., Levine, M A., Platt, D.B., Schneider, M.B, Vogel, D.A. and Wong, K.L. (1990) High-resolution X-ray Spectrometer for an Electron Beam Ion Trap, Rev. Sci. Ins/rum. 1, 2338-2342. v. Hamos, L. ( 1933) Rontgenspektroskopie und Abbildung mittels gekriimmter Kristallreflektoren, Ann. Phys. 17,716-724. Widmann, K., Beiersdorfer, P., Decaux, V., and Bitter, M. (1966) Measurements of the K transitions energies ofheliumlike krypton, Phys. Rev. AS3, 2200-2205. Morgan, C. A., Serpa, F. G. , Takacs, E., Meyer, E. S., Gillaspy, J. D., Sugar, J., Roberts, J.R., Brown, C.M., and Feldman, U. ( 1995) Observation of Visible and uv Magnetic Dipole Transitions in Highly Charged Xenon and Barium, Phys. Rev. Lett. 74, 1716-1719. Beiersdorfer, P., Beck, B., Marrs, R.E. , Elliott, S.R. and Schweikhard, L. (1994) First Fourier­transform Jon Cyclotron Resonance Signals of Very Highly Charged Atomic Ions. Rapid Commun. Mass Spectrom. 8, 141-143. EBIT-Electron Beam Ion Trap, Annual Report, LLNL, N Division, UCRL-ID-11 0491 ( 1992), UCRL-ID-118274 (1993), UCRL-10-121572 (1994), UCRL-ID-124429 (1995). Liebisch, P. (1998) Untersuchungen zum Einschlufl und zur Entwicklung der Ladungsverteilung in einer Elektronenstrahl-lonenfalle, Diploma Thesis, Humboldt Universitl!t, Berlin. Schneider, D., Clark, M.W., Penetrante, B.M., McDonald, J., DeWitt, D. and Bardsley, J.N. (1991) Production of High-Charge-State Thorium and Uranium Ions in an Electron-Beam Jon Trap, Phys. Rev. A44, 3119-3124.

Page 479: Advanced Technologies Based on Wave and Beam Generated Plasmas

468

[34] Pikin, A.l., Morgan, C.A., Bell, E.W. , Ratliff, L.P., Church, D.A. and Gillaspy, J.D. (1996) A beam line for highly charged ions, Rev. Sci. fnstrum. 67, 2528-2533.

[35] Kurz, H., Aumayr, F., Winter, H.P., Schneider, D., Briere, M., and McDonald, J.W. (1994) Electron Emission and Image-charge Acceleration for the Impact of Very Highly Charged Ions on Clean Gold, Phys. Rev. A49, 4693-4702.

[36! Takacs, E., Onodi-Sziics, Z., Ratcliff, L.P, Gillaspy, J.D., Palinkas, J. (1997) X-ray Emission for 3-

137 keY Art?+ impacting Si02. Nuc/. fnstrum. Meth. 8124,431-434.

[37] Schneider, D. H. and Briere, M.A. (1996) Interaction of Slow Highly Charged Ions with Surfaces,

Physica Scripta 53, 228-232. [38[ Beyer, H. F., Kluge, H.-J., and Shevelko, V.P. (1997) X-Ray Radiation of Highly Charged Ions,

Springer Series on Atoms+ Plasmas, Springer Berlin Heidelberg.

[39[ Beiersdorfer, P., Knapp, D., Marrs, R.E., Elliott, S. R. and Chen, M.H. (1993) Structure and

Lambshift of 2s112-2PJ/2 Levels in Lithiumlike U89+ through U82+, Phys. Rev. Lett. 71, 3939-3942.

[40] Beiersdorfer, P., Osterheld, A., Elliott, S.R., Chen, M.N, Knapp, D., and Reed, K. (1995) Structure

and Lambshift of 2s 112-2p312 Levels in Lithiumlike Th87+ through Neonlike Th80+, Phys. Rev.

A52, 2693-2706. [41 j Blundell, S.A. (1993) Calculations of the screened self-energy and vacuum polarization in Li-like,

Na-like, and Cu-like ions, Phys. Rev. A47, 1790-1803.

[42] Cummings, CJ., Cohen, S.A ., Hulse , R., Post, D.E., and Redi, M. (1990) Radiative cooling of tokamak fusion plasmas from injected high-Z impurities, J. Nucl. Mater. 176&177, 916-919.

[43] Bitter, M. , Hsuan, H., Bush, C., Cohen, S. , Cummings, C., Grek, B., Hill, K.W., Schivell , J., Zarnstorff, M., Beiersdorfer, P., Osterheld, A., Smith, A., and Fraenkel, B. (1993) Spectra of Beliumlike Krypton from Tokamak Fusion Reactor Plasmas, Phys. Rev. Lett. 71, 1007-1010.

Page 480: Advanced Technologies Based on Wave and Beam Generated Plasmas

THE ANISOTROPIC ETCHING OF SILICON IN CF4, CF4 + H2 AND CF4_xCLx PLASMA

Z. Rutkiiniene, A.Grigonis, R.Knizikevicius, Physics department, Kaunas University ofTechnology, Studentu.st 50, Kaunas, LT-3031. LITHUANIA

l. Introduction

Anisotropic etching of silicon in fluorine atom rich plasmas are practically impossible under most plasma etching condition because of rapid spontaneous chemical reaction between and Si. The main goal is to achieve the anisotropy of etching using mixtures of gases with physical and chemical treatment; sidewall protection etching is predominate there. The Cl atoms, however, are substantially lager than the F atom and have much greater difficulty forming a volatile silicon chloride molecule in absence of ion bombardment because activation energy of chlorine is high than activation energy of fluorine . Cl etches Si quite well, when ion bombardment is added to chemical fluxes (halogen size effect).The ion bombardment causes not only the sputtering of material but also activated chemical processes such as polymerization, etching and makes condition to the intermixing between surface atom and atoms from the bulk

2. Experiment

The single-crystal silicon substrates (Ill) of n-type 4.5 Qcm have been etched in the CF4, CF4 + H2, CF3Cl, CF2C}z gases in the asymmetric diode system, where the samples have had a negative bias voltage (0.1-1.5 keV) The composition of the altered layer was subsequently analyzed by X-ray photoelectron spectroscopy (XPS). Spectra were obtained with a Al(Ka) radiation source. Depth and profile of etching grooves were measured with SEM (JSM-25S). All measurements have been carried out "ex situ".

3. Results and discussion

The first possibility to achieve anisotropy of etching is passivation of edges of the groove with products of heterogeneous reaction (oxides. carbides). The etching in the pure CF4 gas plasma is isotropic. The mixture of hydrogen with CF4 gas results deficiency of fluorine atoms in plasma. It gives increase in concentration of CF2 , CHF2

and other monomers that have unsaturated bonds in gaseous phase and may easy form polimeric layer on the surface. Concentration of these radicals increases with increasing the hydrogen concentration in the mixture. CIs spectra show that the base of inhibitor

469

H. Schliirer and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generaled Plasmas, 469-470. @ 1999 Kluwer Academic Publishers.

Page 481: Advanced Technologies Based on Wave and Beam Generated Plasmas

470

70

ro ~!>) ~40 iJ) i2.) u 10

0~~~~~~~~ 10 15 2l ··-

--+--CC

--.- (oqn " ~=FI<

--*-CF ,.

.... (o-FO-F)l 20

10 20 lO so

--~

~ ~ Fig. 1 Dependence of carb<n bmds coocartrati<n from C 1 s photoelectrms spectra <n etdUng durati<n.

a) CF.+5%H2 plasma, b)CF2Chplasma.

layer is C-CFx bonds which concentration increases with increasing of etching duration (Fig. 1 b ).

When silicon is etching in the CF3Cl, the stable inhibitor layer does not form on the surface and etching process is not stopped. Concentration of C-CF, in all etching

process does not predominate and changes slowly (Fig. I b). The condition for getting anisotropic profile of etching grooves is the existing heavy Cl ions in the plasma. XPS

Si 2p spectra showed what Si-Cl bonds are on the surface. Chlorine penetrates to the silicon bulk and forms the bonds with silicon when energy of ion is ~600eV.

~&2p

- 51..()2

'"""*'"" &CI(SIF)

Deficiencies on chlorine are condition to form SiCh, SiCh, SiCl. Their concentration does not changed in all process duration.

Etching rate and polimerization increases with increasing of number of chlorine atom in halogen molecule. SiC14 molecule is

.....Q-SICI2(SiF2}

_._,c.~"") formed easily because concentrations of --t-SI.().f'

chlorine in CF2Ch plasma and on surface are sufficient. Oxygen concentration in the low vacuum (26.6Pa) is sufficient to form C-0 volatile products and to remove the carbon from surface. It is one more condition to appear the

Fig. 2 Dependm.ce of Si boods coocentratioo from Si 2p photo electroo spectra on etdUng duratioo.

free silicon on the surface, and to get high etching rate. But from another side, oxygen may bind with this silicon and form the SiOx layer which difficulty etches in chlorine containing plasma. The concentration of

fluorine atoms is less and may not removed this layer. Later, (t.> 20min), carbon is condensed on this SiOx layer, and may form CFx compounds. Ion bombardment may sputter fluorine and oxygen atoms from this layer and give possibility to form stable Si­C bonds (Fig. 2)

4. Conclusions

I. Inhibitor of (C-CFx )n and (CFHCH2)n form on the silicon surface during etching in CF4 , CF4+H2 plasma. 2. SiOx and SiOC bonds in altered layer remain the inhibitor part if number of fluorine atoms in halogen molecule decreases.

Page 482: Advanced Technologies Based on Wave and Beam Generated Plasmas

CF1 PRODUCTION BY CF4 ELECTRON IMPACT DISSOCIATION IN GAS DISCHARGE

V.V. IVANOV, K.S. KLOPOVSKIY, D.V. LOPAEV, O.V. PROSHINA, A.T. RAKHIMOV, T.V. RAKHIMOVA, Nuclear Physics Institute, Moscow State University 119 899 Moscow, RUSSIA

1. Introduction

At present neither of available sets of CF4 electron scattering cross sections does enable one to interpret satisfactory the CF4 dissociation in discharge plasma. We have undertook the investigation directed to determine the self-consistent set of CF4 neutral dissociation cross­sections which would allows one to describe correctly the F and CFx(x=l-3) production rates in the discharge. We suggest to carry out a series of experiments on a study of CF and CF2 radical as well as F atom kinetics in positive column of CF4 DC discharge. It is the first work from this series the aim of which was to determine a rate constant of CF4 electron impact dissociation into CF2 radical production channel (e +CF4 => CF2 +products). The rate constant was determined monitoring the CF2 absolute concentration dynamics in modulated DC discharge by differential UV adsorption technique and laser induced fluorescence method. Following the experi.mental results and analysis of available data on electron scattering cross section sets on CF4 molecules we have attempted to specify the cross section for this CF4 dissociative channel.

2. Experiment

In the experiments we have used modulated DC discharge in pure CF4 with the next parameters: inter-electrode distance - 50 em; tube diameter- 18 mm; pressure 0.2 - 2 Torr; discharge current - 5 - 40 rnA; gas flow rate - 1 - 5 rnlc. There were measured: axial electric field and gas temperature at the tube axis; CF2 radical concentration at the tube axis by differential adsorption in CF2 UV-band in the transitions 1 A1(000) ~ 18 1(060) and 1 A1(000) ~ 18 1(030) at the wavelengths 248.5±0.2 run and 258.5±0.2 run respectively. Concentration and decay rates of CF2 (and correspondingly the sticking coefficients (YCF2)) were also measured by LIF method (exciting the CF2 radicals in 1A1(000) ~ 18 1(060) transition by KrF laser with detecting the fluorescence in 1 A1(020) ~ 18 1(060) transition). These data have been used to understand the mechanism of CF2 radical production in the discharge and determine kinetic coefficients of this process.

3. Partial cross section of CF4 dissociation into CF1 radical channel

The analysis of processes determining the CF2 radical kinetics jointly with the sensitivity 1malysis to the reaction rate constant variation have proved that only the processes of CF4

471

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based an Wave and Beam Generated Plasmas, 471-472. © 1999 Kluwer Academic Publishers.

Page 483: Advanced Technologies Based on Wave and Beam Generated Plasmas

472

direct electronic dissociation and CF2 radical wall recombination are responsible for CF2

production and decay in the experiment. Hence the constant rate of CF4 dissociation into CFz production cannel can be found as follows:

k _ acF2 V CF2 diss - (1)

ne where n. . vcFz. a cF2 =[CFz)/[CF4) are electron density detennined from the discharge current (electron drift velocity in pure CF4 is known), decay rate and relative concentration of CFz radicals at the tube axis respectively. Using the obtained results and available data on CF4 dissociation cross sections [ 1-3), we have attempted to analyze the near-threshold behavior of dissociation cross section in CF2 channel. In discharge plasma the CF4 dissociation rate constant is determined not only by the dissociation cross section. but also by the electron energy distribution function (EEDF). To calculate EEDF we have used two approaches in accordance with two sets of cross sections one of which has been self­consistently normalized at two-term approximation for EEDF (4) and the other - at EEDF calculation by Monte-Carlo technique [5]. Using these sets we have calculated ~ ... with using the different cross sections [1-3] of CF4 dissociation. In order to make accordance in calculation and experiment results we have carried out the analysis of near-threshold behavior of CF4 partial dissociation cross-sections by using only data of [ 1-3 ). Following to this analysis we have reconstructed the cross section of CF4 dissociation in CF2 channel. We have assumed the total dissociation cross section as in [1,2), where it was directly and accurately measured without complex calibration procedure. Then the partial cross section for CF2 has been extracted from it as follows : in the threshold energy range there was assumed the relative behavior of CF4 partial dissociation cross-sections as it was measured in !31 with the magnitude of total dissociation cross section 0.45·10"16 cm·2 at c= 20 eV from [2) being used to graduate the absolute value of the cross section. The branching ratio at electron energy more than 50 eV was taken in accordance with (3) . The near-threshold slope of the reconstructed cross section was found to be:

/j.(j' - IR cm 2

~[; = 4.4 x 10 ~~~ (2)

The rebuilt by this way cross-section allowed us to reproduce experimental data on ~ISS rather well in all investigated range of reduced electric field 80-300 Td.

4. References

I. Winters, H. and Inokuti, M. ( 1982) Total dissociation cross section of CF4 and other fluoroalkanes for electron impact, Phys.Rev 25, 1420-1430.

2. Bruce, M.R. , Ce Ma, Bonham, R. A. (1992) Positive ion pair production by electron impact dissociative ionization of CF4. Chem.Phys.Lett 190, 285-290.

3. Nakano, T. and Sugai, H. (1992) Partial cross section for electron impact dissociation of CF4 into neutral radicals. Jpn.J.Appl.Phys 31, 2919-2924.

4. Slovetsky, D.I. and Deryugin, A.A. (1987) Electron energy distribution functions and electron interaction with multi-atom fluorine-containing gases, in B.M. Smirnov (ed.), Plasma Chemistry 13, Energoatompress, Moscow, pp. 240-277

5. Hayashi, M. ( 1992) Handbook of Plasma Material Science, Ohm, Tokyo, p. 792

Page 484: Advanced Technologies Based on Wave and Beam Generated Plasmas

ON NEGATIVE IONS LANGMUIR PROBE MEASUREMENTS IN AN Ar + 4%CF4 CURRENTLESS PLASMA

T. POPOV1, D. IVANOVA2, M. TCHERNOOKOV2

1Faculty of Physics, Sofia University,5 James Bourchier Blvd, Sofia! 164, 2/nstitute ofE/ectronics,BAS, 72 Tzarigradsko Chaussee Blvd, Sofial784, BULGARIA

The gas discharges in fluorine containing gases, for example CF4 and its mixtures with other gases, are widely used in many different contemporary technologies such as plasma chemistry etching, plasma polymerisation, etc. To optimise the parameters of the processes by numerical modelling, a knowledge of the kinetics of the gas discharge plasma is required.

This article is an extension of our previous studies [I] and is concerned with the problem of precise evaluating the concentration and energy distribution of the negative ions with use of the Langmuir probe second derivative measurements. Despite numerous

investigations [2] this procedure demands some care and attention to detail, if meaningful data are to be obtained.

The experimental set-up is described previously [1] and only a new construction of the quartz discharge tube (Figure 1) will be outlined below. It consists of a coaxial graphite sectional cathode and a grid anode. The system is fed with a variable high voltage DC supply. Inside the anode region the reduced electrical field EIN should be near zero. A currentless plasma is formed by the plasma particles that move from the negative glow into the cavity inside the anode. To avoid the gas discharge products contaminations a continuous gas flow (linear velocity w = 0.2 m/s) through the discharge tube during the measurements is applied. The measuring electrically heated U-shaped probe made of tungsten (rp=5 .105 m, lp=0.003 m) is situated in the central part of the anode volume.

- + I", am. u.

56

11 7'1 11 7'\ 11 7'1 1'1 Tl " 1'.{'1 7'1

II Ill II I

32 ~~-_&.

8 u

"'lo,l "'~ "' lo,l \JlJVlJ\L~/ probe ~ -2 - 6 U,[V]

F1gure 1: Scheme of d1scharge tube electrodes Figure 2: Tube current 40 rnA, V0 = 0.15 V, p=40 Pa

473

H. Schluter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 473--474.

© 1999 Kluwer Academic Publishers.

Page 485: Advanced Technologies Based on Wave and Beam Generated Plasmas

474

The second derivative of the probe characteristic J"(U) = rl /ldU2 can be obtained by superimposing a low frequency voltage !!.U = V0 coso:i over the DC probe potential U. Then the amplitude of probe circuit current with a frequency 2m is proportional to I" (U).

A typical result of the measurements is given in Figure 2. The character of the distribution indicates that the negative ions are dominant. To verify this assumption we use the Druyvesteyn formula taking into account the effect of plasma depletion caused not by electrons[3], but by negative ions sinks on the probe near the plasma potential:

I"(U)= C 0 f(eU)- C 0 j K"(r:,U)f(r:)dr: (1) .u

K"(c,U)= 2 ll'(c)&, 3 ,

[c(l+ljl)-ljleU]

HereM, e and n are the negative ion mass, charge and density, S is the probe area. To calculate the negative ions free path I.( c) we use very simple model of Ar and F-

interaction: a.e 2 ~2·· (2) ell=- - cr(E)~2n\ -r- f-(c)- I

2r 4 ~ - .J2Ncr(r:)

where a and N are polarizability and density of Ar atoms, respectively [4]. The comparison between "'

.-------------------------~

calculated and measured data is given in 6

• •

••

0 0.1 0.2 0.3 -U,M

Figure 3 and a good agreement between them is seen. In this manner we can estimate the plasma potential with high accuracy also. The discrepancy near to the plasma potential may be explained by the affect on the measured data of plasma potential fluctuations; probe circuit resistance, etc. The registration of unresolved low amplitude negative CF3•

Figure3: Tube current 40 rnA, V0 =0.03V, p=40 Pa ions peak may be envisaged to.

After the calibration of the experimental results, using the equation:

f(r.)Jf- = 8~ IM -IU !._ i,.i Se V e I, V,

(3)

where i:zro is a calibration current in the probe circuit /k - a calibration signal, I -a measured signal, we obtain the negative ions temperature T_ = 0,048 eV; and concentration- n _ = (3,8+{),6).1011 cm-3

References: I. Popov, Ts. and Petkov, I. (in print) Hot Langmuir Probe Measurements in a Chemically Reactive

Plasma and Accurate Evaluation of the EEDF, VACUUM 2. Amemiya, H. ( 1990) Plasmas with Negative Ions- Measurements and Charge Equilibrium,

J. Phys. D: Appl. Phys. 23, pp 999-1014 3. Demidov, V.I; Kolokolov, N.B; Kudriavtsev, A A; ( 1996) Probe Methods of Diagnostics of Low

Temperature Plasma, Moscow, Energoatomizdat, (in Russian) 4 .. McDaniel, E.W. and Mason,A(l973) The Mobility and Diffusion of Ions in Gases.

J. Wiley and Sons, New York - London • Sydney· Toronto

Page 486: Advanced Technologies Based on Wave and Beam Generated Plasmas

I'L\SMA TIU:ATI\1ENT 01; 1'01.\'1\11-:U SIII~F:\C'FS IN nii.-I'I•:HFNT (;,.\SI·~ S

D.tvl SVIRACHEV AND N A T-\RALIOV

.\'ufiu I 'llil '<'l'.ll/1. hu u/11· r>f/'ln111 1./H ;- //f>-1 .\'"/i11. llu/gurirt

Hcsearch on interaction or gas discharge plasma and polymer surbce is allracti\e from technological and fundamental poi111 of ne\\ Chemical modification of the smface of the polymer material is one of the cllccts of pol\n1er treating h\ using gas plasma. h:;uling to change of the smli1ce tc.:ns1on n , aud. corresponding!\ - the \\ol'k of adh<:SIOII W,. 11-21

l'lasn1a 111odilication of polymer surfat.:c.: IS realasc.:d 111 gas-disd1arge radio-fn:quency plasma ( 1.156 Milt.), created in quart;. cylindrical plasma-chemical reactor. E\pcrimcntal set-up is shown in Figure I

I I' I /

J ·~ J . I \ I r, l \ } ;~. i \ I ~

_,, ~~?;·-- -.1 .- ------ J f . ~- ~ ·

I I I I ll ~'1 1

..

Ill ---------r+-----

l. I"IA:O.l\1..\ U.h A I · I,Ut l. 1&14 - tH~l~KA'I'OH: f- lJ .Sf. 1\Ul"' .•. 1•:1 t•-.t•I' Jl()I)ES -1. 1~14- V.SSIJkl~ t";AUt;IO:. 5. V.._CIIIIM Plll\11'

The polymer material used is poly(ethylcne terephthalatel-PET. type llostaphan R 125. 125 ~~~~ thick. Four gases were used in flowing gas regime at the pressme 0 5 Torr argon (Ar), Oll.)'gen (OJ, freon 12(CF ~CI") and freon 1-t(CF ~ ). Time of treatment t,, is gradually increased from 0.1 to 100 s. The power, which was ran in discharge reactor for all examined gases was about 100 W. The modification lc\cl of PET. including variations of the surface tension 0,. is traced by survey of the comact \\Citing angle e by using the method of the sessile drop. Bidcstilcd and deionized water with surface tension cr1,=72_6 mN/m is used as control liquid The contact angle is measured h~ ayeraging contact angels of 5 drops for specific time t11 . The de,·iatiou of the angles m..:a~u1cd is h::ss ±1 5°

475

H. Schluter and A. Shivarova (eds.) , Advanced Technologies Based on Wave and Beam Generated PILJsmas, 475-476. © 1999 Kluwer Academic Publishers.

Page 487: Advanced Technologies Based on Wave and Beam Generated Plasmas

476

As kno\\ n. dming !he plasma lrcalnrenl !he number of specific funct ional groups on !he surface of pol~ mer can be increased or decreased. Depending on number of funclio­nal groups. !he nrlucs of dispersion () ,~or polar ()," components of surfncc tension (), changes. Dispersion cornponcnl (): 'depends on the number of non-polar groups. 11hilc IHll:t r composition()}' depends on the number of polar gwnps. Results for varia! ion ol contad angk h11 rese:uch gases me slum n in Figmc 2 as a function of treatment tituc t, The results arc presented through the "ork of adhesion W" too (Figure 1 ). which is related with contact angle ~~J !rom kmm n relation of 'r .. oung-Dupre:

II ·., - rr 11 . (co sl 0 I • I l

This prescntalion of the results \\ilh lhc 1101k \V,, suggesls righl qualilati1c heha,·iom of molecular inlcraclion bcl\\een !he lwo phases: PET· 11~0 .

/ ·ig iOt' _?

The varialions or !he conlacl angle (..:) ;md of !he \\Ork of adhesion W, rc\cal dilferent behaYiour in researching gases . Monolonically chnngcs from initial \alnc loa cnlain sah11aled \:lluc fill· lhcsc \alucs arc ohscl\cd in 0 _, and Ar. while in !he IIcon ~;

the htnctions pass auoss l''\ltcnHtlll. 1d1 ich is hL·IIcr dcpicl al CF 1 'I he inn ca se of lhl· \\Ork of adhesion \V, in Ar CF:CI · .C!, . sho\\S prese11ce of 0: a11d 11:0 ' :IIH'"'" Though !he' had In c'ilcnd of conccnlrali<lll of o\\gcn-conlaining fnnclional .l!mtlps on !he poh mcrs smlace This leads to increase of the surl;tce's lension " " The dccr e:N' 11f

!he \\Ork 0f adhesion\\', in CF:CI ~ and CF, appears to be a rcsuh of the incrc:t~in)..!. ol !he conccntrali0ns of c:nbon-llunrim· and carbon-11\dwgcn non-polar groups 1111 lltl' JH'h lltl' t smf:tl·cs I his leads to tkncas~· ol the surl:rL·c tension n ,., . MctStiiL'Illl'lll s <. ho11 !hal. depending on !he gas. different processes arc combined (Figmc 2 and Fignt l' ~ t

AI great times a process of etching of the poh mer (c.'\ccpl modification). is possible in 0 : and Ar. 11hilc in the gases (T,("I , and CF, !here arc coudilions lor gn111 i n!~ PI poh llll' l 1:1\crs Thi' indicates lh:rl. thctc :nc ch:111~~c s of kinetics tm:chani '- 111 cJ, · , u ihill!'· till· n•odilil':ttiun 11ithinlhl· tl''-l': lllh inlt'rl:tl (fl I to IOOs) of trcaltlll'lrl Iiiii< 1. ,

l~l'l·t.·n·m·t.•s Ill llti e.l'.' I> . Ib m·,· ll « . f., ,· ,hl. tll C I ~ .t lld ll1 '.th: \ . 1/ ( 1 ' 1~ 1J l Stul:t,·,· "'"dili,·:.l i" " .. 1 !'" I· ,, tl · I· " krcphtil:dak) h\ l'IL·,:tri ;.:al dis..·h;ugc t r ~o: al fl h.:nt . /)·· ' t " ;l·r \ •'I ~I. X9~-·HHI

)21 Cnhttl ll .I \\ ' . ( 1'1'11 l. Stn·f:tc· ~ f'l" c' c'" IIU: \'f lh p.1111a llt i" lli / c'd pl:t cn,;t '. 1/JI. (I <ill'•" (1 , •11 · · "I · ' •: .

\( /t ' Jh 1 ' \ n) )I) . :'\: {l II

Page 488: Advanced Technologies Based on Wave and Beam Generated Plasmas

Plasma treatment of polymer surfaces in gas mixture

D.M.SVIRACHEV AND N.A.TABALIOV

Sofia University, Faculty of Physics,BG-1 164 Sofia, Bulgaria

Gas-expulsion in reactor is non-wanted phenomena during the plasma-chemical treatment of polymer materials, because of the non-conrollable changes of working gas mixture. As it is commented in [l-2] oxide-containing gaseous products are evaporated and come into reactor, which strongly complicates interpretation of results and modelling of the processes. That prompts necessity of measurements of plasma modification in mixture of gases, one of them being obligatory oxide.

The experimental set-up and methodology for modification of polymer surface and measuring of contact angle e is described in [ 1]. The following gas mixture are investigated in flowing gas regime at pressure p=0.5 Torr: Ar-02, CF4-02, CF2Ch-Oz. The power used to sustain the discharge is about lOOW. As a polymer material sticks -13xl50 ~m from poly(ethylene terephthalate)-PET folio type Hostaphan Rl25, 125 ~mare used.

Ar - 0 ,

t,(o)

f'lgure 1. Figure 2.

In Ar-02 , the work of adhesion W a increases monotonically with increasing the time ttr and reaches saturated value,. which depends on the percentage content of 0 2 (Figure 1 and Figure 2). The rate of the increase of work w. in Ar-02 depends on the percentage content of 0 2. The behaviour of the work of adhesion shows the existence of at least two kinetic processes - creation and destruction of polar oxygen-containing

477

Page 489: Advanced Technologies Based on Wave and Beam Generated Plasmas

478

and non-polar carbon-hydrogen fu~ctional groups, which have different rate constants. These constants depend on the percentage content of gas mixture.

CF,a,.o,

t lr (s) t1 (s)

J4fgure 3. filgure 4.

In the alternation of the work of adhesion w. in CFzClr02 (Figure 3 and Figure 4) and CF1-02 (Figure 5 and Figure 6) extremal values are observed, which depend on the percentage content of the 02.

CF, -o,

tlr(l )

•• C•l

l'"igure 5. Figure 6.

The maximum in CF2Cl2-02 shifts to the short times of treatment tu- and increases with increasing of the percentage content of 0 2 . The behaviour of the work of adhesion could be explained with the presence of the same two kinetic processes. At short times concentration of polar functional groups increases up to the maximum. then the concentration of carbon-fluorine and carbon-chlorine non-polar groups increases. References ( 1] Svirachev D. M .. Tabaliov N. A., ( 1998). Plasma treatment of polymer surfaces in different gases, Advanced technologtes hased on wave and beam generated plasmas. NATO ASI · Sozopol, Bulgaria. (21 Ivanov S. I., Svirachev D.M., Pechenyakova V. P .. Petrov Ch. V. and Dobreva E. D., (1987) Plasma surface treatment of poly( ethylene terephthalate) in partial discharge, Bulg. J. Phys .. 6, 581-588.

Page 490: Advanced Technologies Based on Wave and Beam Generated Plasmas

FIELD EMISSION CHARACTERISTICS OF THIN MPCVD DIAMOND FILMS

J. ENGEMANN, G. FEDOSENKO, V. RAIKO and D. THEIRICH

Microstructure Research Centre - fmt , Bergische Universitiit Wuppertal, D-42097 Wuppertal, Germany

A renewed interest about vacuum microelectronics based on "cold cathode"-devices as a promising alternative to the solid state microelectronics has been rapidly developed. Due to its unique combination of chemical and physical properties, such as a wide bandgap, negative electron affinity, high breakdown fields and chemical inertness diamond has been suggested as a suitable material for field emission (FE) applications (FED and similar devices). Diamond films used as a field emitters demonstrate a low onset electrical field strength, Eon (3-40 V /Jtm) and a stable electron emission. The field emission correlates strongly with the crystal structure, as well as with the phase purity of diamond films [1, 2] .

The present work reports the influence of different deposition parameters (me­thane concentration, duration of CVD) on FE characteristics of thin diamond films. The bias enhanced nucleation as well as the subsequent diamond deposition were realised in various methane and hydrogen gas mixture using the 2.45 GHz microwave plasma CVD (MPCVD) reactor (CYRANNUS: Cylindrical Resonator with Annular Slots) [3]. The p-type (100) silicon substrates were seeded in a CH4 / H2 (10/ 100 seem) plasma by applying a negative bias of 200 V. Further dia­mond deposition was carried out using a hydrogen plasma with (0,8-4%) methane, a substrate temperature of 600-650°C and a total pressure of 20 mbar. The duration of CVD was varied from 15 min to 4 hours .

The morphological and structural properties of the diamond films were inves­tigated by SEM, AFM and micro-Raman spectroscopy. FE characteristics (anode with 2 em in diameter) of the diamond films as well as the stability of emission current were investigated in a high vacuum ( < 10-8 mbar) . The electrode gap was fixed to 50 J.Lm.

All as-grown diamond films of thickness 0.1-1.0 J.Lm exhibit continuous surface morphology with various mean grain sizes. The correlation of electrical onset field strength Eon (0.2 J.LA) with methane concentration during diamond growth was observed (Table 1) . Minimum values of Eon (7.5-11 V / 1-1m) were registered from diamond films grown with 0.8- 1.5% of CH4 . The amount of sp2- bonded a- C or graphite (Raman line at about 1580 em - 1 ) increases with raising methane concentration , while the Raman signal of diamond (1334 cm- 1 ) changes only insignificantly, as can be seen by Raman spectroscopy. The peak at 1150 cm- 1

originated from nanocrystalline diamond has a maximum value at 0.9--1% of CH4 .

The minimum of the sp2 j sp3 ratio was determined for a CH4 content of 0.9- 1.5%. The FE properties of deposited films correlate strongly with grain size and with non- diamond inclusions. Uniform diamond films with small (100-200 nm) grain

479

H. Schluter and A. Shivarova (eds.). Advanced Technologies Based on Wave and Beam Generated Plasmas, 479-480. © 1999 Kluwer Academic Publishers.

Page 491: Advanced Technologies Based on Wave and Beam Generated Plasmas

480

Table I. The onset electrical field strength versus the deposition and post-deposition annealing conditions.

Diamond films a.s grown 11; , G5o•c lh , 450"C

TirnP. CH4 .7t E,.11 , V/ fJtn Eo .. , V / 11111 Eou· \' / ftill

lh 11.8 10 ij

lh 11 .9 9G 7.6

lh ll 10 i" .G

lh }.;) II I:\

I h 12 12

lh lfi R.4 ft .(i

30' 11.8 7.5 12.C. 7.4

lh 0.8 I() 6 6 2h O.H 12.2 1 t.G 9.4

Jh O.R l il

size and low contents of a-C and graphite compositions exhibit a stable electron emission at low electrical fields. \Vith increasing the deposition time from 0.5 h to 4 h (at 0.8% CH4 ) the mean grain size increases from 0.1 to 0.8 f..Lm. All films grown within 0.5-2 h have a fine grain structure with no preferential orientation, while further diamond CVD leads to the formation of polycrystalline films with some (100)-oriented grains as was shown by SEM and AFM. Raman analysis of deposited films shows among with the diamond signal the presence of sp2-

bonded o- C or graphite as well as of nanocrystalline diamond. With increasing film thickness an improving diamond quality wa..'l observed. Raman spectra of films deposited at 4 h show the peak of diamond at 1334 cm-1 . Neither nanocrystalline diamond nor sp2-bonded carbon were detected. Thick diamond films had poor emission properties with high Eon (Table 1). That may be attributed to the limited electron transport within these polycrystalline films.

It was found, that thermal treatment at 450°C and 650°C in hydrogen can substantially improve the electron emission of thin diamond films grown on silicon (Table 1) . A low Eon (0.2 11A) of 6 7 VI 11m, as well as a long term stability of the emission current for treated films was observed.

In conclusion, it was found that a low Eon of about G- 7 VI !1II1 from thin (0.2-0.5 J.Lm) diamond films was observed, which may be associated with the formation of nanocrystalliue diamond. Deterioration of FE properties with increasing films thickness was found . Additional, it was shown that thermal treatment at 450°C and G50°C in hydrogen can substantially improve the electron emission of thin MPCVD diamond films.

References

1. Jae Yeob Shim, Eung Joon Chi, Hong Ko Baik, Kie Moon Song and Sung Mann Lee, Technical Digest of IVMC 97, Kyongju, Korea , 1997, p. 465.

2. Krauss, J\.R., J\ucicllo , 0., Corrigan , T., eta/. Int.. Conf. on Metallurgical Coating and Thin Films , San Diego, California, 1998, p. 112.

:l. H.aiko , V., Miiller, A. , Spitzle, H., \Valter , M., Theirich, D., and Engemann, .J., Diamond 97 , lith Europ. Conf. On Diamond, Diamond -like and Related Materials, Edinburgh, Scotland, 1997, p. 9.0!9.

Page 492: Advanced Technologies Based on Wave and Beam Generated Plasmas

TECHNOLOGICAL METHOD OF SUBSTRATUM METALLIZATION BY PLASMA-ARC DEPOSITION

A.V. KOSTROV, V.I. GUNDORIN, A.V. STRIKOVSKY Phone:007 8312 36 80 71, Fax 007 83/2 36 20 6/, e-mail: [email protected] Institute of Applied Physics Russian Academy of Sciences 603600, Nizhny Novgorod, Ulyanov st., 46 Russia

Institute of Applied Physics (lAP), Russian Academy of Sciences has developed a technological set-up for deposition of radio-reflecting coatings on various materials including composite materials like carbon-fibre and others is shown in Figure I. The method of plasma deposition of coatings is based on electric arc vaporization of metals in vacuum chamber in active and inert gases added, as well as in their mixtures. The deposition of the vaporized substance on samples may be consequence for different materials. The coatings produced by this method have high degree of adhesion to the surface of processed materials, and high stability against thermal effects. Systems for motion of arcs that have been designed at lAP make it possible to obtain very homogeneouslaye~.

The vaporizing unit used now is a cylindrical cathode - anode of about 50 em long. The affect of Ampere forces FzA and Fq>A on the arc causes a helical motion along the vaporizer. The arc are controlled by means of an automatic system of spark ignition device. The pressure in the vacuum chamber is brought down to a residual value of 10·5 Torr. A gas is injected through controlled valves and stationary pressure of this gas in the chamber is provided. The temperature of the processed samples is below 15o·c. In vacuum chamber the sample of carbon-fibre plate covered by thin film of Cu with TiN (titanium nitride) protection layer of size IOxlO em were made for measurement of radio - reflecting properties.

481

H. Schliiler and A. Shivarova (eds.) , Advanced Technologies Based on Wave and Beam Generaled Plasmas, 481-482. © 1999 Kluwer Academic Publishers.

Page 493: Advanced Technologies Based on Wave and Beam Generated Plasmas

482

Figure I. I .-vacuum chamber; 2.-power supply for arc; 3.-power supply for heating; 4.-cathode; 5.-anode; 6 .­

vacuurn pump; 7.-arc; B.-substratum; 9.-heating plate; 10.-gas injector

The realised measurements for wavelength 0.8 em shows that the reflectivity of polishing copper plate differs from our sample on small value. Rwpper = 0.997 and Rsamplc = 0. 994. In order to protect the radio-reflecting layer against any mechanical impact and oxidation, we propose to coat it with metal-ceramic TiN film of - 1 f.M1 thick. The properties of TiN films have been studied sufficiently well. The microhardness of such coating is about three times better than for steel used in tool (2500 kglmm2) and as such this has technique been considered for turbine blades, thus reducing the time for servicing by a factor 3. The friction coefficient <0.12. This coating is also highly stable in corrosive environments (Hz-)04, HCL etc.) An important parameter, which has to be taken into account in the design of an antenna reflector is the reflectivity from the external layer of the coating, &, for electromagnetic radiation in the IR and thermal wavelength band. Studies performed have shown, that the value of & in wavelength band from 6 f.Ml to 24 f.Ml is not lower then 0.8. This set-up makes it possible to obtain copper and aluminium coatings 0.2+0.4 mm thick. Using the checked technological methods, one can manufacture waveguide devices (transitions, filters etc.). The elements manufactured using coatings like this are advantageous due to the fact that conductivity of the copper deposed is several times higher than conductivity of galvanic copper. Correspondingly, losses in components made by deposition are lower.

Page 494: Advanced Technologies Based on Wave and Beam Generated Plasmas

HYDRODYNAMIC AND ELECTRICAL CHARACTERIZATION OF A CORONA DISCHARGE PLASMA REACTOR

S. ROBERT, E. FRANCKE & J. AMOUROUX Laboratoire de Genie des Procedes Plasmas et Traitement de Surfaces Universite Pierre et Marie Curie (Paris VI) ENSCP- ll, rue Pierre et Marie Curie- 75005 Paris- France

This paper is focused on the understanding of the hydrodynamic phenomena in a corona discharge plasma reactor, which are pointed out by Laser Doppler Anemometry. On the other hand, simultaneous modeling is performed using Fluent® code. This simulation allows to improve knowledge of hydrodynamics and location of each different chemical reactions in the discharge. Reactor treatment aspects are fmally discussed in terms of chemical treatment by following gases species by mass spectrometry.

1. Introduction

Industrial applications of corona discharge reactors are many like : electric precipitators, conunercial production of ozone or polluted gas treatment. The corona discharge presented here is a point to plane configuration, with a DC high voltage supply. The gas motion is characterized by L.D.A with water droplet mist, simultaneously the pulsed electrical breakdown is followed by a numerical oscilloscope. In a second step, hydrodynamic modeling is performed by Fluent® code and compared with the real one. At last the dissociation of C02 is evidenced by a quadrupole mass spectrometer.

2. Discussion

1.1. HYDRODYNAMIC STUDY

The axial velocity of the particle is measured by L.D.A. during the pulsed discharge and then we identify the gas flow up and down, for a cross section perpendicularly to the axis of the cylindrical wall reactor. Indeed a recycling gas flow is observed between the two electrodes, due to the elementary electrical breakdown. From the velocity values, we deduce the gas flow distribution, which permits the residence time and recycling rate

483

H. Schluter and A. Shivarova (eds.) , Advanced Technologies Based on Wave and Beam Generated Plasmas, 483--484. © 1999 Kluwer Academic Publishers.

Page 495: Advanced Technologies Based on Wave and Beam Generated Plasmas

484

calculation (R = 6) for the qualification of the reactor. The association of electrical elementary phenomena and the gas flow macroscopic process allow the comprehension of the impulse behavior evolution during the treated gas residence time between the two electrodes. We obtain 17 pulses during the residence time of 1. 7 ms in the electrode gap, each pulse including the elementary breakdown (130 ns). Then we approach the radical ion fluxes with these measurements.

1.2. MODELING

The C.F.D. Fluent® code based on Navier-Stockes is used for the modeling approach of the hydrodynamics. The electric field is simulated to obtain the particles and ions acceleration, which produces the recycling phenomena. First we simulate the particles trajectories to approach the field lines motion of the electric field. After a recycling is obtained and the recycling rate calculated (R=5.4) is in good agreement with the hydrodynamic measurements.

1.3. MASS SPECTROMETRY

The mass spectrometry of treated C02 gas, by following the decreasing of partial pressure of each species, shows the C02 dissociation and the CO production for a treatment in the corona discharge reactor with SiC as grounded electrode. The mass spectrometry scan proves the efficiency of the treatment.

3. Conclusions and Perspectives

This work connects different approaches for the study of a reactor. The combination of the results gives us the important values qualifying the recycling phenomena during the pulsed discharge. These measurements compared with the modeling are in accordance with the observed phenomena. Mass spectrometry shows the efficiency of the reactor with a well-known dissociation and must be completed with a quantification of the mass balance evolution. Finally polluted gases (NOx, SOx) will be included in future studies concerning the depollution process.

Acknowledgments : authors gratefully acknowledge EDF and ADEME for fmancial support. References : (1] Goldman, M., Goldman, A., and Sigmond, R.S. (1985) The corona discharge, its properties and specific uses, Pure & App/. Chern. 57, n°9, 1353- 1362. [2] Saffman, M. (1987) Automatic calibration of LOA measurement volume size, Applied Optics. 6, n°13, 2592-2596. [3] Langleron, M., Cavvadias, S. and Amouroux, J. (1995) Rapid Comm. in Mass Spec. 9, 18-22. [4] Tas, M.A., van Hardeveld, R. and van Veldhuizen, E.M. (1997) Reactions of NO io a positive streamer corona plasma, Plasma Chemistry and Plasma Processing 17, n°4, 371 -391. (5] Bird, G.A. (1976) Molecular Gas Dynamics, Ed. Clarendon Pres., Oxford ..

Page 496: Advanced Technologies Based on Wave and Beam Generated Plasmas

STUDY BY MASS SPECTROMETRY AND GAS CHROMATOGRAPHY OF FLUOROCARBON WASTE DESTRUCI'ION IN A LOW-PRESSURE PLASMA REACTOR

F. GENET, S. CA V ADIAS, K. COULIBAL Y, M.F. GONNORD* J.AMOUROUX Laboratoire de Genie des Procedes Plasmas et Traitement de Surfaces Universite Pierre et Marie Curie (Paris VI) ENSCP- 11, rue Pierre et Marie Curie- 75005 Paris- France

*Laboratoire des Mecanismes .Reactionnels, CNRS URA 1307 Ecole Polytechnique, 91128 Palaiseau Cedex, France

The aim of the present work is to study the decomposition of fluorocarbon compounds. CF4 was used to simulate halogenated molecules since it allows to study the fluorine-bond dissociation and fluorine elimination processes. Experiments have been perfonned in a low­pressure plasma reactor . On-line mass spectrometry and off-line gas chromatography were used for a qualitative and quantitative analysis of the effluents. The use of a fluidized bed in the plasma is an appropriate process for the destruction of halogenated waste with a low level emission of toxic compounds. Hydrodynamic flow simulation of CF4 decomposition allows to better understand the role of intermediate species in the fonnation of stable compounds.

1. Introduction

Hazardous wastes, especially chlorofluorocarbons, seriously affect our environment nowadays. Reasonable decomposition methods have to include high destruction efficiency and a low emissions of undesirable by-products. Over the past few years, plasma processes applied to the destruction of chlorofluorocarbons have been developed. In the present work, a low-pressure plasma reactor has been developed in order to study the decomposition of CF4 in a fluidized bed of calcium oxide particles. The use of efficient analytical techniques is necessary to control the process of depollution and to characterize the process parameters. Mass spectrometry and gas chromatography have been used for the detection of trace components from the ppm to the ppb level. Comparison of the results obtained by these two techniques leads to further understanding of the reaction mechanisms. A special attention was effected on toxic compounds.

485

H. Schluter and A. Shivarova ( eds. ), Advanced Technologies Based on Wave and Beam Generated Plasmas, 485-486. © 1999 Kluwer Academic Publishers.

Page 497: Advanced Technologies Based on Wave and Beam Generated Plasmas

486

2. Results and discussion

The influence of three parameters on the decomposition of CF4 was studied: power, added oxygen and calcium oxide particles. Experimental results show that the addition of oxygen during the treatment leads to increase of CF4 decomposition rate (31% with ~. 9% without ~). but contributes to the formation of toxic compounds (phosgenic species): COF2, COF. Fluorine radicals like CF3, CF2 and Fare detected by mass spectrometry. These radicals react with atomic oxygen leading in this way to the formation of stable species such as CO, C~ and COF2. The quantification of phosgenic species was an important step to qualify the reactor in the perspective of complying to future environmental regulations. Ellingham diagrams show that the heterogeneous phase plays the role of a chemical trap for halogens. ln order to reduce toxic effluents, we have introduced calcium oxide particles (CaO) to trap fluorine as CaF2. It permits to decrease CFx recombination reactions (demonstrated in CFJ~ system) and to reduce stable toxic compound as COF2. Preliminary, results show that the efficiency of fluorine trapped by CaO particles is up to 50%. An optimization of the process can markedly increase this trapped fluorine.

Hydrodynamic simulation of CF4 decomposition in a low-pressure reactor has been realized with Fluent~ code. Fluent~ allows to simulate flow, the poduction of species by resolving the Navier-Stokes equations. The modeling confinns the experimental results and allows to understand the reaction mechanisms.

3. Conclusions

The decomposition of CF4 has been studied in a low-pressure plasma reactor. The study ofRF power, the added oxygen and CaO particles shows that the CF4 decomposition rate increases as a function of these parameters. Increase of the added oxygen generally enhances the production of oxygenated compounds (C~, CO) and furthers the formation of phosgenes (COF2). The use of a fluidized bed allows to reduce dramatically toxic emissions such as COF2.

Acknowledgments : authors gratefully acknowledge EDF and ADEME for financial support.

References : [1] F. Genet, K. Coulibaly, S. Cavadias, M.F. Gonnord, J. Amowoux, 13"' International Symposiwn on Plasma Chenllsay, 1997,vol4, 1740 [2] H. Sekiguchi, N. Matsudera, A. I<anzawa, 12111 International Symposiwn on Plasma Chemistry, 1995, vol 2, 1051 [3] K.R Ryan, l.C. Plwnb, Plasma Chemistry and Plasma Processing, 1986, N°3, vol6, 205 [4] K.R. Ryan, l.C. Plwnb, Plasma Chemistry and Plasma Processing, 1986, No:l, vol6, 231 [5] SAl Ayoubi, M.F. Gonnord, J. Amowoux, Proceedings of the International Symposiwn on Envirorunental Technologies, 1995, Atlanta (USA)

Page 498: Advanced Technologies Based on Wave and Beam Generated Plasmas

CARS APPLIED TO PLASMAS FOR NO-REDUCTION

T.DOERK,A. DOGAN,A. POTT,J. UHLENBUSCH Institut fur Laser- und Plasmaphysik, Heinrich-Heine- Universitiit Universitiitsstr. 1, 40225 Di.i,sseldorf, Germany

J. HOSCHELE, J. STEINWANDEL Dornier GmbH, Friedrichshafen, Germany

AND J. EHLBECK INP Greifswald, Germany

One major problem of modern fuel saving engines like direct injecting Diesel- or Otto-cycle engines is the emission of nitrogen oxides (NOx)- The use of low temperature plasmas of dielectric barrier discharges {DBD) and microwave discharges (MWD} are a promising approach for NOx reduction in exhaust gases. Both types of discharges were investigated with respect to concentration and temperature of different species using coherent anti­Stokes Raman scattering (CARS).

CARS is a four-wave mixing process were two pump beams at frequency Wp and a Stokes beam at ws generate the signal beam at WAS= 2wp- ws. The sign_al intensity is proportional to the squared difference in population density of the upper and lower Raman state. Therefore densities and tem­peratures {Trot. Tvib) can be calculated from the CARS spectrum. CARS provides a good temporal {laser pulse duration 8 ns) and spatial resolution, because only in the overlap regime of the incident laser beams (diameter 300 J.Lm, length about 10 mm) signal is generated.

The DBD was operated with plate electrodes (gap width 2 mm) and with a combination of a knife electrode and a plate electrode (gap width 1 mm). Pulsed (f,...., 1 kHz, U,...., 10 kV) high voltages were used to oper­ate the DBD. The MWD was operated at 2.45 GHz and P = 800 W in cw- mode. The plasma ignites in a quartz tube carried through a resonator, which is connected to the waveguide. The thermal plasma with a core tem­perature of 7000 K expands through a nozzle, whereby it cools down to less than 1000 K, but the vibrational temperature remains 300- 400 K above

487

H. Schluter and A. Shivarova ( eds.). Advanced Technologies Based on Wave and Beam Generated Plasmas. 487--488. © 1999 Kluwer Academic Publishers.

Page 499: Advanced Technologies Based on Wave and Beam Generated Plasmas

488

1.0,.------------------..., 0.9

0.8

0.7

• ~0.6 • • :z ~0.§

0 • a ' • t • • • • • ' • • I : l • • ff' 0.4

0.3

0.2

• • • • • • • • 0.1 0.0 ..__t..__ __________ ....,_ ______ J..I,

W U ~ ~ ~ M ~ ~ position at the electrode I mm

Dlschor~rameton: burst of 2"6o pu!Ms, = 2°1kHz; 30250 J.ta after the first HV Puis

U...,= ±10 kV, p = 1000 hPa l>...,p 0.6 slm; c.., .• ~ 10000 ppm

Figure 1. Decomposition of NO in the DBD

• - ct./c-

0 o~-~~--~~-~~~--~~--~~oooo---moo~---~-~~ NO-concent~tion at gas inlet in ppm

P.nm<rtors: P = 800 W, Flow: 42 slm, P<>Oition: I'OilCtlon chamber outlot

Figure 2. Decomposition of NO in the MWD

the gas temperature. The exhaust gas is admixed to the plasma jet leaving the nozzle.

In the DBD the gas temperature stays near room temperature, but the vibrational temperature grows up to 900 K at 1000 hPa and even to 1400 K at 200 hPa using the knife electrode. In both cases the vibrational temperature decreases exponentially with a time constant of T ~ 30 ms after switching-off the DBD. Applying the plate electrodes to synthetic exhausts of NO in N2 the NO concentration is reduced to about 35 % of the initial concentration, see fig. 1.

In the MWD the admixed exhaust gas is reduced in a small regime (extension 1 em} behind the nozzle. Decomposition ratios from 1:3 to 1:7 could be found, see fig. 2, depending on the initial concentration ( 15000 ppm and 3000 ppm, respectively).

Page 500: Advanced Technologies Based on Wave and Beam Generated Plasmas

HEATING AND MELTING OF THE DUST CRYSTAL IN A RF DISCHARGE. NON-LINEAR ANALYSIS.

I. V. Schweigert, V.A. Schweigerf, A. Melzel, A. Homann2, and A. Pief

Institute of Semiconductor Physics, Novosibirsk 630090, Russia 1 Institute of Theoretical and Applied Mechanics, Novosibirsk, 630090, Russia 2 Institut fur Experimentalphysik, Christian-Albrechts-Universitat Kiel, Germany

Introduction. Plasma crystals consist of micrometer sized charged particles trapped in the space charge sheath of rf discharges, where the particles form layered 20-ordered structure. The plasma crystal is shown to exhibit a nonequilibrium two-step phase transition due to particle heating by ion flux in the sheath. With reducing pressure first the particle energy increases rapidly, but the crystal remains in crystalline state, and then the melting transition takes place. The plasma crystal melts at a much higher particle energy than expected from classical models. This behavior is explained by lack of long-wavelength modes in the dust crystal spectrum which cause the crystal to melt. Model. In this work the melting transition of the plasma crystal is studied by molecular dynamics method under conditions of experiment [ 1]. A bilayer crystal with hexagonal structure in the horizontal plane and vertically aligned particles has an interparticle distance of a =450 !J.m, an interlayer distance of 360 !J.m, a particle charge of Z=13,000e and a particle mass of6.73xl0"13kg, the dust plasma frequency, wpc~= 90s·' for our conditions. The Langevin equations for 448 particles with periodical boundary conditions are solved. Forces on the dust particles are due to collisions with the neutral gas atoms (friction, Langevin force), electrostatic interaction with the other particles and the ion density distribution in the sheath. The actual density distribution of the streaming ions is changed by a positive point charge which is rigidly connected to the upper particle at some distance below and attracts only the particles of the lower layer of the supersonic ion flow. This asymmetry is the reason for the instability and the nonequilibrium behavior of the system. The particle interaction potential is assumed to be of Debye-Huckel type U(p;-pj) = e2Z2 ( 4:rtEo I Pi-P I r' exp (-A I Pi-P I I a) and the effective screening strength A = 2. Results. The phase transition was studied by reducing the friction constant v in the range between 0 Swpd and 0 lwpc~ (pressure 140 and 30 Pain Helium). From the linear analysis [2] it is known that, due to the ion flow through the dust crystal, energy is drained from the ion flow and transferred to the dust particles. If the neutral gas density is high enough, the energy transferred to the particles is dissipated by friction with the neutral gas. When the friction constant drops below a critical value the energy of the dust particles cannot be dissipated totally and the crystal becomes unstable leading to horizontal oscillations of the particles. The corresponding computed energy of the dust particles is shown in Fig. (a) and is close to the experimental one. In the crystalline state (area I) the particle energy is nearly room temperature. Then particle

489 H. Schluter and A. Shivarova (eds.). Advanced Technologies Based on Wave and Beam Generated Plasmas, 489-490. © 1999 Kluwer Academic Publishers.

Page 501: Advanced Technologies Based on Wave and Beam Generated Plasmas

490

energy E increases dramatically to about 10 eV for the upper layer and 30eV for the lower layer near Ym/Wpd= 0.1635 (area II) which is the onset of the instability. In a

narrow regime just below Yin (area III) a coherent motion of all particles is found, i.e.

all particles have the same oscillation amplitude, phase and frequency . The strong increase in the particles' energy (area IV) below Yin does not lead to the melting and particles exhibit 'oscillation' regime of motion. The melting occurs at an even further reduced friction of Y • = 0.12U>pd where a second jump in temperature is found, leading

to the fluid phase (area V). The critical value of r = Z2e2/4:n:e0aE is found to be f*= 52 for our screened interparticle potential, which is much less than that expected for these systems. To understand the enhanced stability of the bilayer crystal we have also performed simulations on the phase transition of a single-layer crystal. The critical value was found to be r* = 189 for A. = 2. This large difference in the values of the

critical coupling parameter can be explained by analysing the autocorrelation spectra for the single-layer and the bilayer crystal. The mode spectra Z, describing the

phonon energy distribution during the transition were calculated from the velocity autocorrelation functions. It is well known that long-wavelength (low frequency) fluctuations play the key role for defect-mediated phase transition in 20 systems. In Hamiltonian systems the phonon energy is uniformly distributed over the vibrational modes, which can also be seen in Fig. (b) for the single-layer crystal. In the plasma crystal, however, only modes close to the most unstable near w = 0.8wpd are excited,

and low frequency modes are almost absent up to melting. 1. Melzer, A., Homann, A., and Pie!, A. (1996) Experimental investigation of the

melting transition of the plasma crystal, Phys. Rev.E 53, 2757-2767. 2. Schweigert, V.A., Schweigert, I.V., Melzer, A., Homann, A., and Pie!, A.(l996)

Alignment and instability of 'dust' crystals in plasmas, Phys. Rev.E 54, 4155-4168.

102 c-----------------------------,----------------------. E,e ~--Lower layer (a) ----one layer (b) Z"'

·· v=O. 1225co " 12

~~pper layer (\

l Q ----·-· Ill . --- - -v IV II 1 -

10 1 10

8

6

4

2

1o·2 L_~~~--~~~~--~~~--~~~~~~~--~~~==~o 0,12 0,15 0,18 0,21 1,2

v/roP

Page 502: Advanced Technologies Based on Wave and Beam Generated Plasmas

INTENSE PLASMA PULSES IN DOPING, COATING AND GLAZING THE SURFACE OF SOLID MATERIALS

J.LANGNER, J.PIEKOSZEWSKI, J.ST ANISLA WSKI The Andrzej So/tan Institute For Nuclear Studies 05-400 Swierk-Otwock, Poland

1. Physical background of processing

Pulsed ion and plasma beams under appropriate conditions can modify the surface properties of materials by melting their near-surface regions and simultaneously supplying the foreign atoms [ 1 ] . At sufficiently high densities of the beam power, e.g. of order of 106 W /cm2 or more a rapid temperature rise occurs in a near surface region, leading to melting of the thin layer of the order of I J.l.m. Once the surface layer is molten, a rapid inward diffusion of the delivered doped atoms takes place. After some time interval following the termination of the energy pulse, the propagation of the melt front stops. As a results of cooling the solidification front begins to move back to the surface and eventually the whole material freezes. The final dopant profile is determined primarily by the thermal evolution in the surface layer and thermodynamic properties of the dopant -substrate system. For practical purposes, to avoid damages, the power incident on the surface has to be limited to 10 MW/cm2. This requirement limits the total energy delivered in single pulse to 10 J/cm2 in the case of 1 J.l.S duration. For the 1 J.l.S pulse duration and 10 keY ion energy the dose may reach 1.5xl016/cm2 per pulse whereas in a case of the lower ion energy the upper dose can be on the level 1017/cm2• Such conditions can be obtained within IONOTRON-rod plasma injector type plasma generators [ 2 ] .

2. Apparatus

In the IONOTRON the ions are produced by a low pressure electric discharge initiated between two concentric cylindrical sets of rods allowing for a free passage of particles through them. A fast valve admits the working gas into the interelectrode space and once the gas cloud attains the required density, the energy from the capacitive storage bank is applied. Depending on the gas conditions two operating modes are possible. The first is the pulse implantation doping ( PID ) mode, and the second is the deposition by pulsed erosion ( OPE ) mode. In PID, discharge produces a high intensity ( up to 100 kA ) short duration ( order of 1 J.l.S ) plasma pulse, containing exclusively ions of the working gas. In OPE mode, arc erosion of the electrodes takes place and the ions and neutrals of the electrode material are present in the plasma. In the experiments conducted thus far the diameters of outer and inner electrodes were 130 and 90 mm respectively. The high voltage applied to the electrodes was supplied from the capacitive energy storage bank of 15 to 60 kJ. At the distance 20 to 30 em from ends of the electrodes 20% uniformity of the plasma streams were obtained.

491

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated PlaJmas, 491-492. @ 1999 Kluwer Academic Publishers.

Page 503: Advanced Technologies Based on Wave and Beam Generated Plasmas

492

3. Examples of plasma pulse processing

The experimental studies at SINS on modification of semiconductors by intense

plasma pulses were initiated at the beginning of eighties. In 1981, the feasibility of

forming p-n junctions in silicon by using a single-step transient technique was

demonstrated for the first time [ 3 ]. The experiments were focused on formation of n + -p., p. + -n structures and later complete n + -p-p + and p + -n-n + photovoltaic structures

in mono- and polycrystalline silicon. The p + layers in n-type material and n + in p­

type material were obtained by treating the substrate with 1 to 3 pulses of BF3 and

PFs plasma, respectively. The doped layers exhibits good quality and sufficient doping

uniformity over 3"wafers. The mean photovoltaic conversion efficiency for a set of 100

solar cells was 13,5% whereas maximum of the efficiency reached the value 16% [ 4 ]. In another PID experiments it was shown, that high doses of nitrogen of order 1017

cm2 can be introduced into steel by using nitrogen plasma pulses with energy density 5

to 10 J/cm2 [ 5 ] what leads to an increase of austenite content. The rnicrohardness

HVoo1 increases linearly with the dose of nitrogen in the martensite free austenitic steel

[ 6 ] . The wear resistance of a constructional steel treated by the nitrogen plasma pulses

increases by a factor of 7 - 8 [ 7 ) . As an example of another plasma pulse processing, smoothing of ceramic surface

can be given. The bulk alumina ( Al20 3 ) and plasma-sprayed Zr02 layers on steel

substrates were treated with hydrogen plasma pulses of energy density in the 6 to 8

J/cm2 range. I was shown that surface remelting leads to rounding of sharp edges and

protrusions and reduces the surface roughness about 50% [ 8 ] . Of particular interest in modification of metal and ceramics materials is the use of

DPE technique. For instance the surface alloying of Ni - Cu and AI. - Cu systems

showing very good adherence were demonstrated using this technique [ 8 ].

4. References

I. Piekoszewski,J.and Langner,J.(1991)High intensity pulsed ion beams in material processing:equipment and

applications, Nucl. Jnstr. and Meth .. 853, 148 2 Langner,J., Gryziilski,M., Gebalski,S., Horodeilski,A, Piekoszewski,J., Sk3adnikSadowska,E., Wemer,Z.,

(1985) Jon beam source for pulse implantation doping, Proc. Conf Energy Pulse Modification of

Semiconductors and Related Materials,K.Hening. ZfK Rossendorf, 213

3. Piekoszewski,J.,Gryziilski,M., Langner,J.,Wemer,Z. (1981) Pulse ion implantation-new single step doping

technique, Phys.stat.solidi.[a) 67,K163 4. Piekoszewski,J., Wemer,Z., Pochrybniak,C., Langner,J., Bia' osk6rski,J. (1995) Pulse implantation doping:

the single step method of forming the photo voltaic junctions, Electron Technology, 28, 3, I 53 5. Piekoszewski,J., Langner,J., Bia'osk6rski,J., Koz'owska,B., Pochrybniak,C., Wemer,Z. , Ciurapiilski,A

Walice,L.(I993) Introduction of nitrogen into metals by high intensity pulsed ion beams, Nucl. Jnstr. and

Meth. 880181, 344 6. Piekoszewski,J., Walis,L., Langner,J., Wemer,Z, Bialosk6rski,J., Nowicki,L., Kopcewicz,M., Grabias,A,

( 1996) Alloyung of austenitic stainless steel with nitrogen using high-intensity pulsed beams of nitrogen

plasma, Nucl. Jnstr. and Meth. in Phys. Research 8114, 263 7. Tereshin, V.I.,.Langner,J., Sadowski,M.,.Piekoszewski,J.,Chebotarev,V.V.,Derepovski,N.T .,Garkusha,I.E.,

Gamulaya,G.D.,Ostrovskaya, Y.L., Vedensky, Y. V., Yukhno,T.P.( 1997), Tribological properties of pulsed

plasma treated steels; comparative studies, Proc.lntern. Symposium PLASMA '97, ZW CBK, Warszawa

VoLl, 201 8. Piekoszewski,J., Langner,J., Walis,L., Wemer,Z., Pochrybniak,C.,Czaus,K.,Ciurapinski,A,Bialosk6rski,J.

( 1994 ), Surface modification of materials by pulsed ion beams, Nucleonica vol39,No3. 3-22

Page 504: Advanced Technologies Based on Wave and Beam Generated Plasmas

MONOATOMIC ION RICH DECR PLASMAS FOR ION IMPLANTATION BY PLASMA

IMMERSION USING A NEW ffiGH VOLTAGE· HIGH CURRENT PULSE GENERA TOR

F. LE COEUR, Y. ARNAL, J, PELLETIER and 0. LESAINT

I.Aboratoire d'Electrostatique et de Matlriaux Diilectriques, UMR C5517- C.N.R.S et UJF.

25, rue des Martyrs, BP 166, F-38042 Grenoble Cedex 9, France

0. MAULAT and M. ROCHE

PHYSIQUE & INDUSTRIE

17, rue de Ia Rente Loge rot, F-21 160 Marsannay Ia Cote, France

1. Introduction

The general specifications required for plasma based ion implantation (PBII) processing are low pressure, large size plasmas, monoatomic ion rich on one hand, high voltage - high current pulse generators on the other hand. To fulfill the plasma requirements, a newly developped distributed electron cyclotron resonance (DECR) plasma source concept is used. To generate high voltage pulses with rise and fall times of the order of the inverse ion plasma frequency and with a duration much larger than the inverse ion plasma frequency, a new type of high voltage generator using a pulse transformer has been developped with many advantages over conventional tube modulators.'

2. The DECR plasma reactor

A recent step in DECR3 evolution concerns the configuration of the multipolar magnetic field whose main effect is to confine the fast electrons which produce the plasma. Using magnetic structures closed onto themselves according to magnetron like configurations, losses of fast electrons are avoided at the extremities of the magnets. As an example, a cylindrical magnet of finite length with a diametrical magnetization constitutes a three-dimensionnal magnetron structure. The plasma source region is delimited by two lobes on each side of the magnet. The lobes between the opposite poles are the magnetic field lines along which the fast electrons (accelerated through ECR) are guided and oscillate. Due to the magnetic drift, the fast electrons trapped on one side on the magnet reach the end of the magnet and rock around its extremity, and then drift back along the magnet on the opposite lobe. The plasma then diffuses away from the source region under the influence of density gradients. Our cylindrical DECR reactor, 60 em in diameter and 70 em high includes an assembly of 24 cylindrical magnets inside the lateral wall. The microwave electric field at 2.45 GHz is applied along the magnets with 24 applicators and as many wave propagators. In the mtorr pressure range, large volumes of highly homogeneous plasma with electron temperatures between 1 and 2 eV and ion densities in the mid 10"' em·) range are being produced. The monoatomic-to-polyatomic ratio (7/3 for N'/Nl') determined by quadrupole mass spectrometry is favorable for ion implantation. This result can be explained by the fact that the ions produced in the plasma source region also oscillate between the two opposite poles of the magnets where the fast electrons are present. In this way, the molecular ions can be dissociated with high efficiency.

493

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 493-494. @ 1999 Kluwer Academic Publishers.

Page 505: Advanced Technologies Based on Wave and Beam Generated Plasmas

494

3. The 100 kV-100 A pulse generator

High voltage pulsing systems suitable for PBil are not standllrd industrial products and must be speciaily designed and built for this application. A new type of compact, low standby power pulse transformer has been developped whose shematic diagram is shown in Figure I. Mettglass magnetic core is used as step-up pulse transformer. Voltage modulation at the primary is provided by insulated gate bipolar transistor (IGBT) switches which can achieve rise and fall times of less than I IJS und maximum pulse currents of 100 A. The primary of the transformer consists in 96 turns wired up in parallel and the secondary in 96 turns wired up in series. The transformer turn ratio is 1:96 giving a maximun output pulse of 100 kV-100 A. The transformer is interlocked for over currents, over voltages, and output is protected against short-circ~its in the load. The assembly, including the power tank, is immersed in an insulating atmosphere (SF,, at 4 burs) and has a cylindrical shape about 50 em in diameter and 60 em high. An example of pulse characteristics obtained in a DECR nitrogen plasma with the pulse generator is presented in Figure 2. In this example, the average voltage is 50 kV and the initial peak current reaches 50 A.

Acknowledgements This work is supported by a grant from the Region Rhone-Alpes, France. Frederic Le Coeur thanks the Region Rhone· Alpes for u doctor.tl fellowship.

References

I. D. M. Goebel ( 1994) High power modulator fur plasma ion implantation. J. Vac. Sci. Techno!. 812 (2). 838 2. T. Lagarde. J. Pelletier andY. Arnal I 1997) Plasma Sources Sci. Techno!. 6, 53

.. "' ..

u

s .. ondary ld6 turns

Plasm•

Figure I. S~hematic diagram of the pulse generator. The resistances R, and R, on the em iller of ea<.:h IGBT limit the intensity nf the primary ~urrcnrs in <.:asc: of short-cir~u irs at the output nf the transformer. l11e checkpoints C, to C, are <.:onnc:cred 111 the driving electronics. The <L'<sembly ulso indud.:s ;a n.:gative voltage supply 10 initialize: the magncti<: stare of the core before each pulse. A vnlwge di viller :llld the resistance R, are used In visu,alizc rh.: pulse slwpc:s (V ,..~and l,..wl and a ch<~in of ultra f:1s1 diodc:s pr.:vent'< the reversal nf voltage polurity whid1 m<~y induct: spunering of lateral walls.

> ::5. ·15 w Cl ·30 ~ c5 -45 >

·60

~ 40

~ 30 w ~ 20 ::l (.) 10

0 20 40 60 TIME (ps}

..... ....... .. . .. . ...... . ..

... ..... .. ....... ... ... .....

0 ~.:..:..:.L:.:.:.:b~:±::i::i~~;::l 0 20 40 60 80

TIME (ps)

Figure 2. Typical volta~:e and current characteristics obtained with a DECR nirro~:en plasma.

Page 506: Advanced Technologies Based on Wave and Beam Generated Plasmas

SPATIAL DISTRIBUTION AND KINETICS OF NEGATIVE IONS IN GLOW DC DISCHARGE IN PURE 0 2 AND H1

V.V. IVANOV, K.S. KLOPOVSKIY, D.V. LOPAEV, A.T. RAKHIMOV, T.V. RAKHIMOVA Nuclear Physics Institute, Moscow State University, 119 899 Moscow, RUSSIA

1. Introduction

In order to understand and insight into physics of electronegative gas plasma we started a comprehensive experimental and theoretical study of the discharge in the gases with substantially different extent of electronegativity: H2, 0 2 and CF4. For this aim we have used a classical object of discharge physics glow DC discharge in cylindrical geometry. We have carried out the experiments directed to investigate non-locality and anisotropy effects on EEDF, as well as to study negative ion kinetics, metastable particles and atom and radical kinetics. Those investigations gave us the basis for developing the self-consistent approaches to electronegative gas discharge treatment and allowed one to study some elementary processes in details. Here there are presented one of the investigations - a study of spatial distribution and kinetics of negative ions in DC discharge in pure H2 and 0 2.

2. Experiment

In the experiments there was used glow DC discharge in a long cylindrical tube with inner diameter - 12 mm. Inter electrode distance was 490 mm. The discharge was fired at pressures- 0.15-2 Torr and current density I-40 rnNcm2• There were measured: axial electric field, radial distribution of plasma potential and EDF by Langmuir two-probe modulation method; radial distributions of o· and H' negative ions by laser photodetachment; concentrations of oxygen and hydrogen atoms by actinometry technique on argon and krypton atoms respectively; wall recombination rates of oeP) and HeS) atoms by using actinometry technique with time resolution in the modulated discharge; concentrations of oxygen molecules in a1 6 8 and b1I:8+ metastable states by IR emission spectroscopy.

3. Spatial distribution and non-equilibrium kinetics of negative ions

We have developed two self-consistent models of glow discharge and used it to simulate the discharge electrodynamics in pure H2 and 0 2. These model use fast numerical codes based on Particle-In-Cell Monte-Carlo (PIC MC) technique and non-local two-term approximation approach to EEDF [1 ,2]. The simulation has allowed us to study the non-

495

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 495--496. © 1999 Kluwer Academic Publishers.

Page 507: Advanced Technologies Based on Wave and Beam Generated Plasmas

496

equilibrium ion heating effects on all the processes in the discharge in details. Ion distribution function was suggested to be maxvelian with two effective temperatures -longitudinal (along the discharge axis) and transversal (in the radial direction) accordingly (3] . On comparison with (3] the processes of resonant charge transfer from o· and H. ions to respective atoms have been also taken into account. Ion non-equilibrium diffusion caused by ion heating in the axial electric field was found to have noticeable effect on both the radial profile and the ion concentration that in turns influences on the discharge electrodynamics. Ion heating can prevent the division on ion-ion and ion-electron plasma because of trapping the negative ions by radial ambipolar potential. [N;')/[n.] ratio was found to be much higher in oxygen than in hydrogen that it is connected with more high rate of detachment processes. The detachment by oeP) atoms and 0 2(a16g) metastable molecules as well as HfS) atoms were found to be the main processes determining the negative ion decay in oxygen and hydrogen respectively.

OfP) + O(P) -+ 02(Jf I:g) + e OfP) + 02(a1L1g) -+OlA,J + e

-+ 0dX2 Jig)+ O(P) H(S) + H(2S') -+H2(XI:g+) + e

where kj is a rate constant of the process.

(1) (2) (3) (4)

The simulation has enabled us to investigate self-consistently the detachment processes on the detailed level and to determine indirectly the detachment rate constants. Since we could simulate the processes conditioned by electrons rather well (with a good agreement with the experimental data) we have refereed on these data to model negative ions kinetics.

k1 = 4 10-10 (3001TJ 112

k2 = 6 10-11 + 4 10-10 (470!T/12exp(-4701TJ k3 = (2:1:1) 10-9 cm31s

(5) (6) (7)

These rate constants by the best way have allowed us to describe the experimental data on negative ion concentrations as a function of the discharge parameters. It should be noted that the represented fu.n of the rate constants were taken by assuming the respective mechanisms of reactions (I)-( 4) in accordance with available experimental data and data on potential surfaces of 0 2, H2, 0 3 molecules and 02- , H,-, 0 3- ions.

4. References

l. Ivanov, V.V., Klopovskii, K.S., Lopaev, D.V., Muratov, E.A., Rakhimov, A.T, Rakhimova, T.V. (1996)JETF Lett. 68,537

2. 3. NATO meeting in St.Peterspurg 3. McDaniel, E.W. and Mason, E.A. (1973) The mobility and Diffusion of ions in Gases,

New York. J.Wiley.

Page 508: Advanced Technologies Based on Wave and Beam Generated Plasmas

DIFFUSION AND WALL LOSS OF MAGNESIUM IN DECAYING PLASMA

L RUSINOV, A. BLAGOEV, M. PENTCHEV A AND V. YORDANOV Sofia University, Faculty of Physics, 5 James Bourchier Blvd., 1164 Sofia, Bulgaria

The plasma-surface interactions are of considerable interest, induced by the successful application of plasmas in layer deposition, surface treatment and other technologies. The reflection coefficients of plasma atoms and molecules incident to a surface (or the complementary sticking coefficients) are generalised quantities, which characterise these interactions. They are closely related to the motion and nature of particles in the gas phase and the surface characteristics, such as roughness, existence of layers of adsorbed particles, inert, or reactive with those in the bulk, temperature, etc. The relevant data, available in literature up to now are scarce. In a previous work f lJ we have developed a method for simultaneous measurement of the diffusion coefficient of particles in gaseous media and their reflection coefficient at the wall of the container. Here we present an experimental investigation, based on this method, as well as some numerical simulations of the particles' diffusion, aimed at obtaining the diffusion coefficient of ground-state Mg atoms in argon and their reflection coefficient at a magnesium surface. The experiment is carried out recording the decay curves of the Mg atoms' density in the afterglow of a pulsed hollow cathode gas discharge in argon. The cylindrical hollow cathode is made of magnesium, which is sputtered in the active phase of the discharge to give a Mg-Ar mixture plasma. The decay curves are recorded by an automated multi-channel photon counting system. The usage of a hollow cathode is a way to obtain the diffusion and reflection coefficients of Mg atoms in (rare) gases in the temperature region below the melting point of magnesium, down to room temperatures and even below. Experimental data for both of these parameters in this large region are lacking in the literature.

The experimental set-up comprises the absorption and the emission hollow­cathode discharge tubes, the high-voltage power supply, the optical flux shutter, the 60mrn Czerny-Turner type monochromator, the photo-multiplier head working in the mode of photon counting and the PC-based experiment controller. The absorption tube is operated in a pulsed mode, whereas the emission tube is in DC mode. The temporal evolution of a given spectral line intensity, emitted by these tubes, is detected in multiple channels in two phases: with the shutter open and closed. These data arc then used to obtain the temporal evolution of the relative absorption of this line in the absorption tube and finally - the atoms' density decay curves.

Figure I shows three experimental decay curves of the ground-state Mg atoms' density in argon, measured at different pressures.

497

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 497-498. © 1999 Kluwer Academic Publishers.

Page 509: Advanced Technologies Based on Wave and Beam Generated Plasmas

498

In Figure 2 is given the graphical solution of the problem for simultaneous determination of the diffusion and reflection coefficient, based on the data from Figure 1. The uncertainty corridors in Figure 2 are determined by the uncertainty of pressure measurement and by the experimental statistics.

109

••••••••

1 o'

. . "'· I 0 '

10'+-~~---r------~~--~--.---.---. 0 1000 2000 3 000 4000 5000 6000 7 000 8000

I (f!S)

Figure I. Experimental time dependencies of the ground state Mg atom's density in argon. Gas pressure, 0. 72

Torr (•) and 0.2 Torr(+); temperature, 300 K; peak pulse current, IOOmA; discharge period, 20 ms; discharge

duration, 2 ms.

-~

,; rl "

Q

2 40

220

200

1 80

1 60

140

1 20

100

80

60 0.0 0.1 0.2 0 .3 0 .4 0 .5 0.6 0.7 0 .8 0.9 1.0

p '

Figure 2. A graphical determination of the diffusion coefficient of ground state Mg atoms in argon and the coefficient of reflection of these atom~ from the metallic wall in a magnesium hollow-cathode ga• discharge.

The preliminary values for the diffusion coefficient of ground-state Mg atoms in argon and for the coefficient of reflection of these atoms from the magnesium waiL thus obtained are:

2 -1 Do = 120- 160 em s , p E [0.6, 0.85] ; ( @ T= 300 K). Acknowledgement: This work is supported by the Bulgarian National Scientific Fund under contract F579 and by the Sofia University Scientific Fund under contract No 313/l997. References

I. Rusinov,J. M.. Paeva, G. W. and Blagoev. A. B. (1997) A Method tor Simultaneous Determination of the Diffusion Coefficient of Particles in Gas Media and Their Reflection Coefficient at the Wall, J. Phys. D 30, I 878-1884

Page 510: Advanced Technologies Based on Wave and Beam Generated Plasmas

DIFFUSION AND DEPOPULATION OF THE METASTABLE

Cd 3 P0,2 STATES IN COLLISIONS WITH NEON ATOMS

A. I. OGOYSKI AND A. B. BLAGOEV* Varna Technical University, 2 Studentska str., 9001, Varna, Bulgaria *Sofia University, Fac. of Physics, 5 J.Bourchier, BG 1164, Sofia, Bulgaria

The aims of this study are to detennine the rate coefficients for quenching of the Cd 3P0•2

by the ground state Ne atoms and their diffusion coefficients in neon since there is a lack of such data in the literature. We used a standard afterglow technique. A pulse periodic DC discharge (60 f..l.S pulses at repetition rate - 2 KHz) was fired in a quartz cell with 3.6 em I D and length 20 em. The measurements were carried out between 520 and 577 K gas temperature. The pressure of the carier gas Ne was in the range 0.2 -7.5 Torr.

The decay of the population of the excited cadmium states in the afterglow phase was recorded by optical absorption measurements. A PC based automated system controls the operation of the Bell Bloom light source, absorption sell and the gated PMT of the optical spectrometer. From the experimental decays curves of the Cd 5 3P2. 0

states the decay frequencies were detennined. The values of the derived decay frequencies are plotted vs number density of the neon atoms. Figure 1 presents the experimental data for the decay rates of the Cd 3P2. The solid line is a fit of the experimental points:

~ Y2 = - 2 -+ K2N +Yo2

1\N (1)

Here D2 is the diffusion coefficient of the Cd 3P2 atoms, K2 = <crv > is the rate coefficient for quenching in collisions with nonnal neon atoms with densityN.

Several processes have their contribution in the free tenn y02 of the expression for the destruction frequency y. The experiments conducted at higher gas temperatures show that the quenching by the parent gas atoms is considerable. According to Czajkowski et al ( 1991) the cross section of the similar quenching of the resonance state Cd 3P 1 is crq - 170 A 2• In order to detennine more precisely the rate coefficients of the pressure effects (D and K values) the measurements were carried out at the minimal pulse currents (20 rnA) which pennits satisfactory level of the signal to noise ratio.

Table 1 shows the reslts obtained so far.

499

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 499-500. @ 1999 Kluwer Academic Publishers.

Page 511: Advanced Technologies Based on Wave and Beam Generated Plasmas

500

Table I sho\vs the reslts obtained so far.

24000 1 Y, l-Iz

I

23000

::ooo

:1000

I.

',• I \ \

• • •

• • • •

• • •

• . ~ • •

20000,_--~--~--~--~--.---~--,---,---,---,---,---,---~

0.0 1 Oxl017

NNe .cm·3

Figure I . Exp~rnn~ntal decay rate of Cd 'P,. population as a function of Ne pressure

T.-\BLF: I Various rat<' .:oefticient' tor destrudion of cadmium metastable atoms

Ga' Temperature (I\.)

520 533 546 560 573

520 546 560 573

Diffusion coefficient lJ (I Torr)(cm's·')

Quenching rate coeff l:(lo·••cm's·•)

Rate coeffic,ents of Cd 'Po 564 ±56 2.26 ± 0.43 574 ± 40 2.34 ± 0.36 605± 36 2.27 ± 0.23 626± 37 2.13 ± 0.19 626± 27 2.14+0.13 Rate coefficient' of Cd · P,

544 ± ~G 599± 60

609± 37 635± 51

2.7±0.7 H7±034 HR ± 0.29 2.79 ± 0.28

Quenching free terrn )'o(s-1)

1839 ± 202 2541 ± 203 3457 ± 173 4676 ± 187 5575 ± 112

2946 ± 530 8196 ± 32R 12992 ± 520 18958 ± 569

As far as we know there aren ' t such data in the literature for the difussion coefficients of Cd 3P:.o and their quenching coefficients by neon atoms.

l) M. Czajkowski et al ( !991 ). Spectrochimica Acta. 46 l .

Acknowledgment: This work is supported by the Bulgarian National Foundation for Scientific Researches under contract No F 579.

Page 512: Advanced Technologies Based on Wave and Beam Generated Plasmas

NON-LOCAL REGIME OF SELF-CONSISTENCY IN STATIONARY WA VEGUIDED DISCHARGES

KH. TARNEV Military Academy "Vassil Levski," BG-5007 Veliko Tamovo, Bulgaria

Gas-discharge maintenance by surface wave (S W) propagation [ 1] has been recently intensively studied with the aim [2] to have the self-consistency of the discharge e:> wave behaviour evident in a diffusion controlled regime. It has been shown [2] that

the mutual interrelation between electron density ne and maintenance field intensity

over the main (long) part of the discharge is ensured by the nonlinearity in the particle balance (step ionisation and recombination). Description of the region close to the wave launcher is the aim of this study. Since the plasma density is comparatively high there, it is assumed that longitudinal diffusion (i.e., non-local nonlinearity) could be the mechanism which ensures the self-consistency in this region.

Isotropic plasma columns sustained in a diffusion controlled regime by slow SWs are modelled. The result for the self-consistent variation along the plasma column of maintenance field intensity and plasma density obtained by taking the contribution of the longitudinal diffusion to the particle balance to be predominant over the contributions of the nonlinear terms, is:

E' = E;[ I+ AI,(r (/i~- ~~))] (1)

- - 1 Aexp(ynsw)[ ~ ( 1 ) ~J n =nsw - 2;- ..j8r;; vnsw- 2; exp - 2; - vnsw (2)

Here E 0 is the field necessary to compensate losses through transverse diffusion; A

and y are constants (A <<I); / 0 is the modified Bessel function; ; is the

normalized axial coordinate z , n is the normalized averaged - over the column cross­

section- density and nsw is the plasma density at the discharge end. The graphical presentation of the solutions (I), (2) given in Figures 1 and 2 shows

that in the begiruting of the plasma column (large lc;l-values), i.e. close to the surface

wave launcher, the mechanism of charged particle losses through axial diffusion determines the self-consistency of the discharge maintenance. This leads to an increase

501

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 501-502. @ 1999 Kluwer Academic Publishers.

Page 513: Advanced Technologies Based on Wave and Beam Generated Plasmas

502

of the maintenance field intensity towards to the launcher and flattening of the density profile. Away from the launcher, with the density decrease along the column, the nonlinear mechanisms of charged particle production through step ionisation (Figure 2) and losses through recombination (Figure 1), respectively, in the cases of comparatively low and high pressures, become predominant compared to the contribution of the longitudinal diffusion. This leads to the linear decrease of the plasma density and the corresponding slight linear decrease (in the case of recombination) and increase (in the case of step ionisation) of the maintenance field intensity towards to the discharge end, as given in [2].

1,6

"'o ~ 1,2 'ill

n

0,8

0,4

0, 0~---==,---~----::-.::----=-=-~-::---~ -60 -50 -40 -30 -20 -10 0

30

25

20

15

10

5

~

Figure 1. Axial variation of the field intensity in the case of recombination and

longitudinal diffusion.

Q3o -50 -40 -30 -20 -10 0 ~

Acknowledgements:

1,6

"' o12 ~ '

Ul 08 '

0,4

0·96o -so -40 -3o -2o -1o o ~

Figure 2. Axial variation of the field intensity in the case of step ionization and

longitudinal diffusion.

Figure 3. Variation of the normalized plasma density

n = ne Inc (where nc is the critical density) vrs the

normalized co-ordinate ~ = ( v I wRJ)z where V and W

are respectively the electron-neutral elastic collision

frequency and the wave frequency, R is the plasma column

radius and f ~ 02 .

I am obliged to Prof. Dr. A. Shivarova for the useful discussions. The work is within a co-operation programme supported by DFG, Germany. Support from the National Foundation for Scientific Research in Bulgaria is also acknowledged.

References: I. Moisan, M. , Ferreira, C .M., Haylaoui, Y., Henry, D., Hubert, J .• Pantel, R., Ricard. A and Zaknewsk.i, Z .

(1982) Properties and applications of surface wave produced plasmas, Rev. Phys. Appl. 17,707-727. 2. Aliev, Yu.M., Grosse, S., SchlOtter, H. and Shivarova, A (1996) Nonlineasrity: Basis of self-consistent

modeling of surface wave produced plasmas in diffusion controlled regimes, Phys. Plasmas J, 3162 - 317 5.

Page 514: Advanced Technologies Based on Wave and Beam Generated Plasmas

SYMMETRIC MODE IN A PLANAR PLASMA WAVEGUIDE: NUMERICAL STUDY OF NONLINEAR EFFECTS

M.N. GEORGIEV A-GROSSE and S. GROSSE 70839 Gerlingen, Meterstrafte 412, Germany

1. Introduction

The investigation of nonlinear effects and their impact on the wave processes are of present interest. Important place in these examinations finds also the study of nonlinear surface waves (SWs), guided by bounded by dielectric plasma structures [1, 2]. The self-action determines in a self-consistent manner the parameters of the medium and the characteristics of the wave. The self-action gives rise to the phenomena of self-phase modulation leading to the formation of envelope solitons, and self-focussing, conditioning the formation of waveguided channels. To study the first kind of nonlinear waves, it is necessary to know the dispersion of group velocity, determined by the linear dispersion law and the nonlinear frequency displacement or the nonlinear addition to the wavenumber, given by the nonlinear dispersion law (NDL). For the analysis of self­focussing processes, the knowledge of the nonlinear change of the wave amplitude in transverse direction is substantial. The amplitudes of the SWs have certain distribution in transverse direction. The results given here are presented in detail in [3] .

2. Formulation of the problem and analysis

The propagation of a SW, a TM-mode with field components Ex. By. E2 * 0 whose variation is of the form oc g(x) exp( -iwt + ikz) is investigated along a planar waveguide consisting of a plasma slab ( I xis a) of thickness 2a and permittivity E1 boun­ded by a linear medium- a dielectric with permittivity E2 occupying the region lxl~ a. The plasma is considered as a weak nonlinear medium with permittivity in the form

£ 1 = E0 + OE . Here Eo = 1 -a is the permittivity of undisturbed plasma (a = w ~o I w 2

and w po is the electron plasma frequency) . The correction OE =+a! Ei involves

simultaneously the contribution of the two nonlinear mechanisms upon the plasma: (i) weak ionization nonlinearity (upper sign) and (ii) weak striction one (lower sign) [1,3].

We have I Eo 12 = <I Ex 12 +I Ez J2 ) I E~ , where En is the normalized field amplitude, dif­ferent for the cases of nonlinearity considered. The study is restricted to the symmetric SW ( Ex(-a) = -Ex(a), Ez(-a) = Ez(a) ).

The problem for propagation of SWs along the plasma slab is solved using the system of Maxwell equations, completed with material relations . NDL can be derived by

503

H. Schluter and A. Shivarova (eds.). Advanced Technologies Based on Wave and Beam Generated Plasmas, 503-504. © 1999 Kluwer Academic Publishers.

Page 515: Advanced Technologies Based on Wave and Beam Generated Plasmas

504

0.15

d

~0.10 ~

0.05

Figure 1. Frequency dependece of the normalized nonlinear addition to the linear

wavenumber with parameter I Al2 I £,7 =

0.20 (0.05) 0.05 "a", "b" , "c", "d "; fur cr = w pOa I c = 0.1.

-~.0 0 .5 1.0 1.5 .2.0 .2.5 w'P0 z/c

Figure 2. Dependence on the co-ordinate x of the quantity zd -,describing the frequency changes of

the nonlinear addition to the Ez- field component in

vacuum for cr = 0.1 with parameter w I w pO = 0.1 (a), 0.2 (b), 0.3 (c), 0.4 (d), 0.5 (e), 0.6 (f).

following different approaches, however, the only way for obtaining the transverse field pattern is to solve a set of two equations, obtained after linearizing wavenumber k and E.

The boundary conditions and the unified wavenumber of the nonlinear wave along the whole structure lead to nonlinear changes in the field pattern also in the linear medium (Figure 1, 2). The analytical results for the transverse field distribution are a sum of the linear field and the nonlinear addition, with separating in the latter the effects due to frequency (Figure 2: quantity Z") and amplitude changes (3, ch.4].

3. Conclusion

In the case of weak nonlinearity, the effects of ionization (i) and striction (ii) nonli­nearity cause symmetrical deviations with respect to the linear dispersion law. The non­linear addition to the linear wavenumber in the case (i) is negative ok <0 and leads to for­mation of bright envelope solitons. For (ii) ok > 0 and this leads to formation of dark solitons.

While in the linear case the field is characterized by a maximum at the boundary and a monotonous decay away from it, the quantities, describing the transverse variation of the nonlinear additions to the field are of non-monotonous behaviour and possess maxi­ma and minima inside the two media. The changes in the transverse field pattern are larger in the linear medium. The analysis of the effect of the self-focussing shows that the formation of the waveguide channels depends in a complicated manner on the type of nonlinearity, on the wave frequency and on the dimensions of the plasma slab.

4. References

I . Shivarova, A. (I 992) Nonlinear surface modes, in P.Halevi (ed .), Spatial Dispersion in Solids and Plasmas, Els~vier, Amsterdam, pp. 557-616.

2. Gcorgieva, M. and Shivarova, A. (1991) Nonlinear coefficient of surface waves in a plasma slab, in: V. Palleschi and M. Vaselli (eds.), Proc. XX /CPIG . . pp. 214-215 .

3. Georgi eva, M.N. (1995) Self-action of surface waves in plasma waveguides, Ph.D. Thesis, Sofia.

Acknowledgement

The work is within a co-operation programme supported by DFG-Germany (project 436 BUL ll3/7410(S)).

Page 516: Advanced Technologies Based on Wave and Beam Generated Plasmas

MODULATION INSTABILITIES OF SURFACE-WAVE SUSTAINED DISCHARGES

M. BOKE, D. GROZEV: G. HIMMEL, K. KIROv: H. SCHLUTER AND A. SIDVAROVA" Experimental Physics 11, Ruhr-University, D-44780 Bochum, Germany "Sofia University, Faculty of Physics, BG-1164 Sofia, Bulgaria

It has been shown recently [1, 2] that surface wave sustained discharges (SWSDs) are sensitive to perturbations of the applied signal power P and of the gas pressure p. Such disturbances could blow up in excitation of instabilities in the discharge. In the first case a development of modulation instability [1] has been observed whereas in the second case the discharge self-{)rganizes itself in an unstable fllamentary structure [2]. Experiments concerning the first case and directed to determining conditions which lead to development of modulation instabilities in SWSDs are reported here.

The discharge (Ar gas, p = 0.1-0.8 Torr) is sustained by two waves at frequencies of about 133 MHz with a frequency difference lfi -.til between them varied from 1 kHz to 1 MHz. Discharge maintenance by two signals causes perturbations of the parameters of the created plasma at the beat frequency lfi - .fil. The modulation index m can be varied by changing the ratio P1 I P2 of the power applied at the two frequencies. The time (t) variation at different position (z) along the discharge length (read from the wave launcher) of the envelope of the high-frequency signal detected by an antenna, is registrated.

-2 -1 0 I 2 03r4 0.2

0.1

0 .0~

-10 -5 0 5

0 .3

.o .2

0 . 1

5 em

0 .0 '------~-------'

0.3

0.2

0.1 D 0 -~1 0 0 I 0

Figure 1. Case of modulation stability at moderate (on the left-hand side) and strong (on the right-hand side) initial modulation (amplitudes in arb. units vrs. t in f1S ).

505

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 505-506. © 1999 Kluwer Academic Publishers.

Page 517: Advanced Technologies Based on Wave and Beam Generated Plasmas

506

Two types of results are given in Figures I and 2. In the first case (Figure 1), the initial perturbation decays which is an indication for modulation stability of the discharge. In the second case (Figure 2). the modulation index of the perturbation increases which points out a regime of a modulation instability of the discharge. Variations of the modulation index along the discharge length corresponding to cases of modulation stability and instability are given in Figure (3a) and (3b), respectively.

The obtained exfierimental data show that the SWSDs are modulationally unstable at p ~ I Torr and at frequencies below !max = 30-50 kHz. !max lowers with increasing p. The development of the modulation instability depends on the level of the initial modulation.

- 50 0.2 ,---- -----,

0 50

(J . l ! ·1

_ 5 em 1 O . O L-----------------~~~

0 . 2 r·------ ----­,

0 .1

65 em

- 50 0 5 0

:J\ (\ (\ (\ (1 o.q V V V V j 0.0 l _________ _____ 5_C.J!I

Ftgure 2. Case of modulation instability at very low (on the left-hand side) and strong (on the right-hand side) initial modulation (amplitudes in arb. units vrs. 1 in~)-

"' . :_·_._.]

. . . ... I • ' • . • . • ~. • •.. . . ~

0.20.

01 0

• . . I

0 00 0~-----::2::-0 --'-~40;:----'-' ~6{) __!

z, cm (b) Figure 3. Modulation indexes vrs. distance from the wave launcher at Vi:/11: 750kHz, P,IP,: 10 dB in (a) and

Vi:/11: 24kHz, NP1 > 20 dB in (b).

Acknowledgments. This work is in co-operation programmes supported by DFG­project 436 BUL-113174/0, NATO LG-971240, Volkswagen Foundation project 1-72824. Support by SFB (Germany) and NFSR (Bulgaria) is also acknowledged. References [! J Grozev, D., Kirov, K., Makasheva, K. and Shivarova, A ( 1997) Modulation instability in pulsed surface

wave sustained discharges, IEEE Trans. Plasma Set . 3, 415-422. [2) Djennanova, N., Grozev, D. , Kirov, K .. Shivarova, A and Tsvetkov, Ts. (1997) Filamentation of surface

wave sustained discharges, XXIIIICPIG. M.C.Bordage and AGleizes (eds.), Univ. Paul Sabatier, Toulouse, vol.2, pp.l92-l93.

Page 518: Advanced Technologies Based on Wave and Beam Generated Plasmas

CORRELATION AND SPECTRUM ANALYSIS OF INSTABILITIES IN WA VEGUIDED DISCHARGES

N. DJERMANOVA AND K. KIROV Sofia University, Faculty of Physics, BG-1164 Sofia, Bulgaria

Waveguided discharges sustained by surface wave propagation increasingly find applications to microelectronics, chemistry and other industry branches. Different types of plasmas can be produced in these discharges in the wide gas pressure range of their existence. Both the long columns of quiet and stable plasmas at low pressure and the plasma torches at high pressure provide possibilities for applications. However, the intermediate pressure range show development of unstable structures of the type of filaments [1 , 2). The filaments change in number. shape, ·width, length and usually rotate. An evaluation of the instability characteristics based on methods of correlation and spectrum analysis is the aim of this study.

The macroscopic structures of filament-type are observed [l. 2] under conditions nonstationary with respect to the gas pressure. The discharge is produced by applying a powerful cw signal (P < 200 W. 2.45 GHz) to a surfatron-surfaguide device. The discharge is in Ar gas: the gas pressure changes from 1 Torr till I Atm. The total light emission of the plasma is measured by two photomultipliers to which signals from two collimators, set in a plane perpendicular to the tube axis and displaced at an angle L'1<p=10°, are applied. The signals are registrated (I, 2] by two-channel oscilloscope. The experimental data reflect irregular. stochastic, behaviour of azimuthally rotating plasma filaments . Their number as well as velocity of rotation change with the increase of the gas pressure and flow.

The numerical treatment of the two signals X(t,\jf), Y(t,\jf+L'1\jf) performed here includes: (i) determination of the auto- and cross-correlation functions:

1 T } T

C.cxJt) = T f X(8)X(8- 1)aB. Cr.r, (1:) = T f Y(8)Y(8- 1)aB (I) 0 0

l T l T

C x.r, h) = T f X(8)Y(8 - 't)d8 . Cr.x, ( 1:) = T f Y(8)X(8- 1:~ (2) 0 ()

respectively, and (ii) fast Fourier transformation (FIT) of the correlation functions resulting in determination of the corresponding spectral densities:

+OC· -+-00

Gx x,(co) = f Crx,('t)e""t = S1(co) . Gr.r,(co) = f Cr.r,('t)eiwt = Sico) (3)

507

H. Schluter and A. Shivarova (eds.) , Advanced Technologies Based on Wave and Beam Generated Plasmas, 507- 508. © 1999 Kluwer Academic Publishers.

Page 519: Advanced Technologies Based on Wave and Beam Generated Plasmas

508

(4a) - ('/">

The results are shown in Figure l. Now the parameters of the rotating structure of azimuthally situated filaments

n = ~{N exp(- iO.t +imw) +c. c.} (5)

can be estimated. The number m of the filaments and the angular frequency n of their rotation is related to the quantities introduced in (3), (4) through: m = <pI .1\jl ,

~o =mmnro I m : the linear velocity of rotation is V = 2rcr~/~.

0.4 -,!..0 J: u ~0

-2.0 0.2

0 ~~ n n R nr\ r 1\(a) / " ',. , ",

I~ v v v \} :,:, ---,---,.------,40(d)

o.o o .4 t1 me, s 0.8 (b) 360 +--------1-;ft----\-7'-----t\-- r'-----1----1.6

1.2 0

:e ' (2)

""" u 0 .4 /

/ _-( I )

-360

~(c) ts----+--±oo---+-------!o f, Hz (e)

Figure 1. Correlation functions (c) and amplitudes (d) and phase (c) of the spectrum densities of the signals from the photomultipliers shown in (a) and (h).

The evaluation presented in Figure I gives: number of filaments m = 6; frequency of rotation DJ2n ~ 1.2 Hz and linear velocity V"" 6 cm.s-1•

Acknowledgments. Discussions with Prof. A. Shivarova are highly acknowledged. This work is supported by NATO LG-971240 and the National Foundation for Scientific Research in Bulgaria. References (I) Djerrnanova, N., Gmzev. D., Kirov. K .. Shivarova. A. and Tsvotkov. Ts. ( 1997) Filamentation of surface

wave sustained discharges, XXJJJJCPJG, M.C.Bordage and A.Gieizcs (eds), Univ. Paul Sabatier, Toulouse, vol.2, pp.l92-193.

(2) Djerrnanova. N .. Grozev. D .. Kirov. K. and Shivarova. A. ( 1997) Formation of unstahle structures in surface wave sustained discharges. Jrd Int. Workshop on fv!Icrowave Drschar>;es: hl mdamenta/s and Applrcatrons. Fontevraud, Ahstracts: p.l3.

Page 520: Advanced Technologies Based on Wave and Beam Generated Plasmas

PULSED SURFACE WAVE SUSTAINED DISCHARGES: MODELLING

K. KIROV Faculty of Physics, Sofia University, BG -1164 Sofia, Bulgaria

Recent actiVIties in experiments [ l, 2) on pulsed surface wave (SW) sustained discharges and recent development of the theory [3) of stationary discharges in a diffusion regime are extended to modelling SW discharge production in pulsed regime operation.

A planar (lxl<d) discharge produced in a diffusion regime by a symmetric (Ex. By. E, :;t:O) SW propagation under conditions of strong penetration of the SW field into the plasma and weak collisions (v<ro). is modelled. (Here, z is the axial co-ordinate, vis the electron-neutral collision frequency , ro is the carrier wave frequency.) The initial set of equations is as given in [2). Based on experimental data [1, 2) , the time derivative in the particle balance equation is kept. The set of equation numerically solved by using an explicit scheme is:

1 d( 2 ) lu(t,I;=O)N(t,/;=0) - lN(~.r/' N('t , ):)>Nc-- (l) Nu dE, N u = -13 :::::> u(t,i;) = N2{'t,i;) e , .., ··-

0, N{1:,/;) < Nmw

aN o2N -8 --2 -a(us -l)N = 0

't a;; (2)

where N = n,/n, and u = I£J j E,! are normalised density and maintenance field intensity

(with nc and Erh being the critical density and the Schottky threshold field, respectively)

and 1; = .Jv,-o/DAz, 1: = vt:Jt are normalised co-ordinate and time; a= D)v,0 (7t/2dt, 13 = (1tvjdro ).JDA/vm and s = 1.3 for Ar gas is taken: DA and v,o are the ambipolar

diffusion coefficient and the slowly varying function in the ionisation frequency. Two cases of discharge production are treated.

(i) Pulses without CW Background. The initial and boundary conditions are,

respectively: u(c=O,~)=O. N(t=O,~)=O and u{1:,/; = o) = u0 cx~ -( 't -~{ym }) , N(1:,;; =0) = N0 ex~ -a(1: -[us(O,;; =O)dO)). The obtained results are given in Figure l.

(ii) Pulses 5·:uperimposed on a CW Background. The pulsed discharge starts from a cw discharge of length L 0 . The initial and boundary conditions are, respectively :

509

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 509-510. © 1999 Kluwer Academic Publishers.

Page 521: Advanced Technologies Based on Wave and Beam Generated Plasmas

510

J--u

'""" 0

(a) (b) Figure 1. Results for the normalized electric field (a) and normalized plasma density (b) in case (i).

(a) (b) f<igure 2. Results for the normalized electric field (a) and normalized plasma density (h) in case (11).

It can be concluded that longitudinal diffusion drives the discharge production. The ionisation front velocity is about 0.1 crn!IJ.S and 0.4 cm/IJ.S, respectively, in cases (i)

and (ii). Acknowledgments. Discussions with Prof. A. Shivarova arc highly acknowledged. This work is supported by Volkswagen Foundation (project nO I/72824) and the National Foundation for Scientific Research in Bulgaria.

References: 111 Grozev. D .. Kirov. K.. Maka~heva. K. and Shivarova. A ( 1997) Surface wave sustained discharges in pulsed

regime operation, XXIII !CPIU. M.C. Bordage and A Gleizes (eds.), Univ. Paul. Sabatier, Toulouse, vol. L pp.l56-157

121 Grozev. D., Kirov. K. and Shivarova. A ( 1998) Pulsed waveguided discharges, J rd Int. Workshop on "Microwave Discharges: f<lmdamentals and Applications" (Fontevraud, 1997), J. Marec ( ed. ).

131 Aliev. Yu. M , Grosse. S., Schluter, H. and Shivarova. A. (1996) The nonlinearity: Ba~is for self-consistent modeling ofsurtacc wave produced plasmas in diffusion controlled regimes. Phys. Plasmas 3, 3 162-3175.

Page 522: Advanced Technologies Based on Wave and Beam Generated Plasmas

Pulsed discharges produced by surface waves in 3-cm wavelength band in the air

O.A. IVANOV, V.A. KOLDANOV Institute of Applied Physics: 46, Ulyanov street, N. Novgorod, 603600, Russia.

Discharges produced by swface waves (SW) are the subject of many investigations in terms of their wide use in plasma chemistry, chemical analysis etc( I]. Nowadays stationary SW discharges at operating frequencies up to lO GHz are well investigated and have self-consistent solutions.

This paper is devoted to the investigation of discharges in 3cm wavelength band in the air by a new type of launcher (based on E0rmode)(2]. The experiments are performed using pulse duration 't = I0-20 1-LS. pulse power P=50 kW. Special attention is given to the dynamic of movement of ionization front. Measurements of the dependence of the velocity of ionization front on pressure is presented ( fig I ).

,J07 arnVS ~ 15

10

IfF

5

0 0.0 0.2 0.4 0.6 0.8

0.1 p, Torr 1.0 p, Tar

Fig.l. Dependence of the ionization front velocity on pressure ( for various distances from the launcher): 1-10 em~ 2-15 em; 3-30 em

Fig. 2 Dependence of the electron density in SW discharges on pressure.

The plasma density in the expanding part of the tube was measured by an open quasi­optical 8mm wavelength band resonator. The investigations of plasma decay show that the electron density in discharge exceeds the critical concentration for the operating frequency for more than an order ( fig. 2 ).

Self-consistent modeling of a discharge with the help of Finite Difference Time Domain method (3] is conducted for understanding, prediction and possibility to control these discharges. These preview results show that the simplest plasma numerical model (I) gives the qualitative agreement with the experimental data.

d] e 2 - -: -=-N -E-v ·; dt m, • m ( l),

dN. - 2 dt=(v,(IEI)-va)·N. -a-N, +Da ·l'!.N,

where j is the current density, N, is the electron density, dependence ionization

frequency V;~E~ is taken from [4].

511

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 511-512. © 1999 Kluwer Academic Publishers.

Page 523: Advanced Technologies Based on Wave and Beam Generated Plasmas

512

l V, cm/s

3 .1 0 7 1

10 , l ~-.--.-r-)-1.0 T p , orr 0. 5 3.0

Fig. 3 Dependence of the ionization front velocity on pressure (calculation).

The following results of calculations by FDTD method are given.

At the first hand, it's dependence of the ionization front velocity on the pressure (fig.3 ) for given tube, insert power and starting plasma density.

Comparison of the experimental and calculated results show that the calculation gives the higher value for pressure corresponding maximum of front velosity. So we can say that under the low pressure the mechanism of non-local heating of electrons must be taken into account.

At the second hand. it's structure of Ez component of the field in the near front area (fig. 4, 5). One can see that a strong electric field penetrates through the plasma into neutral gas region (fig. 4).

1o" N

108

'

~z

10'

0 1. 0 2.0 3.0 4.0 z, em

Fig. 4 Dependence of the electron density and electric field Ez on z (r=O ). p=0.8 Torr, 11=10 ns. 11=20 ns. (calculation)

10" . N ,

2 1 o'

1 o'

101 -,

0.4 0 .8 r, em

Fig. 5 Dependence of the electron density and electric field Ez on r. p=0.8 Torr, 1=20 ns. Distance from the launcher L= I em.

The sharp peak of electric field is observed. This peak is explained by plasma resonance. which appears when electron density exceeds the critical density. So we can say that mechanism of breakdown wave (5] is most important for movement of the ionization front.

References: l. Moisan M., Ferreira C.M. , Haylaoui Y. , J. Phis. D.: Appl. Phys.,l991. v. 24, 1025. 2. A.L. Vikharev et al.. Proceeding of the International Workshop, "Strong Microwave in

Plasmas", 1997, v. 1, 395. 3. A Taflove, ME Brodwin, IEEE Trans. Microwave Theory Tech. MTT-23, 623 , 1975. 4. A.L. Vikharev. O.A. Ivanov et al. , Sov. J. Plasma Physics, 1986. v.12, 870. 5. Bohle A. , Ivanov 0 .. et al., J. Phys.D.: Appl. Phys., 1996, v. 29, 396.

Page 524: Advanced Technologies Based on Wave and Beam Generated Plasmas

PULSED MICROWAVE DISCHARGE IN NITROGEN: DIAGNOSTICS AND MODELING

M. l3AEVA. X. LUO, 13. PFELZER, .J. UHLENBUSCH Institut for Laser- und Plasmaphysik, Heinrich-Heine- Universitiit-Dusseldorf Universitiitsstr. 1, 40225 Diisseldorf, Germany

Microwave excited discharges find an increasing number of applications in the industrial plasma processing technologies. They are often preferred because of lack of electrodes and the comparatively high density of charge carriers and radicals. The microwave energy is coupled into the discharge volume by a dielectric window. It is commonly observed that the discharge tends to drift in direction to the microwave source, until a hot layer of plasma is finally built up at the coupling window. The hot plasma layer prevents the further microwave energy supply. It can further result in release of window material which is of interest for the investigation of plasma-wall interactions. In a pulsed operation this '' window-burning" effect can be avoided choosing the pulse duration properly.

It was the aim of this work to study a low pressure microwave excited discharge in a pulsed operation. Pulsed microwave power (2.45 GHz) gener­ated by a magnetron is coupled to a cylindrical cavity of 9 em i.d. through a quartz glass window of lOnun thickness, which provides the separation from the atmospheric pressure. A typicallcn!!;th of the cylindrical discharge chamber is "'8 em. Using a home-made switched power supply, the pulse duration can be changed from 50 {tS to cw, the repetition frequency from DC up to 10 kHz, and the peak power from 0 up to 6 kW with a rise t ime ""20 J-lS. The power absorbed (see Fig.l, dashed) in the discharge is monitored by a simultancom; measurement of the forwardly directed and the reflected power using two HP423B crystal detectors connected with the directional couplers. The time resolved electron number density (Fig.l , solid) is determined using an HC:--J (>-=337 J-lm) laser interferometer . The microwave discharge is positioned into a Fabry Perot interferometric setup. The optical path change clue to the discharge onset is measured using a Schottky barrier diode. The electron density and as a matter of fact the index of reflection has a spatial profile, which cannot be resolved by the in-

513

H. Schluter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 513-514. © 1999 Kluwer Academic Publishers.

Page 525: Advanced Technologies Based on Wave and Beam Generated Plasmas

514

terferometer. Therefore a relative electron number density profile has been taken from the ion saturation current of a Langmuir probe, which was moved through the plasma.

A simultaneous solution of the Boltzmann equation for the electrons and the master equation:; for the vibrational levels for the heavy particles in nitrogen is carried out to describe the electron and molecular kinetics. The e-V. V-V and V-T exchange processes. wall interactions as well as the process of dissociation, atom reassociation and diffusion arc taken into account. The reduced maintenance electric field is determined from the energy balance equation vvith values of the absorbed microwave power and electron density provided from the experiment. The model is applied to pure nitrogen under the following working conditions: gas pressure 5 mbar , gas temperature 500 K, pulse duration ""'200 ps , repetition frequency 10Hz, maximal absorbed microwave power ""'700 W. The calculated vibrational distribution function at several instants arc shown in Fig.2. The proper experimental points following from CARS measurements of the vibrational excited molecules are presented, too .

Figure 1. l\leasured electron number density and absorbed microwave power in N2 at 5 mbar gas pressure.

~­-u-la0(0 1 t)

~- 80~s , ····)·· ·· :;l00 1JS -·- J60~JS

I • 1ms E..pe<tmenc

Vi brat iOilal quantum number . V

Figure 2. 'v"ibratioual clistribution functions in :\12 at several instants.

Page 526: Advanced Technologies Based on Wave and Beam Generated Plasmas

The Turning Back and the Turning Forward of the Wave Number in Surface Wave Propagation

J. Henriques and F.M. Dias Centro de Fisica dos Plasmas - Instituto Superior Tecnico 1 096 Lisboa Codex, Portugal

1. Introduction

It is well known that the wave number of the TM mode of the azimuthally symmetric surface wave commonly used to sustain plasmas passes through a maximum close to the plasma end, the so called turning back. In addition, it is theoretically predicted that radially inhomogeneous plasmas will also feature the reverse situation, i.e., a turning forward, at a smaller average electron density. The turning back [ 1] is generally referred as being the result of collisional effects since a collisionless approach looking only for real solutions to the dispersion equation hides this characteristic. As for the turning forward, to our knowledge there was not yet a convincing explanation [2].

0.1 10 100 a./flo

0.7

.. 0.8

! 0.5 e

0.4

0.3

0.2

0.1

0.1 10 11/llo

100

0.0

o.a 0.7

0.1

-o -Obod<

-··- 0.1

- · -·O.tt.dc ---1.0

.... ··1 .0t.dl:

10' 1o'

Figure 1. Attenuation and phase diagrams (/Jo is the vacuum wave number) and power flow (Eo is the electric field at the axis) for three pairs of two propagation modes with different values of the effective electron-neutral collision, vot:foo=O, 0.1 and I at a frequency of 500 'MHz. Tube 22.5-25-48 mm, ed=4.52. The minus sign means a backward wave.

At rolror=:0.8 (weak point in the collisionless diagram figure I) the two waves exchange wave numbers and attenuation coefficients when the inhomogeneity parameter f.l is about 0.80 (we assume a Bessel radial density profile, J0 (2.405 f.Lf/a), r is the radial position and a is the tube radius). Since the wave number/attenuation coefficient were merging or crossing prior to the exchange, they will present a turning forward thereafter.

515

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 515-516. © 1999 Kluwer Academic Publishers.

Page 527: Advanced Technologies Based on Wave and Beam Generated Plasmas

516

1.8 1.G '

1 .•

1.2

~79 ' ' ~=0.79 1 .•

' ' ',+ 1.2 '

·, I

!. o.a 8

G. · ..... "":J .. ~ ,/

iaa~~-~-~-~=~-~-~-'·~--------~ 0.8 0.6

o.• 0.2 0.2

0.1 10 100 0.1 10 100

"/flo

1.8 1.6

1 .• ' ' ' ~=0.80 1 .• \ ' ' ~=0.80

' ' 1.2 1.2 ' + ' ' '

1

I ll o.a \'

I ,, io.s 1\

'I a

·, ,' ' .,:

:...-.~-::..::...:-~ . \ 0.8 ' 0.6

) o .• -' ' o .•

0.2 .......... " ,,- .,

0.2

0 0.1 10 100 0.1 10 100

otf}o PI flo

Figure 2. Phase and attenuation diagrams of two propagation modes for different radial density profiles j.l=0.79 and 0.80, with effective electron-neutral collision v.a/Cil=0.41 at a frequency of SOO MHz. Tube 22.5-25-48 mm, E,F4.52. The minus sign means a backward wave and the horizontal parallel lines the resonance zone.

2. Conclusions

In this article we have showed that 1 - What is usually represented in phase diagram in collisionless case is indeed the

merging of the real parts of the phase diagrams of two different waves. 2 - The turning back is always present and will be found, even in the collisionless case,

provided the dispersion equation is solved in complex algebra. 3 - The turning forward is the result of the jump between dispersion characteristics of

the two waves mentioned above. The average electron density is then very close to the one where the attenuation diagram under collisionless conditions presents a bifurcation (weak point).

3. References

I. Margot, I. and Moisan, M. (1993) Characteristics of surface-wave propagation in dissipative cylindrical plasma columns, J. Plasma Phys. 49, 357-374.

2. Grosse, S., Georgieva-Grosse, M., Ghanashev, I. and SchlUter, M. (1997) Influence of transversal plasma density non-uniformity and collisions on surface wave propagation, J. Electromagnetic

Waves and Appl. 11, 609-632.

Page 528: Advanced Technologies Based on Wave and Beam Generated Plasmas

EXPERIMENTAL INDICATIONS FOR THE EXISTENCE OF PLASMA RESONANCES IN SURFACE WAVE DISCHARGES

S. GROSSE 70839 Gerlingen, Meterstrafte 412, Germany

1. Motivation

The type of heating mechanism is one of the most fundamental information necessary to classify a discharge. Since a few years exist theories with the result that in surface wave (SW) sustained discharges resonant heating via plasmons can exceed the Joule heating [1]. Thus, experimental investigations at the end of a SW plasma column were performed to check these predictions. The results presented are a few taken from [2].

The experimental parameters are: exciting frequency: 2.45 GHz; power: a few 10 W, CW; gas: argon between 0.25 Torr and 4 Torr; discharge tube: 15 mm i.d., 17 mrn o.d., Duran glass.

All measurements were performed at the Institute of Experimental Physics II, Ruhr­University Bochum, Germany.

2. £,-measurements

The direct prove of plasma resonances can get obtained via measuring the radial profile of the radial electric field component. The measurements - performed by Dr. A. Kostrov, Nijninovgorod, D. Grozev, University of Sofia and the author- are performed, inserting an antenna radially into the plasma. The field amplitude obtained is shown in figure I.

60 mrn from the end of the plasma column the maximum of E, is at the boundary of the discharge tube: no resonance can be observed. With decreasing distance from the end the average electron density is axially decreasing and at the boundary the condition cope = co gets fulfilled. With a further approach to the end of the column the plasma resonance density (cope = co) is found closer at the axis of the discharge and consequently a clear maximum of E, arises inside the plasma.

Due to the length of the antenna (2 mrn) the expected sharp resonance leads to a broad peak.

For these results we assume that mainly the electric field and not the potential is measured. In any case the measured distribution confirms the presence of a maximum of the radial electric field component inside the plasma and with this plasma resonances.

517 H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 517-518. © 1999 Kluwer Academic Publishers.

Page 529: Advanced Technologies Based on Wave and Beam Generated Plasmas

518

E E N

40

20

5 10 r/mm

Figure I. Topographical plot of£, for 0.5 Torr. The dashed line indicates roughly E'".,(r) where COpe = <•l is expected.

3. Probe measurements

10 _,

::::s C\'l 1 0 -l -..._c

10 -l

10 _, 0

j ...

: ,' ... " · .. I I " .

;t ', I J '\' ,

' ' ,, , . '

z = 4.0cm z = 2.0cm z = 1.0cm z = 0.5cm

..... ..__.. ~ ..... :. ~ .. "'~:' .. \ \ \ '\

5 10 u/eV

Figure 2. EEDFs at 0.5 Torr on the axis at different distances !rom the end of the discharge.

The aim of the probe diagnostics is to obtain spatially resolved electron energy distribution functions (EEDF). The following results are obtained (Figure 2):

• At 0.5 Torr an EEDF is observed which at the discharge end clearly deviates from that at 4 em away from the end. Ibe shape (especially the bump around 10 eV) can't be explained with inelastic energy losses or Joule heating.

• Numerical modeling taking resonance heating into account (1,2] coincides with this result: It showes an increase of high energy electrons around 10 eV compared to EEDFs with pure Joule heating.

4. Summary

• Measurements of the £,-field show in a direct way the existence of plasma resonances.

• Probe diagnostics display at low pressures and low average electron densities (confirmed by MW interferometery) EEDFs which can't be explained with inelastic energy losses and Joule heating. An additional heating mechanism -obviously resonance absorption - is responsible.

5. References

I. Alicv, Yu.M .. Maximov, AV .. Kortshagcn , U, Schlliter, H. , and Shivarova A. (1995) Modeling of microwave discharges in the presence of plasma resonances, Phys Rev. E 51 , 6091

2. Grosse, S. (1995) Analysis of a microwave-excited surface wave plasma in argon. Ph.D. 71/esis, Ruhr­Universitiit Bochum, Gemmny

Page 530: Advanced Technologies Based on Wave and Beam Generated Plasmas

TEST SURFACE WAVES AS A DIAGNOSTICS TOOL FOR SWSD

J. BERNDT,' D. GROZEV,2 H. SCHLUTER' AND A. SillVAROVN 1 Experimental Physics II, Ruhr University, D-44 780 Bochum, Germany

2 Faculty of"Physics, Sofia Universi~v. BG-I/64 Sofia, Bulgaria

During the years, an indirect method has been developed as a convenient and easily

operated diagnostics tool for surface wave sustained discharges (SWSDs): SW

dispersion properties arc used for detennining the plasma parameters. An extension of

the method, introducing test surface waves (TSWs) in the diagnostics, is proposed

here. In a way, it is possible to gather dispersion data in a wide frequency range, and

this can be e>.:ploited to increase the diagnostics accuracy and capacity.

The experiments are carried out in He and Ar gases at pressures 50- 1000 mTorr.

The frequency of the SW sustaining the discharge (SSW) is usually fixed at 166 MHz.

TSWs with frequencies in the range of 100 - 500 MHz are launched using the same

device (Figure 1), but the power is reduced to at least 30 dB below the power of the

SSW. The signal, carried by the TSW along the discharge, is picked-up by a movable

antenna and its amplitude and phase arc measured by using a network analyzer.

Experimental results obtained in a low-pressure Ar discharge are shown in Figure

2. The TSW propagates so long as the plasma density is higher than some frequency

dependent critical value. The wave is progressively damped, so its reflection, if any,

from the region with density less than the critical one can be neglected. TSWs

launched at frequencies below the SSW one, reach the end of the discharge retaining

some more power which could be partially reflected if no matching is provided.

Metal enclosure Sud<ttron 0

iii" c::. OJ -20 ., .e Q.

~ -40

0

:;;' -10 ~

... "

-20 E:

-30 0 20 40 60 z[cmj

/<lgu re /. Experilll,·ntal s~l-up. f-lg 11re 2. Amplitudes and phases of TSWs.

519

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 519- 520.

© 1999 Kluwer Academic Publishers.

Page 531: Advanced Technologies Based on Wave and Beam Generated Plasmas

520

1 -5

~ -10

0

F1gure 3.

10 20 30 ziR

TSW proparation : numerical modelling.

The interpretation of the experimental data essentially depends on the SW dispersion relation used. The simplest one - for homogeneous plasmas - has been commonly chosen. However, it was shown that higher values of the mean density are deduced when plasma radial inhomogeneity is taken into account [ 1].

SW dispersion properties in axially inhomogeneous plasmas have also been studied recently [2,3] . Making numerical simulations of the SW propagation along the discharge, the main results are clear­ly revealed and enhanced diagnostic ability of the method is demonstrated [3]. Figure 3 shows some of the results. Two cases of inhomogeneity are con­sidered: i) radial, with a density in the

form n(r) =nolo(~~) . 0::: ~::: 2.4: and, ii) axial. with n(z) =no (I+ Lz/R), L = ~:. For simplicity, the plasma axial density gradient is supposed to be constant and the value of ~ is also fixed.

Each SW field component has its own propagation constant when the plasma is axially inhomogeneous. The effect on the wavelength is negligible, but the attenuation can be significantly affected. In Figure 3 results for the E, field, the major one outside the plasma, are shown. In plasmas with axial inhomogeneity, the damping of the SWs is weaker compared to that in homogeneous plasmas. Just the reverse, the radial in­homogeneity causes an increase of the attenuation. The effect is frequency dependent. Low-frequency TSWs arc not sensitive to the radial density profile. Their attenuation mainly depends on the value of the effective collision frequency for momentum transfer. For the high-frequency TSW it is opposite: the attenuation depends on the value of the parameter ~ and not on the collisions. Therefore by using TSWs in a large frequency range, the effects influencing their dispersion can be separated and, thus, the plasma parameters could be deduced more accurately.

Acknowledgments. This work is in a co-operation program supported by DFG-project 436 BUL-113174/0. Support by SFB (Germany) and NFSR (Bulgaria) is also acknowledged. References [I) Darchicourt. R .. Pasqtt.'l'>. S .. H<lisso-l.ap<llk l' and :-dar"c. J. ( 1988) Influence of the radial electron

density profile on th~ dcknnlllation of th'' c·haracteristics of surtitce-wave-produced discharges, J. Phys. D: Appl. Phys. 21. 293-300

121 Aliev, Yu. M .. Bemdt. .1.. Sc·hliiter II. and Shivarnva A. ( 1995) Theory of electromagnetic surface wave propagation in inhomogeneous plasmas, J ElectromagnetJC IYaves and Applications l, 697-733.

(3) Berndt, J. Grozev. D .. Sc·hliilcr. II. and Shivaro\·a. A (in proparation) Studies on surface wave dispersion in discharges produ~c:d h~ · ~urLIL'I.! wa\'cs in \'it!w nf diagnosti~.:s.

Page 532: Advanced Technologies Based on Wave and Beam Generated Plasmas

OPTICAL SPECTROSCOPY DIAGNOSTICS OF WA VEGUIDED DISCHARGES IN ANON-STATIONARY REGIME

A. ASSENOV A, V. GAGOV, K. KIROV, I. KOLEV A, M. MlliOV A Faculty of Physics, Sofia University, BG -1164 Sofia, Bulgaria

As it has been shown recently [ 1], the evolution - with increasing gas pressure - in the maintenance of surface wave sustained discharges (SWSDs) passes through formation of filaments. Interest in studying this stage of the discharge is justified by both fundamental and technological aspects. Emission spectroscopy diagnostics of SWSDs at the stage of their filamentation, including development of method for determination of gas temperature Tg. electron temperature T. and plasma density n, is the purpose of the present study.

A SWSD created in Argas under stationary conditions with respect to the applied signal (microwave power P = 140 W at frequency 2.45 GHz) and nonstationary conditions with respect to the gas pressure p is studied. The plasma parameters obtained concern three of the stages [1 J in the self-organization of the discharge: (i) stationary discharge (measurements at p = 11 Torr): (ii) stage of rotating filaments (p = (40 - 110) Torr) and, (iii) stage of unmovable filaments (measurements at p = 140 Torr). The experimental set-up includes spectrograph and Fabry-Perot interferometer positioned in it. The signal is taken by an optical cable. A CCD-line (2048 pixels, l3x13 J.Lm) completed with amplifier, analogue-digital converter and microcontroller is used for registration of both the spectra and the interferogram of the given spectral line. Interferograms recorded at stage {i) and (ii) of the discharge evolution are shown in Figure l. The calibration of the pixel scale of the registered signal into wavelengths is done according to [2]. For accumulating experimental data, 10 interferograms with time resolution 200 ms are taken for a given line.

250 1696.5 nm I 250

200

Jlllill~ 200

£;- 150 2:- 150

"' -~ c: Q) 100 .'!! 100 :£ E

50 50

900 1000 1100 1200 1300 900 1300

Pixels Pixels

00 ~ Figure 1. Recorded interferograms of the A = 696.5 run- line in the case of stationary discharge (a) and rotating

filaments (b).

521

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plosmas, 521- 522. © 1999 Kluwer Academic Publishers.

Page 533: Advanced Technologies Based on Wave and Beam Generated Plasmas

522

Profiles of three Ar lines from the 3p54p - 3p54s transitions are measured. The half-width of the Lorentzian 11vL and Gaussian components .1Vr. are determined from the half-width of the registered profiles normalized to an unit area and the distance between the inflection points. The obtained widths 11 v L and .1 v c are used as a first approximation for fitting the experimental profile with the Voigt function according to the Marquardt - Levenberg method. The apparatus effects arc excluded. The natural broadening is negligible compared to that due to Doppler, Van-der Waals and Stark effects. 7~ is obtained from the Doppler broadening . The Vander Waals broadening is calculated according to [3). n. is obtained from the Stark broadening (deduced from Griem's calculations). Assuming a partial L TE for the high exited levels, the T. is obtained from the ratio of line (A.= 430 nm)/continuum intensities.

The results for the temperatures obtained in a stationary regime are: T8 = (350 ± 30) K, r. = (0.85 ± 0.02) eV. The measurements do not show changes of r. at the stage of filamentation of the discharge. Tg(p) and n.(p) - dependencies experimentally obtained are given in Figure 2.

1600 , A.~696.5run

1500 1.~706. 7run ~

A.~738 . 3nm ~ 1400 ::> iii 2l 1300

E _,/ !'! 1200

"' / •/ 13, 1100 _,/

/

40 60 80 100 120 140

l 1.~696 5nm g 181 ;.~706 . 7mn

'=._x ::

1 ).=7383nm~//-;" _/...-

~ 12 / .... (ii . /

~ 10 j ///,..

§ a1 // ~ 6 / .

a:; J--.--2~0 ~.a~~60~8()'1(Jo· -r12o~o

pressure [Torr] pressure [Torr]

w ~ Figure 2. Experimental results for neutral temperatuf"e (a) and plasma densities (b).

Acknowledgements The authors thank Prof Shivarova for the useful discussions. The work is within cooperation programs supported by NATO LG-971240 and Volkswagen Foundation (project 1-72824). Partial support from the National Foundation for Scientific Research is also acknowledged.

Reference flf Dzermanova. N., G-rozev, D., Kirov, K. Shivarova, A. and Tsvetkov, Ts. ( 1997) Filamentations of Surt'ace

Wave Sustained Discharges. 23rd ICPIC Toulous, lJniv. Paul Salatic, Bordage, M. C. and Glcites, ,\, (eds), v.2 , pp. 192-193

[2] Gagov, B.S. , Dcchev. CU. and Paskalev, K.. An automatized FP interti::rometer tor Du and Hu diagnostic in Tokamak. Ann. de L'univ. de Sofia 81 ( 1993} 165-174

f3f Koleva, I. ( 1997) PhD Thessis, Sofia University

Page 534: Advanced Technologies Based on Wave and Beam Generated Plasmas

A NEW PASSIVE COMPENSATION TECHNIQUE FOR PROBES IN OSCILLATING ELECTRIC FIELDS

S. GROSSE and M.N. GEORGIEVA-GROSSE 70839 Gerlingen. Meterstrafie 412. Germany

1. Motivation

Current collecting probes in high frequency (HF) plasmas are often entering to the HF­field sustaining the plasma. Due to the non-linear behaviour of their current-voltage characteristic the measured current distribution of the applied DC-voltage is influenced by the superimposed HF-field. The reason is the AC-part of the voltage between the probe and the locally oscillating plasma potential.

This distortion can be avoided via active compensation by applying a HF-voltage of the same frequency, proper amplitude and proper phase (relatively to the field in the plasma) to the probe [1]. Simple active compensation is no more effective if the probe is positioned in a wave field where field components with a phase shift * 0 appear.

An alternative procedure - the passive compensation [e.g. 2]- can be used to increase the HF-impedance of the probe circuit so that the HF voltage drop of the sheath around the probe is negligible. This results in a static potential difference between the probe and the undisturbed plasma.

In contrast to the active compensation, passive compensation is usually more robust and stable.

2. Basis of the new compensation technique

This technique is based on the appropriate arrangement of two phase shifters as given in fig . I . The functions of the phase shifters 2 and I are: - The transformation of the rather high impedance of the open ended line to a low

impedance (high admittance Y;) in the vicinity of the T-junction via phase shifter 2. This phase shift <1>2 has to be adjusted in such a way that at the T -junction this admittance Y_, added to the complex admittance of the measuring circuit Y"' reaches a maximum standing wave ratio, i.e. maximum I Y_, + Ym I.

- The transformation from the low impedance at the T -junction to a high impedance at the place of the probe with the phase shift <1> 1•

Thus, this set-up shifts the high impedance of the open ended line to the probe what is the initial aim of the compensation.

523

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 523-524. © 1999 Kluwer Academic Publishers.

Page 535: Advanced Technologies Based on Wave and Beam Generated Plasmas

524

measuring

circuit

3. Discussion

v. open end

Figure I . Set-up for passive compensation

probe in HF- field

Substituting the open-ended line by a short circuited line, also the DC probe current would get short circuited. But a small capacity in the line would make this technique again practicable.

In order not to reduce the high standing wave ratio, only low loss units and short cable lengths should be used.

The passive compensation technique presented by Ivanov et al. [3] is a special case of the technique proposed here: Using in the probe circuit a movable end of a coaxial line, a fixed impedance Y' with also a rather high standing wave ratio and a variable phase shift <1> 1 is applied. The advantage of his arrangement is to have only one knob to optimise the compensation, but the standing wave ratio and with this the degree of compensation can't be maximised.

Initially developed for MW discharges [4], this technique can also be adopted to probes in high and low frequency excited plasmas. While for MW and even for HF set­ups the phase shift can be performed via variable waveguide lengths, for low frequency systems the same result can get obtained taking low loss delay units as phase shifters. For MW discharges as well as for low frequency excited plasmas experiments (lMHz, [5]) showed a successful performance of the compensation technique described above.

4. References

Braithwaite, N.St.J., Benjamin, N.M.P ., and Allen , J.E. (1987) An electrostatic probe technique for Rf plasma, J. Phys. E. . Sci. lnstrum. 20, I 046

2 God yak, V.A. and Popov, O.A. (1977) Probe diagnostics o f an RF plasma, Sov. Phys. Tech. Phys. 22, 461

3 Ivanov, Yu .A., Lebedev. Yu.A. , and Polak, L.S. (1976) Sov. Phys. Tech. Phys. 21, 830 4 Grosse , S. ( 1995) Analysis of a microwave excited surface wave plasma in argon, Ph .D. Thesis ,

Ruhr- Universitat Bochum, Germany 5 Holtkamp M. (1994) private communications

Page 536: Advanced Technologies Based on Wave and Beam Generated Plasmas

MODELLING OF RADIO FREQUENCY CAPACITIVELY COUPLED PLASMA AT INTERMEDIATE PRESSURES

S. BEREZHNOI, I. KAGANOVICH*, A. BOGAERTS*, R. GIJBELS*. Physical Technical Department, St. Petersburg State Technical University, !95251, Russia * Department of Chemistry, University of Antwerp, Belgium

The interest in radio-frequency (RF) capacitively coupled plasmas (CCP) is stipulated by the wide use of this discharge in plasma aided materials processing industry and analytical chemistry. In this paper the results of modelling of CCP in planar geometry at pressures of several torrs are reported . The steep increase of plasma density in the discharge centre with current density is investigated numerically at a.- y

transition . It has been shown that the main reason for this is the non-locality of y­electron ionization.

For numerical modelling we use the fast modeling procedure described in [I], based on the separation of different temporal and spatial scales. We consider the full current equal to j = j0 sin(wt). In the plasma and in the plasma phase of the sheath

region : n 0 (x) = n;(x), and RF electric field is given by E(x , t) = j(t)/[ebcn(x)]. In the

sheath region in the space charge phase ( n"(x) =0) Poisson equation is to he solved .

The field of ionic space charge is E(x,t) = [47tj 0 /wXcos(wt)-cos(Z)), where Z=Z(x)

is the inverse function of x(Z) which describes the position of plasma-sheath boundary at the RF field phase Z=wt. In the plasma Z=O. The Poisson equation results in the

equation for Z: sin(Z) dZ = e w n(x) . Ion displacement during the RF period is small dx Jo

in comparison with the sheath thickness . And averaged over the RF period the 1on

continuity equation can be used _.5!.._(Vn)=< I > + < IY > , where V,< I >.<I > are dx a a Y

averaged over RF period ion velocity, ionization rates by a. andy electrons respectively . For calculation of the ionization rate we use the Townsend approximation:

I.,(x, t) = n"b"IE(x , t)la.~E(x, t)l/ NJ , where a. is Townsend coefficient. For y-

ionization we have I Y ( x, t) = a.yf'; (L)( I +cos( wt))exp[[ a.( x' , t )dx] [I], where y is the

coefficient of secondary ion-electron emission, r; (L) is the ion nux at the electrode.

We have taken into account the neutral gas heating that results in dependence of neutral gas density on neutral gas temperature T(x). kN, ( x)T( x) = p = const , where p is gas

525

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 525-526. © 1999 Kluwer Academic Publishers.

Page 537: Advanced Technologies Based on Wave and Beam Generated Plasmas

526

pressure. The neutral gas temperature was found from the heat conductivity equation [3] . The fast modelling procedure was validated for different gases in [2] .

We compare results of modelling with experimental data from [31. The background gas is argon, ro= 13.56 *21tMHz, the discharge gap is 6.7cm, p=3torr.

0 > <1i C1> !2 0 > Ql 10' ~ ctl £ (.) (/)

0

1 10

current density, mA!cm 2

'?E 0

>-

10'

-~ 10 11

c <I>

""0 <U

~ 10' ~ Cl.

• 109 U-~~~--~~~~--~~

1 10

current density, mA/cm 2

Figure I . Comparison of calculated discharge voltage and plasma density (solid line) with experimental data (filled circles).

The calculated discharge voltage agrees well with experimental data. However, the steep variation of the rate of plasma density increase at large current densities is absent in contrast to experimental results. The reason for such increase is non-locality of ionization produced by y-electrons [4] . When ionization by y-electrons is spreaded into plasma region it leads to steep increase of plasma density at the plasma-sheath boundary. And plasma density at the discharge center increases too. To check this surmise the calculations were performed with spreaded y-ionization. Spreading was

performed according to In.,n-k<:ai (x) = frgamma (t)exp( -( t- x )/ kAy)dt I kAY, where A.y is

the mean free path of y-electrons for ionization at energy 40eV, k - is some constant determining depth of spreading. Calculations were performed for k= I and k=3 . The resulting plasma density at the discharge center versus current density is shown in figure I . The solid line represents data calculated without spreading. Open squares and circles represent data calculated with spreading fork= I and k=3, respectively. The solid circles represent experimental data.

This work was supported in part by IAEA (contract no . 923!l/ RO/ Regular Budget Fund), INTAS (grant no. 68171-97-M-5379), RFBR (project no. 98-02-16000 and 4391501) and by the University of Antwerp, Belgium (New Research Initiative "Mathematical Simulation of Pulsed, Radio-Frequency and Magnetron Discharges").

References. I. Smirnov A.S ., Tscndin L.D., (1991) IEEE Tmns. Plas/1UJ Sci , 19. 130. 2. Kaganovich l.D .. Tsendin L.D. , Yatsenko N.A., (1994) Sov.Phys.-Tech. Phys .. 39. 1215. 3. Godyak V, Piejak R 1992 Plusrrw Sources Sci. and Techn. I. 36, and personal communication . 4. V.A. God yak and A.S. Khanneh, (1986) IEEE Trans. Plas/1UJ Sci , 14, 2, 112.

Page 538: Advanced Technologies Based on Wave and Beam Generated Plasmas

TWO-DIMENSIONAL MAPPING OF THE ELECTRON ENERGY DISTRIBUTION FUNCTION

B.G. HElL AND U. KORTSHAGEN University of Minnesota Department of Mechanical Engineering 111 Church Street S.E. Minneapolis, MN 55455 U.S.A.

In certain low pressure plasmas, the Non-Local Approximation (NLA) can simplify the solution of the Boltzman equation by describing the elec­tron distribution function (EDF) as being spatially uniform in terms of total electron energy[!). However, the NLA is limited to cases where the energy relaxation length is much larger than the discharge scale[2]. A "hy­brid" approach uses the NLA to calculate the elastic part of the EDF and the space-dependent kinetic equation is used for the high energy part[3).

EDF measurements were performed in an ICP generated in a cylindrical Pyrex chamber with an 14 em i.d. The top of the discharge was bounded by a 2.2 em thick Pyrex plate. A Faraday shielded, one turn induction coil was placed on top of the Pyrex plate. The coil had an inner and outer radius of 11 em and 13 em, respectively. The lower portion of the discharge was bounded by a grounded, movable, perforated sheet metal plate. The distance between the sheet metal plate and the Pyrex plate was 7 em.

A 5 em long, 0.125 mm diameter Langmuir probe was introduced into the discharge through a radial slit in the metal plate. The probe was moved to various z and r positions by a computer controlled mechanism. A matrix of measurements was taken by moving the probe from r = 0 to 12 em (from axis) and z = 1 to 5 em (from the bottom plate) in 1 em steps. 10,000 probe characteristics were averaged at each position using a fast 16 bit A/D card. The EDF was obtained using the Druyvesteyn Formula[4).

Figure 1 shows the spatial independence of the EDF in the elastic region, and the necessity of solving the spatially dependent kinetic equation in the high energy "inelastic" range. Figure 2 shows a comparison between a "hybrid" model and measurements. Even though normalization of both plots is different, the spatial profiles of measured and calculated EDF's are in reasonable agreement.

527

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plosmas, 527-528. © 1999 Kluwer Academic Publishers.

Page 539: Advanced Technologies Based on Wave and Beam Generated Plasmas

528

This work is supported by NSF grant ECS-9713137.

106 0

Non-Local Elastic Region

5 10 15 Total Energy [ e V]

·+i"+ • +

20 25

Figure 1. Electron energy distribution functions measured at the position of lowest (cross) and highest (dot) plasma potential. Argon, 19.4 mTorr, 0.20 kW

r[cm] r[cm]

Figure 2. Measured (left) and calculated (right} EDF for a constant total energy of 17 eV. Measurements were performed at a gas pressure of 19.4 mTorr. The distribution is expressed in units of eV- 312 . The Model was run at 20.0 mTorr, and the EDF is normalized to one. Both figures show Argon at a power of 0.20 kW.

References

1. L. D. Tsedin, Sov. Phys. JETP 39, 805 (1974} 2. U. Kortshagen, C. Busch, and L. D. Tsendin, Plasma Sources Sci. Techno!. 5, 1

(1996) 3. 4.

V. Kolobov and W. N. G. Hitchon;. Phys. Rev . E 52, 972 (1995} M. J. Druyvesteyn, Z. Phys. 64, 7o1 (1930)

Page 540: Advanced Technologies Based on Wave and Beam Generated Plasmas

MODELING OF THE EQffiV ALENT CIRCmT OF INDUCTIVELY COUPLED PLASMA SOURCES

Pascal COLPO, Fran~ois. ROSSI

Joint Research Center, TP 203, Via E. Fermi, I, 21020 Ispra (VA)Jta/y.

This paper presents a method to determine the equivalent circuit of an Inductively Coupled Plasma source (ICP), in relating impedance !Jleasurements to numerical simulation.

Experiments were carried out in a conventional helical Inductive Plasma Source [ 1]. The induction coil is connected to a RF generator (5500 W) via a classical impedance matching network composed of two driven vacuum capacitors. The ICP impedance is primarily measured, as a function of the operation parameters (Argon pressure, electrical power), with a conunercial RF probe (Advanced Energy RF 60) connected in series between the matching network and the coil. This RF probe provides measurements of the complex impedance comprising a real and an imaginary part (resistance and reactance respectively).

Then, ICP source impedance is calculated, modeling the ICP equivalent circuit as a transformer circuit, taking into account simple inductive coupling (figure I). Classically, the transformer model assimilates the coil to a primary circuit and the plasma to a short­circuited secondary circuit of a transformer (figure 1 ).

The modeling results are compared to experimental measurements with X(R) curves in figure 2. This figure shows that the calculated and measured resistances have the same order of magnitude whereas an important difference appears in comparing the reactance. The calculated reactance is three times higher than the measured ones. This difference shows that the transformer model, taking into account simply the inductive coupling, does not describe quantitatively the electrical behavior of the ICP sources. To improve the model, capacitive coupling must be taken into account by determining the parasitic capacitances present between the coil and the plasma. We present below a new approach to determine the right equivalent circuit including the parasitic capacitance, in good agreement with the experimental results.

Inductive coupling

I

~-- -- -j I

Figure 1 : Classical transformer model

H. Schluter and A. Shivarova (eds.),

IOOO I ~deling ~ ~ --u ~ 750 + __ -~~- _: .IOOOW

I I I I • 3000 W 1 I I I

~ 500 +l~ - - - ~ ~ - ~ ~ : - ~ ~ . ~5:0~ ~I 250 - - ~ - i - - "C - . _I ~ _ _ _ I

: ~-JJ:I: -•• + 1 ..

i --r :o:x 1 measurements 0 ' I

0 50 100 150 200 R(nl

Figure 2: Comparison between calculation and measurement results with transformer model

529

Advanced Technologies Based on Wave and Beam Generated Plasmas, 529-530. © 1999 Kluwer Academic Publishers.

Page 541: Advanced Technologies Based on Wave and Beam Generated Plasmas

530

The new equivalent circuit is established using an experimental method based on research works made by Keradec [2] . It is based on measurements of circuit impedance versus frequency with an impedance analyzer. The electrical circuit components are determined by fitting the circuit impedance to the experimental results, centered on the working frequency (13.56 MHz). First, a generic equivalent circuit has been determined in simulating the plasma by a dummy load of the same volume. The measured impedance profile that presents two parallels and a series resonance frequencies, is far from the classical impedance curve of a purely inductive impedance profile which is a straight line (Z=Lw), revealing the presence of parasitic capacitance. The associated equivalent circuit is be composed of two L.R //C circuits in series. (figure 3 ). For this configuration, the parasitic capacitance values are:

C1 = 60 pF, C2 = 43 pF. Then, the parasitic capacitance due to the plasma, has been calculated, taking into account the electrical contribution of the plasma in including the calculated resistance and reactance due to inductive coupling.

c,

c,

I ) ) 0.85 L,

i _j 0.85 ~ I ---·-----'

___ j

I

I ~ 0.15 L, [_

L_ , ______ j

Figure 3 : Associated Equivalent circuit E I of the coil with dummy load

500

400

~ 300 E >< 200

100

0

• 1000 w X 3000 W

• 5000 w •'

~~:-' I

25 50 75 100 125 150 175 200 R(nl

l'igure 4: Comparison between calculation and measurement results with new improved model

The parasitic capacitance C1P, C2P, due to the plasma, are fitted, in order to match the X(R) curves. The tuned capacitance values due to the plasma, matching measurements and modeling curves (figure 4), are:

C1p = 80 pF, C2p =55 pF. The parasitic capacitance values due to the plasma are close to those found with the dummy load showing the reliability to use a dummy load to determine them. For a wide pressure and power range, the calculated and measured resistance and reactance are matched with an average error of 15 %on the reactance values (figure 4 ). This method has led to determine a reliable equivalent circuit, which relate impedance measurements to electrical modeling results with a good agreement.

REFERENCES:

I Keller., Plasma Sources Sci. Tee/mol. 5, 1996, 166- 172. 2. 13. Cogitore, J .P. Keradec, J. Barbaroux, IEEE Trans. on lnstrum. and Measur., Vol 43, N°2, Apri l 1994, pp

364 -37 1

Page 542: Advanced Technologies Based on Wave and Beam Generated Plasmas

OPTICAL MEASUREMENTS OF GAS TEMPERATURE IN MICROWAVE DISCHARGE PLASMA IN HYDROGEN

R.A . AKHMEDZHANOV, D.B. RADISHEV Institute of Applied Physics of Russian Academy of Science 46 Ulyanov str., N.Novgomd, 603600 Russia.

1. Introduction

Diamond films have a number of remarkable properties with great poten­tial for useful applications. Most common technique for growing diamond films is Chemical Vapor Deposition (CVD) from microwave H2 +C H4 plas­ma. Optimization of microwave reactor operation requires measurements of plasma parameters (gas temperature, eledrons density, various radicals concentration).

Simple measuring methods , such as Optical Emission Spectroscopy are prcfered , but measured values, for example, kinetic gas temperature, often differs from real ones significantly. This paper presents comparative analysis of kinetic gas temperature measurements by rotational bands C 3 Ilu(O- 2) of molecular nitrogen, rotational bands d3 Ilu --+ a3 "EJ(O, 0) (Fulcher band) and G 1 "EJ --+ B 1 L:t(O, 0) ( G-B band) of molecular hydrogen and with tem­perature determined by Doppler broadening of H 0 hydrogPn line.

2. Experimental setup and diagnostics

The experimental setup for plasma parameters measuring consists of the magnetron with >. = :3cm, Tp = .5 - l5JL8, microwave power from which goes through the focusing trumpet to the reaction chamber, filled with hy­drogen with a small (~.5%) amount of nitrogen. In field maximums of the resonator, consisting of focusing trumpet and spherical mirror, microwave discharge plasma was created . Total :pressure in the reaction chamber was 40-150 Torr . Emission spectra was reg1stPred with the systPm with time res-olution 0.5JL8 and spectral resolution 0.5A. In order to measure absorption of the discharge region within H rx hydrogen line, the method of lntracavity Laser Spectroscopy [1] was used. In this method the reactional chamber is put inside the resonator of a dye laser. Laser pulse duration was lJL8 , lasN radiation was registered with spectrograph w1th spectral resolution of 0.05A . Electron density was estimated by measuring Stark broadening of

531

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 531-532. © 1999 Kluwer Academic Publishers.

Page 543: Advanced Technologies Based on Wave and Beam Generated Plasmas

532

llii line. TIH' contribution for Stark broadenin~ has lwPn Pstima.ted from thP <'Xpression g1ven by WPis<'[2] and Criern[:3].

2000 T,K

1500

1000

500

-

r----,-G-B m easurernents

~ _Fulcher measurements

-10 Nitrogen .....

0o 2 3 4 5 t rns 0o 1 2 3 4 5 t, ms Fig. I. Gas temperature measurements at a) 40 Torr and b) at 120 Torr

Doppler broadening temperature measurements are marked with'-' and 'o'.

3. Data and analysis

Fig.l(b.c) shows tbP F'ltld!Pr and (;-B hands rotat ional tenqwra111H' . nH'<t­

su red for 40 a nd I :29 Torr. Gas tem pera:t u rP, rn Pasurc~l by Pmissi~n spect ra of urnesoln~d rolatiOu al structure of llltrogen state IS shown w1th dotted line. lt is seen from the experimental plots , -that the rotat ional distribution of Fulcher band corresponds to ron stan t temperat 11 rP of 600-700 K, inclE'­JWlldeut of the dischar~e conditious. Temperature, measured by G -B hy­dro~en lines and b_y rotational structtll'<' of nitrogen, has a sharp incrPase <tl. :{-1,U8 from the beginnin~ of dischargf' at hi1!;1rPr-Jnessures. We suppose this is connPctf'CI with tlw development of ionisa.f.iou a.l- lwating instability. JCLS mPasurements a re shown \vit.lr '+' and 'o •• with '+' corTPSJH>n<ling to tlH' rodficicnt for the Stark hroadPning tak<'n from Criem, and 'o ' - from Wiese.

Note, that at low temperatures (at the early stage of discha rge or at low pressure) C-D h<1.nd rotational tPlll]JPraturP does not r·orrPspond to temper­ature. mea.su red by nit ro~Pn <1.11 d by Dopier bro,aden_ing. This is a.pparen_tly d u <' to the fact, that at lrnv gas t.em per at ures 1 g < J H 11 the R-T relaxatiOn does not play m ain roiP in forming of rola.tiona.l population di s tribution.

4. Conclusion

Our experinH' IIb shows, that the rotat.ioHa.l t.enrpPrature, derived from Pmission spPctroscopy of G-n ha!ld of h.vdrogPn. rdiPcts the tf'lllJH'ratHn' dynamic of discha rge for temperatures above lOOOK. Measurements , J>Pr­formed by Fulchf'r hand gives a consta1rt rotation al temperature, indej)f'll ­rlen t of the ex pPrimen tiil rond i tions, and therPforP the Fulrher hand can 110t he used for gas kinf'tic temJ>Priitllr!' meas11rin ~?; .

5. References

1. Akhmedzhanov H.A., Polushkin I. I\"., Hos t.ovtsev Yu. \/ _ ( 1994) A stud _y of UHF discha.rgf' in a mixture of heliunr a nd cPsimu vapou r by the ICLS m et hod , Optics ancl Specl.roscopy vol. 76, No.4, pp.!}0!}-!}0~.

2. 'vViese W.L. ( 1965) Plas ma diagnostic tPchniquPs - pure and applierl physics (2 1 )- R.H. l!udd]Pstone and S . L.Leo 11 a rd Eds, AriidPmir prPss .

:L Gricru H. R. ( 196,1) 1'/asma spPrtroscopy, Mac Craw Hill Book Com­pa.n_v.

Page 544: Advanced Technologies Based on Wave and Beam Generated Plasmas

GAS TEMPERATURE INFLUENCE ON THE PARTICLE KINETICS IN A

SURFACE-WAVE-SUSTAINED NITROGEN DISCHARGE

E. K. STOYKOVA Faculty of Physics, Sofia University, BG-1 164 Sofia, Bulgaria

1. Introduction

HF discharges sustained by travelling surface waves operate as a self-supporting wave­

guides. The wave electric field heats the electrons which ionize the gas ensuring in this

way a medium for wave propagation. Along the generated plasma waveguide there is

simultaneous propagation and dissipation of the wave energy leading to a strong heating

of the gas. The experiments in [I] exhibit that the gas temperature Tg varies from 400 to

800 K along the plasma column length. The aim of the work is to investigate numeri­

cally the gas temperature influence on the following plasma parameters: the rate coef­

ficients for V- V and V-T energy exchanges, the vibrational distribution function (VDF)

of N2 molecules at the electronic ground state, the electron energy distribution function

(EEDF) and the maintenance electric field strength.

2. Theoretical model

The kinetic model includes the local electron Boltzmann equation, the nonlinear system

of steady-state rate balance equations describing the vibrational kinetics of N2 mole­

cules, the rate balance equations for the most important electronically excited N2 states,

N; and N: positive ions [2]. The electric field strength necessary for the discharge

operation is self-consistently obtained by the balance between the total rate of ionization

(including direct, associative and stepwise) and the total rate of loses (due to the dif­

fusion and bulk recombination). The Boltzmann equation is solved by taking into ac­

count the elastic electron-ion, electron-neutral, electron- electron collisions and a large

number of inelastic processes leading to ionization, vibrational and electronic excitation

as well as deexcitation of vibrationally excited N2 molecules. This equation is coupled to

the set of equations for populations of the vibrationally excited levels through the

processes of vibrational excitation and deexcitation by electron impact. The other energy

exchanges which determine the heavy particles kinetics are vibration- vibration (V- V),

vibration-translation (V-T) reactions and wall deactivation. The input parameters of the

model are the gas pressure p = 0.2 Torr, wave frequency w/2rt = 200 !\1Hz, electron

density in the range ne = 1.9xl016-4.8xl016 m-3, gas temperature Tg = 400-1000 K and

tube radius R = 2 em.

533

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 533-534.

© 1999 Kluwer Academic Publishers.

Page 545: Advanced Technologies Based on Wave and Beam Generated Plasmas

534

3. Results and discussion

The calculations show that the rate coefficients for V-V and especially for V- T processes are strongly dependent on Tg and their values grow from one to four orders of magnitude with the gas temperature increasing. As a consequence the VDF also essentially depends on the gas temperature (Fig. 1 ). At higher Tg a typical plateau in the VDF 's shape for intermediate v levels does not occur and VDF rapidly fall-off for v > 20 due to the sharp rising of the V- T rate coefficients. As far as the electron and heavy particles kinetics are strongly correlated, the EEDF also changes with the gas tempera­ture variations (Fig. 2). The effective depopulation of the EEDF's tail observed when the gas temperature drops is a consequence of an increasing of the electron-molecule collisions which lead to excitation of different electronic levels of N2 molecules and significant loss of high-energy electrons. The self-consistently obtained electric field magnitude grows from 820 Vm- 1 to 970 Vm- 1 when Tg increases from 400 to 1000 K. The results show that the gas temperature is an important parameter which essentially affects the electron and heavy particle kinetics. So, for acceptable description of SW discharge physics, the gas temperature variations must be included in the theoretical models.

This work was supported by the National Fund for Scientific Research under Grant No. F-538/95.

10"'

• T=400K ' - ·· - ··· T=SOOK

- - - - T0 = 600 K · ·· · · T0 = 600 K

' - T, • 1000K

\

' ·, ' . \ \ ' '· ' ·, ' . ~ \ ' ' ' ; ' ' ' !

5 10 15 20 25 30 35 40 45 v

- T = 400K ·· · T0 •1000 K .

u (eV)

Figure 1. VDF of the grounded state for different Figure 2. Influence of the gas temperature on the EEDF gas temperatures

1 Tatarova. E. Dias, F. M., Ferreira, C. M., and Ricard, A (1998) On the axial structure of a nitrogen surface-wave-sustained discharge: Theory and experiment, J. Appl. Phys. , submitted for publication.

2. Tatarova, E. , Dias, F. M., Ferreira, C. M., Guerra, Y. , Loureiro, J., Stoykova, E., Ghanashev, I. and Zhe1yazkov, I. (1997) Self-consistent kinetic model of a surface-wave-sustained discharge in nitrogen, J. Phys. D: Appl. Phys. 30, 2663- 2676.

Page 546: Advanced Technologies Based on Wave and Beam Generated Plasmas

EXCITED ATOMS AND CHARGED PARTICLES AXIAL DISTRIBUTIONS IN ARGON MICROWAVE PLASMAS AT V ARlO US GAS PRESSURES

Evgenia Benova Department of Physics, Institute for Foreign Students, BG-1111 Sofia, Bulgaria

1. Introduction

Microwave discharges sustained by traveling electromagnetic waves are widely used due to their stability and good reproducibility over wide-range gas-discharge conditions. The wave electric field heats the electrons which ionize the gas creating in this way the wave propagating medium. The plasma column together with the dielectric discharge tube and a metal enclosure is an integral part of the waveguiding structure. The wave power per unit column length dissipated by the electrons from the electromagnetic wave is expended for elastic and inelastic collisions with heavy particles. The wave energy flux decreases along the plasma column and becomes equal to zero at its end. The produced plasma column is inhomogeneous both in radial and axial directions. For simplicity. we further on use radially averaged number densities of all particles.

2. Theoretical model

The theoretical model includes two parts - electrodynamic and kinetic ones. The first part focuses on the wave propagation and its characteristics, the second one deals with elementary processes determining the plasma column parameters. The basic relations for the electrodynamic part are a local wave dispersion relation and the wave energy balance equation. They are obtained from Maxwell's equations with appropriate boundary conditions assuming cold weakly collisional electron plasma. The kinetic part includes the balance equations for electrons, excited atoms. molecular ions and a condition for plasma neutrality. The mean power required for sustaining an electron-ion pair in the discharge 8 is also obtained here at given electron number density. These two parts are self-consistently linked at each point along the column length by the electron energy balance equation.

The argon levels scheme with separate four 3p54s states and a block of 3p'4p states is considered. The rate constants for elementary processes have been calculated assuming a Maxwellian electron energy distribution function with an electron temperature determined from particles' balance equations [ 1].

535 H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas. 535-536. © 1999 Kluwer Academic Publishers.

Page 547: Advanced Technologies Based on Wave and Beam Generated Plasmas

536

3. Results and discussion

The axial distributions of excited atoms, electrons. atomic and molecular ions, as well as of the electron temperature and mean power 0 in argon plasma columns sustained by traveling electromagnetic waves have been theoretically obtained at the following gas­discharge conditions: plasma radius R = 0.45 em, tube thickness d = 0.15 em, glass pennittivity Ed = 4.8. metal enclosure radius R1 "' 2.0 em, wave frequency (t)/2rr = 2.45 GHz. gas temperature Tn = 300 K and different gas pressures in the region ofO. l-3 Torr. It is lound that the electron temperature and the mean power () increase from the wave launcher to the column end. The electron temperature near the exciter varies from 3.0 to 1.1 cV with pressure increasing. The axial distributions of charged particles and exited atoms are presented in Figs. 1-4. The experimental data in Fig. I for p = 1.8 Torr are taken from [2] - the theoretical results are in agreement with the experimental ones.

~ c"

1.8x1013 ,

1.6x1013 .~ o ::: 3 Too

14x1013 l ~ 1.2x101J

z (em)

l-'1gure I Axial d1stribut1on of the electron number dens1ty at vanous gas pressures

F1gure 3. Axial distribution of the molecular ions number density at various gas pressures

4. References

z (an)

F1gure 2. Axial d1stnbuuon of the 3p54s states block population at various pressures

4.0x1010

3.5x1010 ~ 3.0x1om ('

7 2&<1o'or;:o1

.Q. 2.0x10m[ <:!'" 151<10'0

10x10m 50x1o"f

ooL -100 - · -00--. -00 -40

z (an) -20 0

Figure -1. Axial distribution of the 3p54p states block population at various pressures

Benova, E .. Petrova. Ts , Blagoev. A., and Zhelyazkov. I. ( 1998) Modelmg of an ax ~ally inhomogeneous microwave argon plasma at a moderate pressure. J. Appl Phys. 84. 14 7-153

2 Gamero, A.. Cotrino, J . Sola, A, and Colomer. Y. ( 1988) The electron density stabilisation process 111

pulsed surface wave plasmas . ./. Phys.D. App/. Phys. 21, 1275- 1281

Page 548: Advanced Technologies Based on Wave and Beam Generated Plasmas

A study of excitation mechanisms in atmospheric microwave induced argon plasmas

E.A.H. TIMMERMANS, I.A.J. TIIOMAS, J. JONK.ERS AND J.A.M. VANDER MULLEN Eindhoven University of Technology, Department of Physics, P. 0 . Box 51 J, 5600MB Eindhoven, the Netherlands

Population mechanisms of radiative levels in atmospheric plasmas produced by the TIA (from

"Torche a Injection Axiale", using the terminology of Moisan eta/. [I), the developers of the torch), have been studied with power interruption (PI) experiments. The TIA is a microwave energy driven plasma torch operated at 2.45 GHz and produces needle-like plasmas which expand into the open air. Typical parameters of argon plasmas produced by the TIA

arc: electron temperature Tc=20000 K, heavy particle temperature Th=3SOO K and electron density nc=2·1 o21 m-3, using an argon flow of 3 slm and a power input of I kW. The TIA has shown considerable potential as radiation source for the spectrochemical analysis [2] of heavy metals in flue gases [3]. Major advantages arc its high resistance against changing gas

conditions, low operational costs and the compact setup. For a better understanding of plasmas produced by the TIA, more insight in the mechanisms which populate radiative levels is desired.

The three balances which in general are the most important for the occupation of excited level p are:

• the Boltzmann balance of excitation and de-excitation, given by

A( I)+ e(fast) ~ A(p) + e(slow) (I)

• the Saba-balance of ionization and recombination, given by

A+(J)+C(slow)+e(slow) ~ A(p)+e(fast)

• the Excitation Transfer balance, given by

A(J) + B(q) ~ A(p) + B(t)

(2)

(3)

In these balances A(!) and B(t) denote heavy particles in the ground state, A(p) and B(q) heavy

particles with exited states p and q respectively and e signifies electrons.

A sudden decrease of the electron temperature (i.e. a sudden decrease of the number of fast

electrons), will effect levels governed by these three balances differently: • If radiative level p is populated by electron excitation, the emission of level p will decrease since

the Boltzmann balance shifts to the left. • If radiative level p is populated by three particle recombination, the emission of level p will

increase since the Boltzmann balance shifts to the right. • If level radiative p is populated by excitation transfer, the radiation of level p will remain

unchanged since the excitation transfer balance is electron temperature independent.

The different responses underlie the so-called power interruption experiments [5]: Line intensities are studied time-resolved during temporarily interruptions of the microwave power input. Due to the

power removal electrons will thermalize with the (much) cooler heavy particles and as a result T e will drop almost instantaneously. The response of a line intensities immediately after the power

537

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 537-538. © 1999 Kluwer Academic Publishers.

Page 549: Advanced Technologies Based on Wave and Beam Generated Plasmas

538

removal (i.e. within a few J!S) tells which mechanism mainly contributes to the occupation of the corresponding excited levels. After this "instantaneous" response due to electron cooling, the electron density will start to decay due to recombination and diffusion losses and also the occupation of excited levels populated by the Saha-balance will decrease. In figure I typical examples are given of radiative levels which show a Boltzmann- and Saha-like response to PI respectively. Excited levels which responds Boltzmann-like are mainly populated by electron impact (eq I), whereas levels which respond Saha-like have a significant contribution of three particle recombination (eq 2).

9000 l

lsooo j o« ~ ( ~ 1 I I

~ 3000 ; I ) sodium 3p -3s I I 589.0 nm

0 ~ "--_ _____j!J

0 50 100 150 200

tlme[lls)

250 0 100 200 300

time[jls)

argon4p -4s 811.5 nm

400 500

Figure I : Typical examples of a Boltzmann-lilce (on the left, sodium line with low excitation energy) and Saha-like response (on the right, argon line with high excitation energy) after a temporary removal of the microwave power.

It is found that the introduction of small amounts of molecular species or aerosols into argon discharges has already a strong influence on the response of line intensities to power interruption. Argon lines, which respond Saha-like in a "pure" argon discharge, respond Boltzmann-like if more than 0.5% of molecular gases are introduced into the plasma (6] . Emission lines from levels with low excitation energies, such as from analytes or diatomic association molecules as CN and NH on the other hand, always show a Boltzmann-like response to power interruption in the active zone of the plasma. A very interesting observation is made in the recombination zone of the plasma: Here emission lines of a Zn analyte respond only weakly to power interruption, whereas a Na analyte shows no response at all. This shows that in the recombination zone radiative levels of Zn and Na are (at least partly) populated by mechanisms which are not electron dominated. Probably excitation transfer with metastables (from argon or nitrogen, introduced from the ambient air) is the responsible process.

I. Moisan, M .• Sauve .G., Zakrewski, z .. and Hubert J. (1994) Plasma Sources, Science and Technology 3. 584. 2. Boumans, P.W.J.M. (1987) Inductively Coupled Plasma Emission Spectroscopy. Part I, Methodology, InstrumentatiOn

and Performance. Part2, Applications and Fundamentals, Wiley, New York. 3. Jonkers, J., Selen, L.J.M., Vander Mullen, J.A.M., Timmermans, E.A.H., and Schram, D.C. (1997) Plasma Sources,

Sci. and Technol.6 533. 4. Timmermans, E.A.H., Jonkers, J., Vander Mullen, J.A.M., and Schram, D.C . (1997) "Microwave induced plasmas for

the analysis of molecular compounds in incinerator gases", Progress in Plasma Processing of Materials 1997, Proceedings of the TPP4 conference, July 15-18 1996 Athens, Begell House inc., 299.

5. Vander Mullen, J.A.M .• and De Regt, J.M. (1996) Fresenius J. Anal. Chern., 355, 532-537). 6. Timmermans, E. A. H., Thomas, l.A.J., Jonkers, J., Hartgers, A., Van der Mullen, J.A.M .. and Schram, D.C.. ( 1998)

accepted for publication in Fresenius J. Anal. Chern.

Page 550: Advanced Technologies Based on Wave and Beam Generated Plasmas

2D MODEL FOR A MICROWAVE SUSTAINED DISCHARGE

IN NITROGEN AT ATMOSPHERIC PRESSURE

J . STANCO Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, P.O. Box 621, 80-952 Gdansk, Poland

A microwave discharge sustained by a standing wave in a stream of

nitrogen at atmospheric pressure has been investigated in connection with

studies of removal of N xOy from IC engine exhaust gases (for the details

cf. [1]). To explain its features a two-dimensional model is proposed . The

following simplifying assumptions are adopted: the flow is axisymmetric;

N2 molecules, N atoms, Nt ions and electrons are the only species present

in the discharge; radiation is neglected; the electric field has only the axial

component Ez. A non-equilibrium two-temperature plasma model is adopted: the en­

ergy distributions of the electrons and electronic excited states of the heavy

particles are characterized byTe , while T denotes the common translational ,

rotational and vibrational temperature. A steady state is only considered .

Owing to the axial symmetry the problem may be conveniently formulated

in cylindrical coordinates r and z, with no dependence on the angle '1/J. The

set of equations to be solved consists of the continuity and momentum equa­

tions for the heavy species, electron and atom continuity equations, electron

and heavy particle energy transport equation and the wave equation:

1 a a --(rpv) + - (pu) = 0 r or oz

(1)

( au au) op a ( au) 1 a [ (au av)] p v- + u- = -- + 2- J.L- + -- J.Lr - +-or oz oz oz oz r or or oz

(2)

p (v ov + u ov) = - op + ~i_ (J.Lr OV) + i_ [1-l (ov + ou)] - 2J.LV (3) or oz or ror or oz oz or r 2

539

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plas171lJS, 539-540. @ 1999 Kluwer Academic Publishers.

Page 551: Advanced Technologies Based on Wave and Beam Generated Plasmas

540

(5)

(6)

1 8 ( 8Ez) 2 -- r-- + f-LoE:ow E:rEz = 0 r 8r 8r (8)

In these equations u and v are the axial and radial velocity, respectively, p is the pressure, pis the plasma density, f-L denotes the viscosity; Ei is the ion­ization energy, a is the electric conductivity of the plasma, be is the fraction of electron energy lost per collision with a heavy particle, lie is the effective frequency of electron elastic collisions, Rion, Rrec, Rdis are the respective source and loss terms; A and Ae designate the thermal conductivities for the molecules and electrons, Ao is the contribution to the thermal conductivity due to dissociation; w is the angular frequency of the electromagnetic field and Er is the relative permittivity of the plasma. Other coefficients have their usual meaning.

The equations are supplemented by the condition of quasineutrality, ne ::::: ni, and the Dalton's law pjkT = nm + na + ni + ne1~/T. Finally, relations defining the dependence of the various coefficients in the equations on T and/or Te, p, gas density and w are incorporated . They are either taken from the literature or calculated from the Boltzmann equation for the electrons. The boundary conditions are specified on the discharge axis, at the wall of the discharge tube and in the inlet and outlet cross section of the tube.

The numerical code for solving the set of equations is under develop­ment. The code is based on the Patankar's control volume approach (2].

References

l. Doerk, T., Dogan, A., Pott, A., Uhlenbusch, J., Hoschele, J., Steinwandel, J. and Ehlbeck, J. ( 1998) CARS applied to plasmas for NO reduction, this volume.

2. Patankar, S.V. (1980) Numerical Heat Transfer and Fluid Flow, Hemisphere, Wash­ington.

Page 552: Advanced Technologies Based on Wave and Beam Generated Plasmas

INFLUENCE OF IONIZATION EFFECTS ON DRIFT­DISSIPATIVE INSTABILITY

Zh. Kiss'ovski Faculty of Physics, Sofia University, BG-1164 Sofia, Bulgaria

1. Introduction

In recent years, there has been considerable interest in studying the influence of ionization phenomena on low-frequency fluctuations in experiments in high-temperature plasmas [I, 2]. The ionization phenomena easily couple to low-frequency fluctuations since the ionization rate is of the order of magnitude of the mode frequencies and the growth rates of these modes. The local increase of the plasma density in the fluctuations - as a result of compression or convection effects - leads to enhancement of the ionization rate and this influences directly the growth rate of the fluctuations . The aim of the study is to consider the influence of ionization effects on the increment of the drift-dissipative instability (DDI) in weakly ionized plasmas of d.c. discharges.

2. Results and discussion

We use the two-fluid equations (momentum transfer and continuity equations and electron energy balance equation) for describing weakly ionized plasmas in a magnetic

field. Ionization V i (direct and stepwise) and collisions (V., V; ) of electrons and ions

with neutrals are included. The calculations are in a rectangular co-ordinate frame; the constant magnetic field is along the z-axis and plasma density inhomogeneity is in x-direction. Plane wave perturbations of the form

I I Tl ( . k k ) I l Tl n , qJ , • ex: exp - l W t + Y y + z z are assumed where n , cp , ,

are the fluctuations of plasma density, plasma potential and electron temperature, W is

the wave frequency and k Y, k z are the components of the wave vector. We solve the

set of equations in the case of low-frequency ( W << W ci ) electrostatic fluctuations

with assumptions for strongly magnetized electrons and ions and a relative amplitude of the temperature fluctuations lower then those of the plasma density

( T,1 /T, << n 1 / n ). The d.c. current along the magnetic field is j = canst . The

dispersion relation is obtained from the condition of quasineutrality n! = n;1 in the

541

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 541-542. © 1999 Kluwer Academic Publishers.

Page 553: Advanced Technologies Based on Wave and Beam Generated Plasmas

542

fluctuations. The approximate form of the solution for the frequency and the increment

of the DDI in the limits of b, p1, p2 << 1, k: D, >> W, w;, bv;, are:

(l)

+ (avijJn)n + v At<:+(avijarJr.P1

1 + b + bpi 1 + b +bpi (2)

where p 1 = -5p;, p 2 (2eE z/5kzT,), b=(k~T,jm;w~} vz =vez

D. = (TJm,vJ, m; =- (kYcT, jeBn Xdnjdx ), 1<: = (dnjdx )1 n and v A is the

ambipolar velocity. The first line in the result (2) for the increment of the instability contains the mean factors for existence of the DDI, i.e. the gradient of the plasma

density involved through w; and the destabilizing effect of the d.c. current

( v z =- eEZ /m.v e). The stabilization is due to longitudinal electron diffusion ( D,)

and ion collisions with neutrals ( V ; ). The phase shift between density fluctuations and

temperature fluctuations due to heating by the d.c. current is the reason for appearance

of the terms p 1 , p 2 . The second line in (2) shows that the dependence of the

ionization on the plasma density (stepwise ionization and density dependence of the electron energy distribution function) is a destabilizing factor and it enhances the increment of the instability. Ambipolar diffusion and changes of the ionization frequency with the fluctuations of the electron temperature have the opposite effect and reduce the growth rate. The author thanks Dr. Himmel for the idea to investigate the effect of the ionization on the drift instabilities and Prof. Schluter and Prof. Shivarova for the useful discussions. The work is within co-operation programmes supported by NATO LG-971240 and WTZ-212.2.

References 1. Singh R., Kaw P. K. and Mahajan S. (1996) Ionization-driven low-frequency edge

fluctuations in toroidal devices, Plasma Phys. Control. Fusion 38, 1985-1997. 2. Ware A .. S., Diamond P. H., Biglari H., Carreras B. A., Charlton L.A., Leboeuf J. ­

N. and Wootton A. 1. (1992) Theory of ionization-driven drift wave turbulence, Phys. Fluids B 4, 877- 887.

Page 554: Advanced Technologies Based on Wave and Beam Generated Plasmas

INVESTIGATION OF THE ELECTRON DISTRIBUTION FUNCTIONS IN LOW PRESSURE ELECTRON CYCLOTRON RESONANCE DISCHARGES

I. KAGANOVICH, M. MISINA, A. BOGAERTS, R. GIJBELS Department of Chemistry, University of Antwerp, Universiteitsplein 1, B-2610 Wilrijk, Belgium

The interest in low pressure electron cyclotron resonance (ECR) discharges is motivated by the wide use of these discharges in plasma-aided materials processing and as a source of highly charged ions. The electron distribution function (EDF) is far from Maxwellian in these discharges and has been subject of many investigations. For calculation the EDF in ECR discharges we present in this paper the electron Boltzmann kinetic equation (ODin space and ID in energy) averaged over fast electron bouncing and over collisions. A similar procedure was applied to capacitively coupled plasma in Ref. I, where a wide range of discharge parameters was explored. The validity of the fast modelling (FM) method is proved by comparison with Monte-Carlo simulations (ID in space and 2D in velocity).

We start from simplified equations in drift approximation. Making

transformation from v11 ,1l to longitudinal energy £ 11 =0.5mv:1-e<l>(x)+llB and

ll = mv~ I 2B, which is proportional to magnetic moment, the kinetic equation reads:

v 11 ~:1<n =St(f)+St'(f)+StECR(f) (I)

where f is a distribution function in velocity space, II, J.. symbols denote direction along and perpendicular to magnetic field , respectively, «1>( x) is a stationary ambipolar

potential in plasma, St(f) . St' (f) are the integrals for elastic and inelastic collisions

with atoms, respectively, St ECR (f) is the integral describing electron interaction with

the wave electric field in the resonance point. Passing through resonance, the electron randomly changes the perpendicular velocity with kick amplitude

~v _j_ ., =(eE.J2;)t(m v 11 1d:sj ) , where E is the amplitude of circular polarized

wave in resonance point ro=ro8 =eB/mc. StECR(f) can be modelled by the diffusion

in perpendicular velocity or in magnetic moment: v 11 o(x-x,)~D~~=StEcR(f), Oil Oil

543

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 543-544. © 1999 Kluwer Academic Publishers.

Page 555: Advanced Technologies Based on Wave and Beam Generated Plasmas

544

mJlecE 2 1t D~ = 0.5 < (L1Jl) 2 >= -'----- I ,.

B v ~~ 11 dx

We consider a low-pressure discharge where

the bounce frequency is larger than the collision frequency . In this case, l.h.s. of Eq.I ts

large. Integrating Eq. l by f~ we perform averaging over fast electron bouncing and VII

the EDF becomes a function of two arguments only, f(£11 ,Jl), and does not depend on x.

For the main part of EDF, i.e . for the energies smaller than 50 e V, the elastic collision frequency is much larger than the inelastic one . It results in the formation of an isotropic EDF, which now depends only on a single argument- total energy f(£). This

result was confirmed by MC simulations, see Fig. I . After averaging Eq. l reads: d df -.-

--D -=St (f) (2) d£ f d£

X • ( f I .[2;]";,

where averaging is produced hy: G = J dx J dv 11 , I 2V 1£ 2

D = and ' 3 -./2£/m '

I E·02

2() 30

Tola l Enetgy [el/) ·J Figure I The results of MC calculations :

isotropic EDF as a function of total energy at various spatial positions x .

X (f )

e I OOE~06

! ! I 00£•05 f

I

j 100E •OJ 1--~---~~~ 0 10 IS 20 25 lO

lolal-lgy, eV

Figure 2 Comparison of FM (solid line) and MC simulations : circles correspond to magnetic field B(x)=Bn ( 1+2/1! arctn(-x/xo)) Rn=0.0875 T. Xn=80 em. crosses correspond to B(x)=Bn.

A comparison of the EDF calculated from the averaged kinetic equation and by the MC method is shown in Fig.2. The Eq.2 reveals that, for a given value of velocity kick in ECR. EDF is not a function of the spatial profile of the magnetic field . The results of MC calculations prove this finding, see Fig.2.

This work was supported in part hy Naval Research Laboratory Contract N68171-97-M-5379 and by the University of Antwerp, Belgium (New Research Initiative "Mathematical Simulation of Pulsed, Radio-Frequency and Magnetron Discharges") . I . S.Y. Berezhnoi. 1.0. Kaganovich, L.D. Tsendin. ( 1998) Plasma Sources Sci . Techno!. . 7 268-281.

Page 556: Advanced Technologies Based on Wave and Beam Generated Plasmas

ELECTROMAGNETIC WAVES IN A MAGNETIZED PLASMA COLUMN

S. T. Ivanov, E. T. Benova* and K. V. Avramov Faculty of Physics, Sofia University, BG - 1164 Sofia, Bulgaria *Department of Physics, Institute for Foreign Students, BG-1111 Sofia, Bulgaria

The modelling of a magnetized plasma column sustained by a circularly polarized electromagnetic wave shows that only the right-polarized wave (/ = 1) can sustain plasma columns in a wide region of gas-discharge conditions [I ,2]. The behavior of the local dispersion curves (phase diagrams) is rather strange for the left-polarized wave(/= -1) and it requires detailed investigation of the wave propagation. It is necessary to analyze the solutions of the dispersion equation for a homogeneous plasma column at different values of the radius R and the external magnetic field B0•

We present a detailed numerical analysis of the wave dispersion in gas-discharge or semiconductor plasma column immersed in longitudinal magnetic field. The propagation of the electromagnetic wave along such a column is governed by Maxwell's equations from which we derive two coupled equations for the amplitudes of the Ez and Bz components in the plasma. In the presence of magnetic field the electromagnetic wave in plasma is a superposition of two partial waves with different transverse constants a 1 and a 2, i.e. the wave has Rayleigh character:

a1,2 ={~[(K2 -y2)±((K2+y2f +4E3a2 )Jr2 with y2 =x2 -EI (1):~2 [1- :n-

Here K 2 = -e3je1 (x 2 - m2 R2e1/ c2), a= xmRez/ce1 , Et. ~:2 and ~:3 are the components

of the well known permittivity tensor for high-frequency waves in a cold collisionless plasma [3], x = kzR, kz being the wavenumber, the other notations are standard. The two constants a 1,2 can be real, imaginary or complex [4].

For the vacuum the amplitudes of the wave-field components are expressed by mo­dified Bessel functions. The boundary conditions for continuity of the axial and azimu­thal components of the fields at the plasma-vacuum interface yield the dispersion equation which for the two dipolar waves (I = ± 1) can be presented in the form:

±£2x 2r 1 + a~1 U(av )+(£1M+ m2 R2 / c2 )r'[f,a,Z(at)+ fza2Z(az)]-

xEz m2 R2 /Tc 2 (.fiG,a,Z(at)+ hGzazZ(a2)]±xcjwR(a;2 - MT-')(.fiG, + hGz) = 0

Here the following notations are used:

545

H. Schluter and A. Shivaruva (eds.), Advanced Technologies Based on Wave and Beam Generated PlastrUlS, 545-548. © 1999 Kluwer Academic Publishers.

Page 557: Advanced Technologies Based on Wave and Beam Generated Plasmas

546

2 2 2 ) 2 4 4 -4 2 ., ( 2 2 ) ( 2 2 2 -2 )'/ 2 M=x -ro R c --, T= M -ro R c £ 2 ,G1,2 = K -a1,2 la,av = x -ro R c ,

Jh Kh 11', K 1' are the Bessel functions and their derivatives, respectively. The dispersion equation has been numerically solved both for gas-discharge (EL =

I) and semiconductor (EL = I7) plasmas. There are four families of waves which are determined by their start frequencies (Fig. I):

(I) plasma modes EHP ( a 12 > 0, a/ < 0; w <min( We, Wp *); (ii) anisotropic modes EH" (au2 complex; w < wh);

(iii) cyclotron modes HE' (a12 > 0, a 22 < 0; w(a2 = 0) < w < wh); (iv) waveguide modes HYw (hybrid, a 12 > 0, a/> 0; w > w(a2 = 0)).

Here wP * = ( 47te2n/mEL)112 is the plasma frequency, EL is the dielectric constant of the semiconductor, We= eBofcm is the cyclotron frequency and wh = ((wp *)2 + w/)112 is the upper-hybrid frequency.

3.0 3.0

.. ~· .·>

2.5 ·.· , 2.5

2.0 2.0

·~ ~

1.5 - - - -'-- -- -- -- -- ------ -

1.0

0.5

.· EHa

~~ ... , .·· .· ,., _. · .. · .... ~-: .··.

1.5 2.0 2.5 3.0 O.W.O 0.5 1.0 1.5 2.0 2.5 3.0

kf:Jwp·

a b Figure/ . Four families of waves at: a) wJwp* = 0.5 (weakly magnetized plasma) and b) wJwp* = 1.5 (strongly magnetized plasma).

The waves have EH character if E/ Bz > 1 and HE- character if E/Bz < 1 in the maximum of £ 7 -field. The waveguide modes in a column change many times their

Page 558: Advanced Technologies Based on Wave and Beam Generated Plasmas

547

hybrid character. At the same time in the waveguide they change their hybrid character only once [4).

The spectrum of the electromagnetic waves is plotted in Fig 1. The main difference in the dispersion of the waves is in the asymptotic frequencies of the plasma and the cyclotron waves. For weakly magnetized plasma they are roc and roP * and for strongly magnetized plasma- roP * and roc, respectively.

The anisotropic wave is only one because the plasma column has one free surface (at this time there are two anisotropic waves in free plasma layer [4)). With increasing the magnetization more and more waveguide modes go down (Fig. 1) and at the beginning they become anisotropic modes. At big R more than one waveguide mode (in Fig. 1 b - three) can start as anisotropic modes in region roh <" ro < ro( a.2 = 0) limited by the line a.1 = 0. The beginning of these modes penetrates into the region of cyclotron modes and couple with them. The behavior of these modes is similar to the corresponding modes in free plasma layer [4]- at large kz their dispersion curves remain in the region of the waveguide modes.

With increasing the radius the dispersion curves of the plasma and the anisotropic right-hand polarized modes go up. At the same time left-hand plasma modes go up and left-hand anisotropic - down (Fig. 2). Right-hand and left-hand polarized waves converge with increasing R. In strongly magnetized plasma the anisotropic wave has radial modes (corresponding to different radial oscillations) which are in the middle pseudo-surface region ( 1 < ro/roP * < roJrop *). The anisotropic wave is a superposition of two partial waves and at large kz in weakly magnetized plasma the both are surface waves but in strongly magnetized plasma the one is bulk and the other - surface. Namely, the bulk partial wave causes different radial modes.

a b Figure 2. Dependence of the dispersion of plasma and anisotropic modes on the column radius for right­hand EH 11 and left-hand EH.11 polarized waves at: a) wc/rop • = 0.5 (5 (weakly magnetized plasma) and b) ro,Jrop* = 1.5 (strongly magnetized plasma).

The distributions of the field of the £,-component at different kz are shown in Fig. 3. One can see that the distribution changes along the dispersion curve for both right­hand and left-hand polarized waves. They have pseudo-surface character. We would

Page 559: Advanced Technologies Based on Wave and Beam Generated Plasmas

548

like to note that a 12 >>a/ for the right-hand polarized wave and in that case the surface partial wave dominates. At large kz the both anisotropic waves tend to the bulk wave: the right-hand polarized wave- to roP * and the left-hand polarized wave- to roc.

1.0 2

0.8

0.6 0

LJ.JN

0.4

Q2 -2

0.0 ~ ~

0.0 Q2 0.4 0.6 0.8 1.0 0.6 0.8 1.0 DO Q2 04

r/R r!R

a b Figure 3. Distribution of the field of £,-component ofthe anisotropic waves along the dispersion curve at cr = 5 and roJrop * = I. 5 (strongly magnetized plasma) for: a) right-hand polarized wave (/ = I) at k,clwp *: 1-0.2, 2-0. 5, 3-1, 4- 1.3, 5- 2, 6-3; and b) left-hand polarized wave (I= - 1) at k,clwp *: 1-0.35, 2-0.45, 3-1, 4-1.5, 5- 2, 6-3 .

This work was supported by the National Fund for Scientific Research under Grant No. F-819/98.

References I. Benova, E., Staikov, P . and Zhelyazkov, I. ( 1992) Modelling of a plasma column sustained by a

travelling circularly polarized electromagnetic wave (m = I mode) in the presence of a constant axial magnetic field, J Plasma Physics 48, 37--57.

2. Peres. 1., Dallaire, A. , Jones, P., and Margot. J.. ( 1997) Dependence of the emission characteristics of magnetized surface-wave plasmas on the azimuthal configuration of the wave field J. Appl. Phys. 82, 4211-4218.

3. Wallis. R. F. (1982) Surface magnetoplasmons on semiconductors, m A. D Boardman (ed.), Electromagnetic Surface Modes, Jolm Wiley & Sons, Chichester ... , pp. 575-632

4. Ivanov. S T. (1998) Waves in bounded magnetized plasma, this isssue.

Page 560: Advanced Technologies Based on Wave and Beam Generated Plasmas

MAGNETOPLASMONS GUIDED BY A GYROTROPIC PLASMA LAYER ON A METAL SUBSTRATE

S. T. IVANOV AND N. I. NIKOLAEV Faculty of Physics. Sofia University. 5 J IJourchier blvd, lJ(] 1164 Sojia. Jju/garia

Magnetoplasmons in a gyrotropic plasma layer bctweecn a metal substrate (x = 0) (i. e. a grounded layer) and a dielectric (x ~d) with the permittivity Ed are consided. The applied magnetic field and the direction of the waves propagation are parallel to the interfaces (Faraday geometry).

It follows from Maxwell's equations that the harnt011ic field components ( oc exp(i(k,z- ax)]) satisfy a 4th order differential equation:

L(E;) = 0 or L(B,) = 0 i = x ,y ,z.

where: ' 2 if

L = 1:: 0 -[(c· +8 )K2 +~~ 1-+ l.' c I (;X~ I 3 C2 - (;X2 3.

H 1 •2 I 2 2 ) . •2 I ( 2 2 1 •2 I 2 erec·1 = C"r( -(t)P ((t) -(t)<) ,c·2 = c'L(t)c(uP ((t) (t) -(uc)),L'3 = EL( -(t)P (t))

are the components of the permittivity tensor and K = k; - &1 (t)2 I c2 ,

J: k 4 ' 4 I 4 • d I L . d h l s= = -EG(t) c; wP an (IJc arc t1e angmmr an t e cycotron

frequencies.respcctivel~·. The waves have Rayleigh charact<.:r. i.e. they are a

superposition of four partial waves with two different transYersc constants a 1 and a 2 :

Ez = Ezol exp( - a 1x) + Ez02 e.xp( a 1x) + t',03 exp( -a2x) + £,0~ exp( a 2x)

Bz = ifJ1 [Ezu l exp( - a 1x) + E= 02 exp( a 1x) J +ifJ2 1 £=01 cxp( - a ex)+ £,04 exp( a 2x)],

arc solutions of characteristic equation of the differential equation Ll E,) = 0. In the dielectric the fields are:

E: = EJoz exp( - adx) ,

B= =!Jdozexp(-adx). (ad =~k;-((.t)/c) 2 c·.~ )

549

H. Schliiter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plosmns, 549-552. © 1999 Kluwer Academic Publishers.

Page 561: Advanced Technologies Based on Wave and Beam Generated Plasmas

550

The use of the boundary conditions at the two walls of the layer leads to complicated dispersion equation :

exR_Cat +az)dJCbztbl3-~:A 1)(b~2 -b.J.P4:)+exllC14 - az)d)(~i1t - biAt)(b4A2 -b4A3)+

+C~A 3 -l~4~3)(bllb4z -b.Jf41) +C~ 1~2 -~At>Ch.d33 -b3j43)+

ex!l-{at -az)dJ(~-!>J.3 -~A2)(b44~ ~-b.J4b4t)+exli --<14 +az)dJC~./12 -/~j:;y_2)(b.J/J4t -b.Jtb43) =0.

Here b,1 are the clements of the matrix:

a2(ai - .:_2)

al (a~- .;2 )(a ! -ll.·d)

al(alcd -~.·3"-.J)

-al(a~ - s2 ) a l (a~- ¢2 )

a 2 (a~- ¢2 )(a1- ,...") a 1 (ai- ; 2 )(a2 - Kd)

Normalised variables w, = w I w~, k, = k,c I ( w:, J0). d, = dzc I ( w ~ F;), N = w;, I we . t>m = c:d I l::L arc used for the frequency, the wa\e number, the width

of the layer, the magnetisation of the plasma and the permitivitty of the dielectric. In the ( (1) n ' k /l ) plane I he solutions of the dispersion eq Uil tiou depend on three

parameters: the width of the layer d,, the magnctisation of the plasma N and the interface parameter t·IJL .

In the crossing points of the dispersion cun·es with the of the boundary complex zone the two transverse constants are equal a~ = a~ = a 2 and the fields of the wave now have to be taken in the form:

~~-, = u ·;O I + ;.:;<):'x)cxp( -ax)+ u:o; + E;04x)cxp( ax)

13, = (/J;01 + ll;l)2x)exp( - ax) +(H;03 + n;04 x)exp(ar)

Then in the grounded layer singular waves propagate similarly to the case of a semi­space interface between magnetized plasma and dielectric or metal ll j.

After applying the boundary conditions to the fields we obtain the dispersion equation of the singular waves:

exp(2ad)(ezieH- ez3ell )(e4-1e32 -e34e42) +(ez4ell -el4e21 )(e43e32 -e42e33) + +(e24el, -e l4e23 )(e31 e42 - e32e41) +(elle2: - el2e2l )(e4-1en -e34e43) +

(el2e23 - el3e22 )(e44 eJ I - e34£'41 ) + exp( -2 ad)( e24£'12 - el4e22 )( e33e41 - e3Ie43) = 0.

Here elJ are the elements of the matrix:

0

l

./(a+Kd)

a(w.:d +c3Kd)

I

-j

g-Kd +jd(a-Kd )

-c3Kd +da(w.;d- C3Kd)

0 1 I ' -g-,.,·J+fd(a-Kd)

-~-·3 Kd +da(acd + c 3Kd)

Page 562: Advanced Technologies Based on Wave and Beam Generated Plasmas

551

where f = a(£3 K~ -&1a 2 )/(£v'(f +£1a 2 ), g =26·1a 3 1(£3 1,.-~ +6·, a 2 ).

The numerical solutio11s of the dispersion equation sho" that four families of the waves exists:

(i) Waveguide modes-- Fllw and HE" (w, > w,h);

(ii) Cyclotron lll·.'c modes (wn < wnh ""Jw; +w:c I w:)

(iii) Anisotropic modes- upper Efl,~' (wn < cun17 )and lower J;;}f;" (wn < wnp = 1)

(iv) Plasma EH P modes ( cu, <min( N. 1)).

The dispersion curves for weakly (N = 0.5) and lor strongly (N = 1.5) magnetized plasma arc shown in Figure 1. These waves arc hybrid modes. They have HE character if Bz > Ez (r"" E= I Bz < 1) and EH character if 82 < Ez (r > 1). The HE or EH character of the mode and respectively, the value of the ratio r can change along the dispersion curve at different k,. The parts of the dispersion curves with HE character (r < 1) are denoted 11·ith solid squares in Figure 1.

The propagation in weakly and strongly magnetized plasmas. the cyclotron and the anisotropic waves is different. The asymptotic frequencies of the plasma modes Ell P are wn = N for weakly magnetized plasma and (f)n = 1 for strongly magnetized

plasma. For cyclotron HEc modes, the asymptotic frequencies are wn = 1 in weakly

4.0 4.0

3.5 HE;

3.5 EH;-

3.0 ron =kn/(eoL) 1/2 3.0 dn = 2 • c. 2.5 HE~. • c. 2.5 &DL =0.4

1 2.0 EH~ ~ 2.0 II II c 1.5 c 1.5 8 8

1.0 1.0 0.5 0.5

0.0 0.0 0 1 2 3 4 0 1 2 3

a) - • 112 kn -kzcl( mp( &L) )

b) - • 112 kn-kzcl( rop( &L) )

F1gure. 1. Solution oft he dispersi"n c4uation: a) w~akly magnetiz,·d plasma: h ) strongly magnetized plru>ma

4

magnetized plasma and W 11 == N in strongly magnetized plasma. For weakly

magnetized plasma f..H[' mode tends to w, = N and for strong!~· magnetized plasma

to wn.= I. For weakly magnetized plasma Ffl~' mode tends to the asymptotic frequency [2.3] of the surface mode along a single interface bet ween semi-spaces of

Page 563: Advanced Technologies Based on Wave and Beam Generated Plasmas

552

magnetized plasma and dielectric, and for strongly magnetized plasma to W 11 = N.

The behaviour of the anisotropic modes has been analysed i11 details tn [4]. The dispersio11 curves are in the right of the dielectric light line

W 11 = kn I~ (Figure. !).The starting frequencies.of all dispersion curves are on

this line. In Figure. 2 tile dependencies of the stani11g frcquenue~ of the modes on the

width of the layer (Figure 2a) and on the magnetiLatiOII of the plasma (Figure 2b) are plotted. The increase of the width of the layer causes a decrease of the starting frequencies of all the modes. At the same time an increase of the magnetization causes the increase of the starting frequencies of all modes.

Finally we can conclude the following. Four families of waves propagate: waveguide, cyclotron. anisotropic and plasma waves. The waves have hybrid EH or HE

4

5

3 4

• Q. • Q. 3 i 2 j II

c: c 8 8 2

1 I ,1""-1,1---+--l HE~ HE~ HE~ HE~

~:0 =0.4, N = roJro~ = 1.5 0

0 1 2 3 4 5 • 112

dn=d(wp(c) )lc a)

1

0 EH~

-~~>, ______________ Bg __ 0 1 2 3 4 5

b)

f-Igure. :!. The dep~ndenw 0f the star1ing fr~qucncy of the mod.:s .. n: a) th.: '' idth of the layer; b) the magnetization ofth.: plasma.

character. At large wave number they save their character along the dispersion curve.

The waveguide modes do not exist for c·01 ;:: I. The anisitropic modes EHt and EH; start at the beginning of the ( w 11 ,k 11 ) plane. They origin from the corresponding

surface waves at the two interfaces 14 J. With increasing width and decreasing magnetisation, the starting frequencies of modes decrease.

References

I. Ivanov, S. T. and Nikolaev. :--. !. (1996) Singular waws along the bound;u-y of gyrotropic plasma. J. Phys. D: Appl. Phys. 29, I 107-1110.

2. Wallis. R F ( I'.IX2) Surl it~~ Magn~toplasmons un s~tni~ondu,·tur, . 111 A D. Boardman (ed.) Electromagnetic Surjace.HoJes, Wik~'. Chichest~r ... pp. ~75-6 .\1.

3. Ivanov. S. T .. Nrkola~v. N. 1. , and llwma.:. R. W. ( 199!1) \ ·lagndopla>lltons along th~ interface of gyrotropic plasma surfio.:e- longitudinal propagation. Phys. Scnptu 57, 6-15-1>5! .

4. Ivanov. S T. ( 199g) Waves in bounded magn.:tized plasma (1n 1/us issue! .

Page 564: Advanced Technologies Based on Wave and Beam Generated Plasmas

WAVE PROPAGATION IN A FREE GYROTROPIC PLASMA LAYER

S. T IVANOV AND N. I. NIKOLAEV Faculty of Physics, SoJio University. 5 J. Bourchier Blvd, BG 1164 Sofia, /Ju/garia

We consider magnetoplasmons in a gyrotropic plasma layer bounded by dissimilar media at x = 0 and x = d characterised respectively by dielectric constants &d1 and &d 2 (i. e. a free layer). The applied magnetic field and the direction of the waves propagation are parallel to the interface (Faraday geometry). In different approximations this system has been investigated in [I].

The waves similarly to the grounded layer 12] have Rayleigh character- they are a superposition of four partial waves with two different transve·rse constants a 1 and

a 2 . The equations for the fields in plasma are the same as in [2], but different boundary condi-tions for the field components are applied at x = d . As a result, the dispersion equation of the waves in a free layer can be written in the same form as the dispersion equation of the waves in a grounded layer - only elements of the matrix bif are different [3 I.

The dispersion curves are plotted in normalised variables. The solutions of the dispersion equation in a free layer depend on four parameters the width of the layer dn . the magnetisation of the plasma N and the interface parameters &DL, = &d, I t:L

(i=l, 2). The numerical solutions of the dispersion equation show that four families of

the waves exists (see Figure I ): (i) Waveguide modes-- t.H"' and lfE" (cv, > W 11Jr);

(ii) Cyclotron HFc modes (w,. < w .. Jr = Jw~ + w:2 I w:)

(iii) Anisotropic modes- upper EH~' (W11 < (cJ"")and lower FH,a (wn < wnp = 1)

(iv) Plasma EH P modes ( CV 11 <min( N, I)) . The main differences between cyclotron and plasma modes propagation are

the same as in grounded layer 12]. But the propagation or the anisotropic modes in these layers is different. For weakly magnetized plasma r.H;' and FH: modes tend to the asymptotic frequencies of the surface modes along a boundary between semi-spaces of magnetized plasma and dielectric [4] . In strongly magnetized plasma asymptotic frequencies arc w,.a, = (cJ~,P = I for EH,a mode and w,..,., = .V lor FH: mode.

The starting frequencies in symmetrical and asymmetric:Jl layers are different (see Figures. Ia and 2)

553

H. Schluter and A. Shivarova (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 553- 554. © 1999 Kluwer Academic Publishers.

Page 565: Advanced Technologies Based on Wave and Beam Generated Plasmas

554

The following conclusions could be made. Similarly 10 the layer on a metal substrate four families of waYes can propagate in a free plasma layer: waveguide, cyclotron, anisotropic and plasma waves. There are differences in propagation of cyclotron, anisotropic and plasma modes in weakly and strong-

4.0

3.5 N • 1 5

3.0 d•2 t •02

~, ' :)!_ ,

t •e •04 ou 0 '

2.5 a. "s

2 2.0

:;, 1.5

1.0

a) k =k cl(a,-(c )l/2) n z p L

b)

Figure. 1. Solutions ofth.: dtsp.:r>ion ~4uation (an asymmetncallay~r) in weakly magn.:tized (a) and strongly magnetized plasma (u).

4.0 ·· · ·· · · u,a:'=O

3.5

3.0 -"

2.5 0.

HE7

"' 2.0 "' 8 II

8 c 1.5

2 3

Figure. :!. Solution ofth.: disp.:rsion equation for a synuuetric lay.:r

4

ly magnetized plasma The waves have hybnd EH or HE character. At large wave number they save their character along the dispersion curYe. The waveguide modes exist only for t.·,n. ,; I. In a symmetric layer the

two anisitropic modes Ef If and EH,~ start

at the beginning of the (w, .k,) plane, in

asymmetric - only i'.J rr does. Along the

dispersion curves anisotropic modes save their surface character itl weakly magnetized plasma and become volume waves in strongly magnetized plasma. They origin from the corresponding surface waves at the two interfaces. The dependencies of the starting frequencies on the width and on the magnetization arc simtlar to these in a

plasma layer on a metal substrate.: with increasing width and dccrcasing rnagnetisation the starting frequencies of modes decrease.

References

Kushwal1<1 . l\1. S. and llakvi. l'. (19~7), 1\lagnctoplasma n10d~s i11 thin lilms in the Faraday configuration Phys. J?ev. B 35. l~79-3889.

2. Ivanov. S. T. and Nik,>lacv, N. I. (1996) Magnetoplasmons guided by " ~vrotropic plasma lay on a m~tal substrak (in this i ssuei.

3. Ivanov. S. T ( 1998) \\'aves in hounded magn.:tized plasma u n 1l11s 1s.me1

4. Ivanov. S. T. Ntk.,Ja~'- :\ . 1.. aud Tho111a~. R. \\ ·. i IY9S) \ lagndop l a>~ nons along the interface of gyrotropic pl<tsma sur!:tc.: ·longitudinal propagation. l'hys. Scrp lu 57, 6-t) -G 5t .

Page 566: Advanced Technologies Based on Wave and Beam Generated Plasmas

SOURCE OF AN ANNULAR CONTROLLED RADIUS PLASMA

D.K.UL Y ANOV, O.T.LOZA, A.VPONOMAREV, P.S.STRELKOV, AND A.G.SHKV ARUNETS Institute of General Physics, RAS, Vavilova str. 38, Moscow, 117942 Russia. Phone: (095) 135.6387, e-mail: [email protected]

Experimental studies in relativistic plasma microwave electronics were carried out from 1982 [ l) until recently ( 2]. Over this time, significant attention was paid to the development of plasma sources and investigations of properties of the produced plasma. The results of our work in this direction are described in the present paper.

To meet requirements of relativistic plasma microwave oscillator, we designed a special plasma source. In the present paper, we describe an annular plasma source meeting all the requirements stated above.

Figure I shows the schematic of the plasma source. A filamen­tary cathode l from the tungsten wire (0.8 mm in diameter) had a shape of a ring 21 mm in diame­ter. The anode was a metal cham­ber 3 connected to a collector 9. A solenoid 4 produced a uniform magnetic field (I . 7 T) at the device axis. The plasma 5 was produced by the ionization of a gas filling the chamber (xenon at a

Figure.] Scheme of the microwave source. pressure of 4.5-10'4 torr) by an electron beam (600 eV, discharge current 5-100 A, the pulse duration T-100 f.l.S .), emitted by the thermocathode I and focused by a strong magnetic field. The plasma density was controlled by adjusting the filament current.

We designed a system for controlling the plasma radius without changing the radi­us of the plasma source cathode. This system (Fig. I) is based on the use of the super­position of the main quasisteady field (solenoid 4) and the pulsed controlling field (so­lenoid 2). The main magnetic field. which is uniform over the system length, confines the plasma and the relativistic beam in the system. The controlling field affects only the electron beam (which produces the plasma) in the local region near the cathode I.

This profile was measured with the movable Langmuir probe. The current was measured with a step of 0.25 mm along radius. The shape of the density profiles

555 H. Schluter and A. Shivarova ( eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 555-556. © 1999 Kluwer Academic Publishers.

Page 567: Advanced Technologies Based on Wave and Beam Generated Plasmas

556

remained constant during the plasma creation. In order to restore the density profiles from the probe data. the probe current was calibrated using the microwave technique. With the controlling field applied. the mean radius of the annular plasma changes from 10.5 to 8 mm. and its thickness decreases (from 0.8 to 0.6 mm).

The plasma parameters (temperature, potential, and density) were measured by the I-V characteristic of the Langmuir probe crossing the plasma along radius. From the probe data. for the discharge current lct15= 25 A. we obtained that the plasma potential was 6 V. the temperature was 3 eV. and the plasma density was 2·1013 cm·3 The mic­rowave measurements gave nearly the same plasma density.

The absolute values of the plasma density were measured by the microwave resona­tor method. An antenna was installed at the collector axis (9, Fig. 1). It excited the resonator formed by the collector and an additional microwave reflector II. The power that was reflected from the antenna was directed by a circulator into a detector, whose signal was led to the oscilloscope. The measurements were conducted in the discharge current was 80 A. It turned out that a probe current of 100 rnA corresponded to a plas­ma density of0.5·1013 em 3 (with accuracy ±20%). The electron temperature was assumed to be constant.

We observed oscillations in the signals of the probes crossing the annular plasma along radius. A series of experiments in order to determine the nature of the observed oscillations were conducted. For this purpose. we used the probes (7. 8, Fig. 1). The probe current was measured at various probe positions: the angle between the probes was varied from oo to 30° with a step of 5° clockwise and counterclockwise, and the distance between them was L = 10. 30. 50, 70, and 100 mm. The time delay was observed in the oscillations between these two probes. To determine the plasma inho­mogeinities parameters. we used the correlation analysis of probe signals. The obtained results was follow: drift velocity V '~'= 4· I 04 cm/s, azimuthal dimension A.<~>= 0.4 em. radial dimension of inhomogeneities is Mp~ 0.1 em.

The plasma source described above produces annular plasma with the sharp outer and inner boundaries in 30 IJ.S. The plasma density is controlled in the range from I 0 11

to 10 1 ~ cm·3 The controlling is performed by adjusting the discharge current. The radius of the annular plasma can be controlled in the range from 0.8 to L l em without changing the REB radius (due to the copper tube 6). This allows us to change the coupling between the REB and the plasma in the microwave oscillator. The low fre­quency (-100kHz) plasma density oscillations were observed, mostly, at the outer boundary of the annular plasma column. The oscillations amplitude decreases with decreasing the magnetic field; when the magnetic field is lower than 0.1 T, the oscilla­tions disappear. These experimental results. as well as the estimates of the growth rate and frequency of these oscillations. lead us to the conclusion about the onset of the drift instability.

References.

1. Kuzele v. M. V. , Mukhametzyanov. M.S .. Rabinovich. M.S. , et al., Zh. Eksp. Teor. Fiz. , 1982, vo1. 83, no. 4, p. 1358: Dokl. Akad. Nauk, 1982. vol.267, no. 4. p. 829. 2. Kuzelev. M. V .. Loza. O.T .. Ponomarev. A. V .. et al., Zh. Eksp. Teor. Fiz., 1996, vol. 109, no. 6, p. 2048.

Page 568: Advanced Technologies Based on Wave and Beam Generated Plasmas

BEAM-PLASMA INSTABILITY EFFECTS SUPPORTING CAPACITIVE LOW PRESSURE RF DISCHARGES

A. F. ALEXANDROV, V.P. SA VINOV, I. F. STNGAEVSKY

Physics Dept., Lomonosov State University

Vorobiovy Hills, RUS- 119899, Moscow

The goal of the work is to study the near-electrode plasma electron energy spectrum (EES) in low pressure capacitive RF discharges (CRFD) and its formation mechanisms related with the near-electrode electron beams. The dependence of CRFD physical processes on the discharge gap geometry was also investigated. The measurements have been carried out in the discharge with symmetrical flat 3 em diameter electrodes and in the asymmetrical discharge (ACRFD) with the active 0.58 em diameter electrode and grounded 5.8 em diameter electrode. The plasma EES was measured with the aid of four-electrode charge particle energy analyser,which was arranged beyond the grounded electrode. The experimental EESs plasma of symmetrical CRFD in Xe are presented on Fig. I. High-energy parts of the EES plasma ACRFD in air are

fe(E) fe(E) fe(E) fe(E) 1 a) 1 b) '" a) 1..-----~b71) § § §," §

t ~

0.5

3

E(eV) E(eV)

Figure 1. Symmetrical CRFD plasma electron energy spectrum Xe, p =0.1 Torr, f= 1 MHz a. V=600V,b. v= 12oov 1- experiment, 2- Druvesteyen 3-Maxwell.

""'D.DI

'·" 0.

'"

'·" !-..--...--...., ~ \.. .. ..,+., ~~,...,..............:;~...,.~....-.:':!,.,."'' 10 tso6l.ooo

E(eV) E(eV)

Figure 2. Asymmetrical CRFD plasma electron energy spectrum. Air, p = 0.1 Torr, f= 1 MHz a. V=600V,b. V=750V

shown on Fig.2. One can see from these figures that the plasma EES width of the symmetrical CRFD didn't exceed dozens of electron-volt, but in the ACRFD plasma

557 H. Schluter and A. Shivarava (eds.), Advanced Technologies Based on Wave and Beam Generated Plasmas, 557-558. © 1999 Kluwer Academic Publishers.

Page 569: Advanced Technologies Based on Wave and Beam Generated Plasmas

558

EESs with electron energies essentially exceeding 1 keV were obsetved. A property common to all EESs is «hot» electron excess in comparison with

equilibrium energy distributions. This can be explained by the presence of electron

beams forming the EES high-energy part and by a beam-plasma instability [1], the

UHF -fields of which heat up plasma electrons. One can see from EESs of Fig.l , that at

HF voltage increasing occurs sharp decrease of the «hot» electrons relative number and

transition from two-group EES to one- group quasi-maxwellian one. The last moment

has been noted in the work [2] and was explained by the electron coulomb collisions.

In our work was supposed that the main mechanism of enrichment of EES by «hot»

electrons (Fig. I) was the collisional - dissipative beam-plasma instability [ 1) .

On increasing of the HF voltage the ratio of the collisional-dissipative instability

increment to electron-atom elastic collision frequency increased also, that shows the

tendency toward the transition into the collisionless regime. The last must bring to

considerable decrease heating of plasma electrons by the UHF electric fields of the

beam-excited plasma waves and was caused by the Ramsauer effect . The dependence of the plasma EESs in Xe on voltage V shows, that unlike the work

[2], the main mechanism electron heating here appears beam-plasma instability, but

not the stochastic heating of electrons by the oscillating boundary «plasma - near­

electrode space charge sheath>>. The CRFD in question can be regarded as RF discharge with a secondary «UHF­

breakdown» by beam-plasma instability fields . The near-electrode electron beam

density increasing by 1 - 2 orders in ACRFD allows investigate experimentally the

high-energy part EES of its plasma by energy analyser. The beam-plasma collisionless instability can be revealed directly by studying of the

high-energy part of the EES. Examples of the last for two HF voltages (V= 600 V,

750V) are shown at Fig.2. Here turns attention different appearance of the beam peak

of two EES : quasimonoenergetic peak at Fig.2a and washed in energy peak at Fig.2b.

The evaluations show that at V = 600 V the increments of the beam - plasma

instabilities are on the order of the electron - neutral collision frequency. This means

unfavourable conditions for development both types of the beam-plasma instabilities.

The plasma ACRFD EES at V = 750 Vis revealed the electron beam dispersion which

manifests itself in considerable relative decreasing of the beam peak amplitude and

increasing its half- width more than the order in comparison with EES for V = 600 V.

Obviously that with increasing of V both increments of the beam - plasma instabilities

are increase and become much greater than the electron - neutral collision frequency.

So the collisionless instability must be excited effectively which brings to essential

beam electron heating.

References

1. Alexandrov, A.F., Bogdankevich, L.S., Rukhadse, A.A.( 1984) Principles of

plasma electrodynamics, Schpringer- Verlag, Haidelberg. 2. Godyak, V.A., Piejak, R.B., Alexandrovich, B.M. (1992) Measurements of electron

energy distribution in low-pressure RF discharges, Plasma Sources Sci. Techno/. 1,

36-58.

Page 570: Advanced Technologies Based on Wave and Beam Generated Plasmas

AUTHOR INDEX

Akhmedzanov, R.A . ....... . . 531 Gonnord, M.F .. . ........... 485 Alexandrov, A.F .. .. . .... ... 557 van der Grift, M .... . ....... 149 Amouroux, J . . . . . . . . . . . 483, 485 Grigonis, A ................ 469 Arnal, Y ............... 97, 493 Grosse, S . .. . ... ... . 503, 517, 523 Assenova, A . . ..... . ... . . .. 521 Grozev, D .......... 245, 505, 519 Avramov, K.V .. . ....... .... 545 Gundorin, V.I. ............. 481

Baeva, M .............. ... 513 Heil, B.G . . ...... . ...... .. 527 Benova, E .. .... .. .. ... 535, 545 Henrique, J ................ 515 Berezhnof, S ..... . . . .. ..... 525 Himmel, G ..... ...... ..... 505 Berndt, J ......... . ....... 519 HoHenstein, Ch . .... . ...... . 175 Bertolini, J.-C ..... . .. .. . ... 175 Homann, A .. ... ...... . .... 489 Biedermann, C ... . ......... 429 de Hoog, F.J . . .. ... ... .. 149, 175 Blagoev, A ... ....... ... 497, 499 Hoschele, J ........ . ....... 487 Bogaerts, A .. .......... 525, 543 Hubert , J .................. 23 Bake, M .... ........... ... 505 Bouchoule, A. . ............ 175 Ivanov, O.A ............. . . 511

Ivanov, S.T . . .... 367, 545, 549, 553 Cavadias, S ....... ...... ... 485 Colpo, P . ... . . .. . .. .. .... . 529

Ivanov, V.V ............ 471, 495 lvanova, D ..... . .......... 473

Coulibaly, K. ... .. . ........ 485 Jonkers, J ................. 537

Dias, F.M ...... . . ..... 311, 515 Djermanova, N ............. 507 Kaganovich, I. ........ . . 525, 543 Doerk, T ... ..... ... . . .. . . 487 Kirov, K. ...... 245, 505, 507, 509, Dogan, A .. .. ... .... .. . .. . 487 521

Kiss'ovski, Zh ...... .... . . .. 541

Ehlbeck, F ..... . ... .. . . . . . 487 Engemann, J ............... 479

Klopovskiy, K.S .......... 471, 495 KnfzikeviCius, R ............. 469 Koldanov, V.A .. . .. . ........ 511 Koleva, I. ..... ... .... . 245, 521

Fedosenko, G .. ..... ... . . .. 479 Kortshagen, U .............. 527 Ferreira, C.M ....... . .. . ... 311 Kostrov, A.V ... .......... .. 481 Francke, E. . .. . . . .. .... ... 483 Kroesen, G.M.W ...... .. . 149, 175 Fugmann, G .. . .. . .. ....... 429 Kuzelev, M.V .............. 391

Gagov, V . .... . . .. ...... .. 521 Lagarde, T. . . . . . . . . . . . . . . . . 97 Genet , F ............ . ..... 485 Langner, J .... .... .... . . . . 491 Georgieva-Grosse, M.N ..... 503, 523 Le Creur, F . . . . . . . . . . . . . . . . 493 Gijbcls, R. ............. 525, 543 Lesaint, 0 .. .. .... . . ... .. .. 493

559

Page 571: Advanced Technologies Based on Wave and Beam Generated Plasmas

560

Lieberman, M.A ......... .. ... 1 Rukhadze, A.A ......... . ... 391

Lister, G.G . . . . ............. 65 Rusinov, I. . . . . . . . . . . . . . . . . 497

Lopaev, D.V . . .......... 471 , 495 Rutkiiniene, Z ....... . .. . ... 469

Loza, O.T ..... . . . ........ . 555 Luo, X .... . .. . ........... 513 Savinov, V.P . ............. . 557

Schluter, H ..... . ... 271, 505, 519

Makasheva, K ... . . . ....... . 245 Schweigert , I.V ............. 489

Margot, .J ..... . ........... . 23 Schweigert , V.A . ...... .. .. .. 489

Maulat, 0 . . ...... . .... . ... 493 Selwyn, G.S ......... . . . ... 175

Melzer, LV ...... . ......... 489 Shivarova, A .... .. . . . 245, 505, 519

Mihova, M .. . . ...... . ..... 521 Shkvarunets, A.G ..... ... 391, 555

Misina, M ..... . ........... 543 Singaevsky, I.F ... . ..... . ... 557

Mkheidze, G.P .. . ........... 391 Snijkers, R..J.M.M . .. .... .. .. 149

Moller, W ....... . ......... 191 Stanco, J . . ........ . ... 343, 539

Moisan, M ....... 23, 335, 343, 353 Stanislaewski, .J ... . . . . . ..... 491

van der Mullen, .J .A.M . . . ... .. 537 Steinwandel, .J . ... . ..... . .. . 487 Stoffels, E .. . ....... .. .. . .. 175

Nikolaev, N.I. .......... 549, 553 Stoffels, W.W . ...... . .. . ... 175 Stoykova, E.K .... . .. . .. . ... 533

Ogoyski, A.I. ... . .......... 499 St relkov, P.S .. . . .. ... . .. 391, 555 Strikovsky, A.V .... . . . . . . .. . 481 Svirachev, D.M ...... . . . . 475, 477

Pelletier, .J . . . . ...... 97, 137, 493 Swinkels, G.H.P.M ...... . . . . . 175

Pentcheva, M . .. . .... . .. . . . 497 Pfelzer, B ... .. ............ 513 Piekoszewski, .J . ...... .... .. 491 Pie!, A ...... . .. . .. ... . ... 489

Tabaliov, N.A ..... . ..... 475, 477 Tarnev, Kh . . ... .. ... . ..... 501 Tatarova, E. .. . ... . .. . . . ... 311

Ponomarev, A.V ........ . .. . 555 Tchernookov, M . . .. ...... . .. 473 Popov, T ......... .. . ..... 473 Theirich, D ...... .. . .. . . . . . 479 Pott, A .... . . ....... . . .. . 487 Timmermans , E.A.H .. .. .. . ... 537 Proshina, O.V . ..... . ... . . .. 471 Thomas, I.A.J .... . .. . .. . . . . 537

Radishev, D.n .............. 531 Uhlenbusch, .J ..... . ... .. 487, 513 Radtke, R. .... . ....... . . .. 429 Ulyanov . . . . . . . . . . . . . . . . . 555 Raiko, V ..... . ........... . 479 Rakhimov, A.T ... . . ... .. 471, 495 R.akhimova, T.V ...... . . . 471, 495

Wilhelm, R .... . . . . .... 111, 123

Robert, S . . . . . .... ..... ... 483 Roca di Cabarrocas, P ..... .. . 175 Yordanov, V .. . ..... . . . .... 497

Roche, M .. . .......... .. .. 493 Rossi, F .. . .. . . ...... . .. . . 529 Zakrzewski, Z ..... 23, 335, 343, 353

Page 572: Advanced Technologies Based on Wave and Beam Generated Plasmas

SUBJECT INDEX

Acceleration electron 0 0 0 0 0 0 0 0 0 100, 197, 423 ion 0 0 0 0 0 0 0 0 0 100, 117, 151, 193 plasma 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 117

Accelerator physics applications to 0 0 0 0 0 0 0 0 412, 429

Amplifiers plasma microwave 0 0 0 0 0 0 0 0 0 415

Applicator high- frequency field

0 0 24, 335, 353 antenna type 0 0 24, 108, 120, 354 transmission- line type

0 0 0 0 0 0 0 0 0 0 0 0 24, 108, 354 Ashing 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 55 Astrophysics

applications to 0 0 0 0 0 0 0 0 0 0 0 429 Atomic physics

applications to 0 0 0 0 0 0 0 0 0 0 0 429

Balance charged particles 0 0 0 0 0 0 0 249, 438 energy 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 88

electron energy 0 0 0 79, 249, 348 heavy particle energy 0 0 0 0 0 348

force 0 0 0 0 0 0 176 power 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

local 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26 wave energy 0 0 0 0 0 0 0 250, 279, 358

Beam generated plasmas 391 Beam- foil spectroscopy 0 0 0 0 0 0 0 430 Beams

electron 0 0 0 0 0 0 0 0 0 391, 430, 555 compression 0 0 0 0 0 0 0 0 0 0 0 432 geometry 0 0 0 0 0 0 0 0 0 0 0 0 0 392 guidance in magnetic fields 0 432 neutralization 0 0 0 0 0 0 394

ion 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 191 Biasing

substrate 0 0 0 0 0 117, 125, 137, 161

561

target 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 193 Bohm condition 0 0 0 140, 151, 199, 276 Boltzmann equation

Bombardement

28, 81, 271, 312, 514

ion 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 151, 437 energy 0 0 0 0 0 0 0 0 0 0 0 0 137, 151

Brillouin radius electron beam of 0 0 0 0 0 432

Broadening beam 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 432 spectral line emission 0 0 0 0 0 0 0 0 77

collisional 0 0 0 0 0 0 0 0 0 0 0 0 0 77 Doppler 0 0 0 0 0 0 0 0 77, 521, 531 natural 0 0 0 0 0 0 0 0 0 0 0 0 77, 521 resonance 0 0 0 0 0 0 0 0 0 0 0 0 0 0 87 Stark 0 0 0 0 0 0 0 87, 521, 531 van der Waals 0 0 0 0 0 0 0 87, 521

Characteristic length density inhomogeneity 0 0 0 0 0 0 252 diffusion 0 0 0 0 0 0 0 0 0 0 0 0 249, 319 diffusion-recombination 0 0 0 0 0 252 electromagnetic field pene-

tration (penetration depth) 0 0 0 0 0 0 0 0 0 0 28, 252, 271

electron energy relaxation 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 81, 273

energy exchange 0 0 0 0 0 0 0 0 0 0 289 field intensity variation 0 0 0 0 0 0 252 temperature variation 0 0 0 0 0 0 0 252 thermal conductivity 0 0 0 0 0 0 0 250

Characteristic radius beams 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 432

Clcmmow- Mullaly- Allis diagram 0 112 Clusters 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 181 Coagulation

particle 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 179 Coating 0 0 0 0 0 0 0 0 0 0 0 111, 123, 481

Page 573: Advanced Technologies Based on Wave and Beam Generated Plasmas

562

Coefficient absorption . . . . . . . . . . . . . . . 89 emission . . . . . . . . . . . . . . . . 89

Collisions charge exchange . . . . . . . . . . 168 Coulomb . .... .... .. .. 78, 166 deexcitation .. .. . .. 314, 499, 537 elastic electron· neutral for

momentum transfer 26, 73, 250, 313,

348 electron-electron ... . 28, 271, 313 inelastic for excitation and

ionization . . . 16, 76, 100, 250, 271, 312 , 345, 356,

537 ion--ion superelastic .

Conductivity

448 314

electrical . . .... ... 77, 250, 396 thermal . . . . . . . . . . . . . . . . 250

Confinement ion .. . . ...... . . .. .. . .. 429 multipolar magnetic field in . . . 104

Convection .... . .. . ..... . 8, 344 Cross sections of collisions

deexcitation . .. .. ....... . 314 electron Coulomb scatter-

ing ... . . . . ..... . . .. 78, 557 electron impact excitation

.. .. . .... . .. . . . .. 80, 314 electron transport .. ...... . . 77 electron- ion ... . . . . . . . .. . 431 ionization . . . . . 44 7 recombination . . . . . . . . . . . . 462 stopping ... .. . .. .. . .... 218

Density charged particles . . . . . . . . . . . 5 critical . . . . . . 29, 104, 248, 397,

512 electron plasma

10, 26, 73, 140, 201 , 246, 396

excited state population . .. 80, 260

surface wave critical .... . ... 249 vibrationally excited molecules . 314

Density profile (transverse di-rection)

plasma ..... . . ... . 28, 255 , 277 radiating excited states ... 77, 317

Deposition film . . . . . . . . 2, 31, 111, 123,

149, 175, 191

amorphous silicon .... 1, 55, 75 carbon

applications . . . . . . . . . 123 diamond- like

1, 24, 31 , 53, 111, 123, 479

hard . . .. .. . .. . ... . 130 polymer- like .. ...... . 123 properties . . . . . . . . . . . 123

copper . . . . . . . .. .. . 139, 481 growth rate ....... . . 55, 126 ion -beam assisted ....... 191 large area . . . . . . . . . . . . . 54 polymer . . .. . . . . . . .. . .. 54 resist development . . . . . . 2, 56 silicon . . . . . . 1, 55 silicon dioxide . ..... . ... 139 tungsten . . . . . . . . . . . . . 139

plasma enhanced chemical vapour . . . . ....... . . . . . 193

Detoxification of gases ... . ..... 52 Diagnostic methods

plasma parameters . . . . . . . . . 246 Doppler- shifted laser- in-

duced fluorescente .... . . 154 microwave techniques

. 178, 260, 279, 556 probes . . . . 32 , 81, 106, 142,

166, 205, 260, 279, 313, 473, 495, 514, 517,523,527,555

radiophysics methods . . . . . . . 260, 279, 302, 326,

362, 519 radiometry . . . . . . . . . . . . 279

Page 574: Advanced Technologies Based on Wave and Beam Generated Plasmas

spectroscopy methods . . . . . . . 81, 89, 178, 260,

272, 279, 326, 521, 531

process parameter control .... 138 technology control/monitoring .. 97

electron microscopy . . . . . . 178 ellipsometry ... . ..... . . 128 IR absorption spectroscopy . 186 laser- induced particle

explosion emission . . . . . . 177 mass spectroscopy

. . . . . . . 47, 154, 180, 483, 485

Fourier-transform ion cyclotron resonance . . 460

Mie scattering of laser light . 178 photo-luminescence

spectra . . . . . . . . . . . . . 181 X- ray diffraction ........ 235 X-ray spectroscopy ... 455, 469

Diffusion am bipolar . . . . 26, 77, 88, 117,

249, 271, 312, 349 energy space in . . . . . . . . 273, 453 implanted atoms ......... . 216 metastable atoms .... 77, 161, 499 multipolar magnetic field in . .. 101

Discharge conditions . . . . 25, 246, 272, 343,

355 contraction ............ . . 267 filamentation ... 265, 308, 507, 521 maintenance condition .. ... . 255 modelling

1D: .... . ...... 92, 279, 348 2D: ........ 92, 284, 322, 539 3D: . . ...... . ..... 210, 293 atmospheric pressure

. . . . . . . . . . . 343, 344, 539 channel model . . . . . . . . . . 346 fluid model . 246, 271, 501, 509,

511 heavy particle kinetics

. 312, 537

563

kinetic model ........ 115, 260, 271, 311 collisional radiative

model . . . . . . . . . . 81 local approach

....... 81, 272, 313, 344 nonlocal approach

. . ...... 34, 81, 272, 327 transition region ... 282, 327

non-equilibrium two-temperatures . .

two-fluid model . . . regime controlled by

ambipolar diffusion

343, 539 207, 541

. . . . . . 26, 101, 247, 271, 317

free-fall . . . . . . . . . . . .. 101 volume recombination

regime with respect to gas pressure

27, 247

atmospheric pressure ... . ..... 65, 335, 343, 539

low pressure. 6, 28, 65, 83, 97, 113, 138, 151, 191, 306, 358, 513, 543,

557 regime with respect to plas­

ma density high density plasma

... . ..... 12, 153, 248, 513 regime with respect to time

variations nonstationary

.. ... ... 246, 497, 507, 521 pulsed . . . . 34, 195, 245, 483,

487, 497, 509, 511, 513

stationary .... 28, 245, 517, 519 selfconsistency (interrelated

wave-discharge proper-ties). . . . . . . 25, 84, 115, 247,

271, 311, 335, 357, 501, 511, 535

self-organization .......... 265

Page 575: Advanced Technologies Based on Wave and Beam Generated Plasmas

564

Discharges coupled

capacitively . 9, 69, 86, 124, 152, 525, 557

inductively . 69, 83, 153, 272, 527, 529

electron cyclotron reso-nance . . . . . . 97, 111, 124, 153,

194, 493, 543

distributed ......... 100, 493 multipolar magnetic field

in ........... . ... 98, 493 running mode . . . . . . . . . . 122 three-dimensional mag-

netron in ....... . .... 108 high frequency (HF)

1, 23, 66, 101, 271, 353, 523

industrial frequency . .... . . 74 microwave . . 24, 69, 101, 111,

123, 245, 335, 343, 353, 513, 523, 531,

535, 537, 539

radio frequency 9, 123, 176, 245,

353, 475, 525, 557

high pressure arc . . . . . . . . 7, 194 opposed discharge type of . .. . . 19 surface type of . . . . . . . . . . . . 19 steady (DC, glow)

sustained

6, 16, 75, 123, 175, 471, 495, 541

HF field applicator within . . . 24 resonant cavities in

.. . .... . . .. 24, 72, :353 wave field in

helicon wave of ..... 13, 153 standing wave . . 24, 354, 539 surface wave of

23, 69, 85, 245, 272, 311, 336, 358, 505, 507, 509, 511, 517, 519, 521, 533

axial density pro-file . . 27, 86, 282, 312,

359

axial structure 25, 247, 279, 356,

501, 509

large area . . . . . . . . . . 31

long .... . . . . . .. . . 358

types of ... . . 32, 281, 336

travelling wave of 23, 69, 85, 245 ,

311, 335, 354, 535

wave beams of . . . . . ... 245

waveguide mode of . ... . . 245, 501, 507, 521

Dissociation . . ........ 10, 48, 186

Distribution function

electron energy 8, 28, 76, 111, 246, 271 , 312, 359,

527, 533, 543

in beams ....... 401 , 443, 557

Maxwellian .. 8, 28, 81, 140, 271

tail ..... . .... 8, 76, 114, 271

ion angular .. . . . ..... 216

ion energy. 57, 117, 147, 149, 197, 438, 473, 495

Drift motion . . . . 97, 119, 398, 445, 541

Drift tube system ..... .. .. . . 433

Efficacy

luminous ..... . .... . . . . .. 66

Efficiency

radiation . .............. 418

lamps of . . . . . . . . . . . . . . 50

Electroluminescent devices . . ... 183

Electron emission. 99, 197, 393, 435, 464, 479, 525, 555

Page 576: Advanced Technologies Based on Wave and Beam Generated Plasmas

Electrons fast ..... . ....... . . . 97, 113 resonant . . ..... . ... .. .. 274 slow plasma . . . . . . . . . . . . . 98

Electrostatic trap .. . ........ 438 Elemental analysis ...... .. 57, 343

optical absorption spectroscopy . 57 optical emission spectroscopy . . 57

Energetics, pulsed power applications to ..... ...... 391

Environment protection ... . . 30, 335 Epitaxy silicon/ doping ... .. .. . 138 Erosion

beam front of . . .......... 408 effects at surface treat-

ment . . . .. .. . 49, 123, 195, 429 resist removal . . . . . . . . . . . . 2

Etching . . . . . . . 1, 55, 111 , 128, 138, 149, 175, 193,

469 mechanisms .... . .. . ..... 150 types of

anisotropic .. . . . .. 3, 149, 469 isotropic . . ..... . . . . . 3, 150

Excited spaties electronically excited states .. . 312 vibrationally ............ . 312

Fabry- Perot cavity .. ... . . 51, 513 Field, electric

ambipolar ... .. . .. . . .. 81, 543 discharge maintenance

....... . . ..... 84, 251, 324 space charge . . .. 98, 120, 272, 419

Field, magnetic multipolar .. . .... . . . . . .. . 97

Fourier decomposition . . .... . . 272

Gas breakdown .......... . . .. 5 Gas chromatography

applications to ...... . . .... 57 Gun

electron . . . . . . . . . . . . . 431 Gyrotons . . . . . . . . . . . . . . 413

565

Gyrotropic medium . . 367, 549, 553

Heat flux potential ..... . .... 347 Heated filaments . . . .. .. . . 99, 555 Heating

gas ... ..... . . . . . . .. .... 76 ion . . . . . . . 429 efficiency . . ... .. ........ 114 plasma

beams by ......... . 409, 557 electron cyclotron reso-

nance at ......... .. .. 113 Joule in plasma volume .... 256 Joule in regions of reso-

nance absorption . . .. 256, 517 noncollisional .......... 271

Heating regimes local/ nonlocal .. .. . 253, 271, 525

High power generation .. . . . ... 367

Impedance stability . .. . ... . . . 31 Index

color rendering .... .. .. ... . 67 refraction ....... . .. . 112, 292

Injection atoms, ions of .. . . . .... . .. 436

Instability beam-plasma ...... . .. 396, 557 Buneman . . . . ........ . .. 398 modulat ion . . .. . 265, 308, 505

Ion energy .. . . . . 117, 124, 137, 147,

191 fast .......... . . ....... 127 high charge state produc-

tion of . . . ..... . ... . 431, 543 Ion implantation . . ... . . 4, 191, 493

applicat ions to . ... . . . . . . . 231 Ion- surface interactions . . .. . .. 455 Ionization . . . . . . 5, 101, 349, 396,

433 associat ive . . . . . ... . . . 82, 312 chemi- .. .. ... . .... . .. .. 76 direct . . . . . . . . .... 250, 541 excitation auto · .. . ..... . . 456

Page 577: Advanced Technologies Based on Wave and Beam Generated Plasmas

566

inner-shell . . . . . . . . . . . . . . 456 Penning .. ... .. .. .... . 16, 48 resonant excitation double

auto-- ... . ............ 456 step(wise) . ... . 251 , 285, 312, 514

Ionospheric physics applications to .... . . . ... . 412

Lamb shift ........ . . ... 464 lamps

chemical dose in . . . . . . . . . . . 68 commercial electrodeless . . . . . . 70 convectional electroded . ... . . 66 design of . . . . . . . . . . . . . . . . 68 electrodeless types of . . . . . . . . 69 life of ....... . ......... . 67 technical aspects of . . . . . . . . . 66

Laser isotrop separation applications to . . . . . . . . . . . 424

Lasers applications to .. 51, 343, 353, 391

Light visible spectrum ..... . ..... 65

Loss mechanisms charged particles of .. . ... 26, 250 electron energy . . . . . . . . . . . 250

Magnetic field gradients .... 98, 114 Magnetic mirror effects .... ... 113 Magnetic poles . . . . .. 97, 118, 493 Magnetron . . . . . 74, 97, 419, 513,

531 Matching network

matching impedance ..... .. .. .. 30, 107, 337, 529

matching elements ......... 114 Mean free path ...... 101, 151, 214 Metastables . . . . 16, 48, 74, 161 ,

272, 317, 499, 537

Mode transformation . . . ... 252, 292 Modes

anisotropic .... 378, 546, 1)51 , 553 cyclotron ..... 378, 546, 551 , 553 hybrid ... ....... 371, 547, 551

plasma ....... 378, 546, 551, 553 reactive .. . ... ... .... .. . 296 waveguide. . . 378, 416, 546, 551,

Mobility electron.

553

.. 77

Nitriding .............. 47, 231 Nonlinearity

ionization ... ..... 245, 284, 503 strong .............. 16, 246 thermal . . . . . . . . . . . . . . . . 250

Nonreciprocal effects .. .. .... . 387 Nucleation

particle . . . . . . . . . . . 179

Optical applications . . . . . . . . . . 53 Orbits

particle .. .. . . . . . . 444 Oscillations

plasma microwave . . . 414

Plasma dusty ...... . ....... 175, 489 overdense .... .. . 28, 73, 249, 361 underdense ..... .. . . . 107, 361

Plasma- beam interactions . ... . 416 Plasma chemistry ........ 337, 412 Plasma cleaning ............. 57 Plasma density

homogeneity . . 109, 120, 261, 320, 355

inhomogeneity (axial) . . . . . . . . . 26, 246, 272, 311 ,

345, 520, 535

inhomogeneity (radial, transverse) 246, 252, 271, 311,

Plasma display cell . ..... . fabrication . . . . . . .

345, 515, 520

. . 17

. . 21 panels. . . . . . . . . . . . 15

Plasma microwave electronics ... 391 Plasma parameter gradients

Page 578: Advanced Technologies Based on Wave and Beam Generated Plasmas

axial ......... .. ..... . .. 26 radial ...... . ..... 26, 102, 541

Plasma polymerization . . . . . . . . 54 Plasma processing technolo-

gy. . . . . . . . . . 1, 35, 97, 111, 123, 137, 149, 175, 191, 312, 335, 343,

353, 412

active neutral species gener-ation . .. . . ....... 1, 137, 149

industry aerospace ... . . . . . ... 1, 137 automative .. . .. . . . .... 137 biomedical . . . . . . . 1, 134, 137 electroluminescent devices . . 183 electronics, microelectronics . 191 high technology .. .. .... . 193 integrated circuit fabri-

cation ... . .. . .. 1, 149, 189 lighting . . . . . . . . . . . . . . . 93 new materials ....... .. . 191 optics .... . . .... . . . .. 137 paper ... . . . .. .. . . . . . 137 semiconductor electron-

ics . . 1) 65, 134, 137) 175

steel . . . . . . . ... . 132, 137 textil .. ..... . ... . . ... 137 toxic waste management . . . . 1

ion bombardement . . .. . . . 4, 137 Plasma reactors. . 1, 53, 101, 111,

125, 137, 151 , 177, 193, 531

Plasma torch ....... .. .. 350, 537 Plasma types . . . . . . . . . . . . . . . 6 Plasmas

low density .. . . .. . .. . .. .. 391 high density ..... .... . . .. 391 thermal equilibrium ....... 7, 65

local ......... 57, 65, 87, 343 nonlocal . . . . . . . . . . . . . . 65

Ponderomotive force .. . . 53, 285, 503 Powder formation ... .. . . . . .. 186

567

Power absorbed in the discharge

. . . . . . . . . 25, 115, 152, 250, 279, 348, 513

absorbed (on average) per electron 25, 251, 277, 315,

359, 535 losses in the discharge

... . ... . .. .. . . 27, 250, 358 losses due to radiation .... 79, 344 losses through collisions

. . ... . ........ 79, 250, 344 losses through convection . . . . 344 losses through thermal con-

ductivity . . .... . . . .. 250, 344 losses to the wall ... . .. . 79, 344 stopping . . . . . . . . . . . . . . . 217

Poynting vector . . . . 25, 250, 279, 372 Pulsers . . .. .. .. .. . .. . . . . . 195

Quantum wells ..... . . . .. . . . 367 Quasilinear theory . . . . . . . . . . . 27 4

Radiation coherent optical defects . .... .

.... 423

. ... 216 microwave . . .. ... . ... . .. 414 photon emission . . . . . . . . . . 438 stimulated Cherenkov . . . . . . . 396 trapping . . .. .. .. . ....... 86 uv ... ... . ...... . ..... 65

Radiocommunication, far dis­tance

applications to . . . . . . . . . . . 427 Rayleigh wave . .. . . . .. . . 368, 549 Recombination 48, 251, 312, 344,

349, 409, 433 dielectronic . . ........ ... 457 electron- ion ... . . .. . ..... 457 radiative . . ... . ... . . . . 48, 457

Resonance electron cyclotron

12, 29, 97, 111, 543

Page 579: Advanced Technologies Based on Wave and Beam Generated Plasmas

568

geometrical . . . . . . . . . . . . . 292 plasmon generation

. . . . . . . . . . 252, 292, 512, 517 region ..... .. . .... .. . .. 112 surface wave . . . . . . . . . . . . . 248 system .... . . ... . ... ... 292

Response photopic . . . . . . . . . . . . . . . . 66 pre sheath . . . . . . . . . . . . . . 203

Saha equation . . . . . . . . . . . . . 346 Schottky condition .. ...... 84, 249 Sheaths . . . . . . . 7, 151 , 193, 308,

350, 489, 523, 525, 557

multipolar magnetic . .. ..... 99 pre- . . .... .. .. . . . .. .. . 199

Skin ......... . .......... 347 anomalous . . . . . . . . . . . . . . 305

Skin depth . . .... .. ....... . 26 collisional .. .. . .. ....... . 73

Solar cells ... . .. ..... . 1, 53, 183 Solitons . . . . . . . . . . . . . . 265, 503 Sources

electron beam .... .. .. 429, 555 ion ...... . . ..... ... 192, 430 ion (by electron beams) . .... 430 light . .. ........... so, 66, 343 particle . ..... . . . . ...... . 47 plasma. . . . . . 13, 23, 97, 111,

152, 192, 335, 353, 529

scaling up ... ....... ... 100 large area . . . . . . . . . . . . . 11 I

plasma-beam . . ..... . .... 414 spectroscopy . . . . . . . . . . . . . 65

Spacecraft material testing application to . . . . ....... . . 49

Spectral line absorption . . . ... . ........ 87 intensities ..... ...... 260, 285

Stability aspects 26, 247, 308, 358, 505, 507

Storage rings,

ion .. Substrate

heating .... . . surface .... .

. 430

......... 57 6, 34, 55, 111,

149, 192 temperature. . . . . ... 123, 137

Subsurface stoichiometry ...... 216 Surface

catalysts . . . . . . . . . . . . . . . 184 defects . . . . . . . . . . . . . . . . 464 modification/ treatment

. . . . . . . . . 4, 23, 35, 55, 97, 111, 123, 137, 191,

475, 477, 491 plasmons ... .. .......... 372 polaritons .......... .. .. 372 scattering . . . . . . . . . . . . . . . 464 sputtering . ... ....... 225, 464

Systems nonlinear disspative . . . . . . . . 246

Target .. ... . .... . ... . ... 193 Technological challenges . . . . . . . . 97 Television panels, high defini-

tion application to. . . . . . . . . . . . 15

Temperature correlated color ... .. ...... 67 electron . . . . . 7, 65, 82, 140,

198, 246, 271, 348, 396, 521

gas . . . . . . . . 65, 261, 272, 312, 348, 521, 531, 533

ion . . . . . . . . . . 7, 140, 166, 198 vibrational ...... .. ... 312, 487

Test particles ....... ... .... 453 Thermal conductivity ... 88, 251, 345 Thermonuclear devices

application to .. . ...... 427, 429 Thick cylinder approximation ... 251 Thin cylinder approximation

. . .... .. . ...... . . 26, 44, 251 Threshold

energy

Page 580: Advanced Technologies Based on Wave and Beam Generated Plasmas

dissociation for . ...... 7, 471 incident ions . . . . . . . . . . . 225 ionization for . . . . . . . . . 7, 438

field intensity discharge maintenance for . . 246

plasma density stimulated radiation for ... 415

power . . . . . . . . . . . . . . . 44, 107 Transit time effect ... . .. .. . . . 274 Transport

particle . . . . . . . . . . . . . . 26, 289 radiation . . ..... . ........ 77

Trapping particle ... .. ..... 98, 175, 430 potential . . . . . . . . . . . . . . . 435

Vacuum limited current . . . 392 Velocity

beam front propagation . . . . . 408 electron beam . . . . . . . . . . . . 397 ion-sound (Bohm) ....... 9, 122 ionization front . . .. 266, 509, 511 sheath edge . . . . . . . . . . . . . 208

Vibrational transitions .. 51, 312, 514 Virtual cathode . ... . . . .. .. . 395

Wafer surface Wave

151

absorption . . . 111 , 248, 271 , 296, 311

noncollisional ..... ... .. 271 resonance ... . .... .... . 252

cut-off . .. .. .... . . . . 112, 371 damping. . . . . 25, 111 , 246, 312,

354, 515, 519 collisional .. . 115, 125, 252, 302 collisionless . . . . . . . . . . . . 34 Landau . . . . ....... . .. 272 resonance absorption . . . . . 252

dispersion . . . . 26, 113, 248, 282, 312, 354, 370, 515, 519, 545, 550, 553

normal ........ . .. .. . . 379 energy / power flux

. ...... . . . . 25, 250, 311 , 337

569

excitation regimes continuous wave excitation . 245 pulsed excitation .... . ... 245

kinds of backward . ........ . 297, 379 bounded magnetized

plasmas in .. . .. ... 367, 545, 549, 553

bulk ...... . ...... 371 , 547 circularly polarized

. .... .. . . . . 112, 543, 545 degenerate . . . . . . .. 372 extraordinary .. . . . .... . 107 forward . . . . . . . . . . . . . . 297 guided . .. .. .... . .. . .. . 5 helicon . . . . . .. . . . . .... 13 ionization ...... .. ... . . 122 left polarized . . ..... 370, 545 normal ...... . ........ 367 ordinary . . . . . . . . . . . . . . 107 partial . . . . . 368, 545, 549, 553 right polarized . ..... 370, 545 shock . .. .. ..... . .. . . . 49 singular .... . .... .. 370, 550 spatial . . . . . . . . . . . . . . . 27 4 surface . . . . 25, 246, 279, 311 ,

336, 503, 515, 547

launchers (surface wave sus-tained discharges) .......... ... . 25, 251, 337 millimeter range . .. . . .. .. 33 Ro- box .... ... . .... 45, 279 surfaguide . . . . . . . . . . . . 339 surfatron . . . . . ...... 31 , 300 waveguide surfatron .. . . 27, 45

launching high- field access .. . ... . . 112 low-field access ... . .. . . . 112

phase diagrams .. . . 248, 294, 331 tunneling .... ... ... . . .. . 112

\Vave- partide interaetions .. .. . 303 WKB- approximation 262, 279, 325

X- ray production .... . ... 197, 430