ばらつき“とコンピュータ・ シミュレーション eda プラット … · 2007/7/12...

25
ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ ばらつき“とコンピュータ・ シミュレーション シミュレーション シミュレーション シミュレーション シミュレーション シミュレーション シミュレーション シミュレーション EDA EDA プラットフォーム プラットフォーム プラットフォーム プラットフォーム プラットフォーム プラットフォーム プラットフォーム プラットフォーム 飯野 飯野 飯野 飯野 飯野 飯野 飯野 飯野 由久 由久 由久 由久 由久 由久 由久 由久 シルバコ・ジャパン シルバコ・ジャパン シルバコ・ジャパン シルバコ・ジャパン シルバコ・ジャパン シルバコ・ジャパン シルバコ・ジャパン シルバコ・ジャパン 2007 2007 IEEE EDS Japan chapter workshop IEEE EDS Japan chapter workshop July 12, 2007, Hiroshima university, Japan July 12, 2007, Hiroshima university, Japan

Upload: others

Post on 28-Sep-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

““““““““ばらつき“とコンピュータ・ばらつき“とコンピュータ・ばらつき“とコンピュータ・ばらつき“とコンピュータ・ばらつき“とコンピュータ・ばらつき“とコンピュータ・ばらつき“とコンピュータ・ばらつき“とコンピュータ・シミュレーションシミュレーションシミュレーションシミュレーションシミュレーションシミュレーションシミュレーションシミュレーション

EDAEDAプラットフォームプラットフォームプラットフォームプラットフォームプラットフォームプラットフォームプラットフォームプラットフォーム

飯野飯野飯野飯野飯野飯野飯野飯野 由久由久由久由久由久由久由久由久シルバコ・ジャパンシルバコ・ジャパンシルバコ・ジャパンシルバコ・ジャパンシルバコ・ジャパンシルバコ・ジャパンシルバコ・ジャパンシルバコ・ジャパン

20072007 IEEE EDS Japan chapter workshopIEEE EDS Japan chapter workshopJuly 12, 2007, Hiroshima university, JapanJuly 12, 2007, Hiroshima university, Japan

Page 2: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

2

概要概要概要概要

•ばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らすすすす手法の可能性手法の可能性手法の可能性手法の可能性

•Silvaco、、、、Simucad社社社社EDAプラットホームプラットホームプラットホームプラットホーム

Page 3: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

3

概要概要概要概要

•ばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らすすすす手法の可能性手法の可能性手法の可能性手法の可能性

品質工学会品質工学会品質工学会品質工学会 http://www.qes.gr.jp/top.html

ばらつきのモデル化は不要ばらつきのモデル化は不要ばらつきのモデル化は不要ばらつきのモデル化は不要

•Silvaco、、、、Simucad社社社社EDAプラットホームプラットホームプラットホームプラットホーム

Page 4: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

4

対象特性のばらつき対象特性のばらつき対象特性のばらつき対象特性のばらつき

Page 5: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

5

安定性評価の指標:安定性評価の指標:安定性評価の指標:安定性評価の指標: SN比比比比

半導体製造の技術開発(半導体製造の技術開発(半導体製造の技術開発(半導体製造の技術開発(1994年)年)年)年)

(品質工学応用講座)(品質工学応用講座)(品質工学応用講座)(品質工学応用講座)

Page 6: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

6

安定性評価の指標:安定性評価の指標:安定性評価の指標:安定性評価の指標: SN比比比比

Page 7: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

7

品質工学の品質工学の品質工学の品質工学のLSI応用における課題応用における課題応用における課題応用における課題

•超大規模なシステム(超大規模なシステム(超大規模なシステム(超大規模なシステム(LSI)におけるシステム分割)におけるシステム分割)におけるシステム分割)におけるシステム分割

•多数の入出力に対する評価関数の定義多数の入出力に対する評価関数の定義多数の入出力に対する評価関数の定義多数の入出力に対する評価関数の定義

Page 8: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

8

概要概要概要概要

•ばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らばらつき原因を制御せずに対象特性のばらつきを減らすすすす手法の可能性手法の可能性手法の可能性手法の可能性

•Silvaco、、、、Simucad社社社社EDAプラットホームプラットホームプラットホームプラットホームばらつきのモデル化必須ばらつきのモデル化必須ばらつきのモデル化必須ばらつきのモデル化必須

Page 9: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

9

SPAYN統計処理プログラム統計処理プログラム統計処理プログラム統計処理プログラム

Page 10: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

10

SPAYN:::: PCA多項式多項式多項式多項式

Page 11: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

11

Ids/Vgs@Vds=0.05V

Vgs = 0 -> 1.0V

Vbs = 0 -> -0.5V

SPAYN:::: PCAによるによるによるによるSpiceモデル生成モデル生成モデル生成モデル生成

Page 12: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

12

SPAYN:3水準4元配置のインバータ特性:3水準4元配置のインバータ特性:3水準4元配置のインバータ特性:3水準4元配置のインバータ特性SmartSpice

Page 13: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

13

SPAYN:多元配置実行時間:多元配置実行時間:多元配置実行時間:多元配置実行時間

• SPAYN-SmartSpice従来手法従来手法従来手法従来手法: 約約約約 0.5(秒)(秒)(秒)(秒)/回回回回

11.43(総所要時間)(総所要時間)(総所要時間)(総所要時間) – 7.16((((GUIロード)ロード)ロード)ロード) = 4.3(秒)(秒)(秒)(秒)/ DC解析解析解析解析81回回回回

• SPAYN-SmartSpice API::::1-2秒秒秒秒/DC解析解析解析解析81回回回回

Page 14: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

14

SmartSpice API

Gateway

UTMOST IV

AccuCell

AccuCore

SPICE

NETLIST Parser

Analyses

Models

Devices

Options

Variables

Parameters

Commands

SmartSpice

Simulation Core

SmartSpice

API

Circuit

Creating

API

The future project …

SPAYN

Page 15: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

15

SmartSpice API

• Gateway、AccuCell、UTMOST IV、SPAYNはオーバヘッドなしにSmartSpice各機能(解析、デバイス、モデル、回路パラメータ、階

層識別構造)に直接アクセス

• 回路、およびシミュレーションに要するパラメータの変更を実行中に行う

• Cellキャラクタライズでの所要時間を大幅に短縮

• オブジェクト再利用テクニックの採用により使用メモリが削減。オブジェクト( 計算式、デバイス、モデル)は、いったん生成されるとSmartSpiceセッション中は常駐

• Simucadプロセス間通信 (SIPC)を利用する製品間に標準データ

フォーマットを提供

Page 16: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

16

UTMOST IV::::データベースを持ったデータベースを持ったデータベースを持ったデータベースを持ったSpice パラメータ抽出パラメータ抽出パラメータ抽出パラメータ抽出

Page 17: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

17

SmartSpice API::::Cellキャラクタライズへの展開キャラクタライズへの展開キャラクタライズへの展開キャラクタライズへの展開

34

SmartSpice API機能

� SmartSpice API機能を使用して、パラメータの変更を直接メモリー上機能を使用して、パラメータの変更を直接メモリー上機能を使用して、パラメータの変更を直接メモリー上機能を使用して、パラメータの変更を直接メモリー上で実行で実行で実行で実行

� 再読み込みの時間が短縮され、さらなるスピードアップを実現!再読み込みの時間が短縮され、さらなるスピードアップを実現!再読み込みの時間が短縮され、さらなるスピードアップを実現!再読み込みの時間が短縮され、さらなるスピードアップを実現!

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー SmartSpice API機能機能機能機能

SmartSpiceSmartSpice

AccuCellAccuCell

MemoryMemory

Page 18: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

18

SmartSpice API: AccuCell

Cellキャラクタライズ所要時間の大幅な短縮キャラクタライズ所要時間の大幅な短縮キャラクタライズ所要時間の大幅な短縮キャラクタライズ所要時間の大幅な短縮

入力の傾き {0.1 0.2} (ns)

出力の負荷 {0.01 0.05} (pf)

# USE_SMARTSPICE_API 0

(コメントアウトでAPIの実行)

SmartSpice API 非実行時非実行時非実行時非実行時::::Model generation completed for pad.

Total simulation time 159.672 seconds

Library generation completed.

SmartSpice API 実行時実行時実行時実行時::::Model generation completed for pad.

Total simulation time 16.298 seconds

Library generation completed.

Page 19: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

19

Cell libraryへの統計情報設定の可能性?への統計情報設定の可能性?への統計情報設定の可能性?への統計情報設定の可能性?セルinv (Invertor) の LibertyR format: part-1

cell (inv) {

area : 0;

pin (a) {

direction : input ;

capacitance : 0.01146;

clock : false;

}

pin (y) {

direction : output;

function : "(!a)";

internal_power () {

related_pin : "a";

rise_power (pwr_template2x2) {

index_1 ("0.10000, 0.20000");

index_2 ("0.01000, 0.05000");

values ("0.08991, 0.21388", ¥

"0.11038, 0.22391");

}

fall_power (pwr_template2x2) {

index_1 ("0.10000, 0.20000");

index_2 ("0.01000, 0.05000");

values ("-0.01988, -0.14139", ¥

"-0.02664, -0.15009");

}

}

Page 20: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

20

セルinv (Invertor) の Liberty format: part-2timing () {

related_pin : "a";

timing_sense : negative_unate;

cell_rise (delay_template2x2) {

index_1 ("0.10000, 0.20000");

index_2 ("0.01000, 0.05000");

values ("0.06774, 0.16861", ¥

"0.09883, 0.19770");

}

rise_transition (delay_template2x2) {

index_1 ("0.10000, 0.20000");

index_2 ("0.01000, 0.05000");

values ("0.09371, 0.31631", ¥

"0.11797, 0.32794");

}

cell_fall (delay_template2x2) {

index_1 ("0.10000, 0.20000");

index_2 ("0.01000, 0.05000");

values ("0.02340, 0.08096", ¥

"0.01212, 0.08635");

}

fall_transition (delay_template2x2) {

index_1 ("0.10000, 0.20000");

index_2 ("0.01000, 0.05000");

values ("0.06570, 0.15570", ¥

"0.09376, 0.18664");

}

}

}

cell_leakage_power : "0.22412";

}

Cell libraryへの統計情報設定の可能性?への統計情報設定の可能性?への統計情報設定の可能性?への統計情報設定の可能性?

Page 21: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

21

配線配線配線配線RCの影響の影響の影響の影響

Page 22: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

22

配線配線配線配線RC::::Clever

Page 23: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

23

SILVACO &&&& SIMUCAD EDA Tools

Page 24: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

24

まとめまとめまとめまとめ

•ばらつきモデルを不要とする手法(品質工学)紹介とその課題ばらつきモデルを不要とする手法(品質工学)紹介とその課題ばらつきモデルを不要とする手法(品質工学)紹介とその課題ばらつきモデルを不要とする手法(品質工学)紹介とその課題

•Silvaco、、、、Simucad EDAプラットフォーム:プラットフォーム:プラットフォーム:プラットフォーム:

SmartSpice回路シミュレータの高速回路シミュレータの高速回路シミュレータの高速回路シミュレータの高速APIがもたらす、がもたらす、がもたらす、がもたらす、

回路特性ばらつきへの取り組みの可能性回路特性ばらつきへの取り組みの可能性回路特性ばらつきへの取り組みの可能性回路特性ばらつきへの取り組みの可能性

•ばらつきのモデル化、ばらつきのモデル化、ばらつきのモデル化、ばらつきのモデル化、LSIへの対応は広範囲に渡りへの対応は広範囲に渡りへの対応は広範囲に渡りへの対応は広範囲に渡り

コラボレーションが不可欠コラボレーションが不可欠コラボレーションが不可欠コラボレーションが不可欠

Page 25: ばらつき“とコンピュータ・ シミュレーション EDA プラット … · 2007/7/12 Y. Iino 18 SmartSpiceAPI: AccuCell Cellキャラクタライズ所要時間の大幅な短縮

2007/7/12 Y. Iino

25

References

•品質工学応用講座(日本規格協会)品質工学応用講座(日本規格協会)品質工学応用講座(日本規格協会)品質工学応用講座(日本規格協会)

半導体製造の技術開発半導体製造の技術開発半導体製造の技術開発半導体製造の技術開発 ((((1994年刊)年刊)年刊)年刊)

•㈱㈱㈱㈱シルバコ・ジャパン:シルバコ・ジャパン:シルバコ・ジャパン:シルバコ・ジャパン: http://www.silvaco.co.jp

• Simucad Design Automation : http://www.simucad.com

• Silvaco International: http://www.silvaco.com