歩留り改善 -...

33
歩留り改善 スコープ 歩留り改善の章は 4 つの話題に焦点を当てている。即ち、歩留りモデルと装置許容欠陥数、欠陥 検出と特徴付け、歩留り習熟とウェーハ環境汚染管理である。半導体ビジネスの重要な成功指標は、 歩留りの垂直立上げを達成し、この焦点を当てた 4 つの話題で如何に優位性を示すかである。この 優位性は、全てのプロセス技術と施設インフラ、デバイス設計、プロセスインテグレーションのすべてを 横断的に網羅したものである。そのために鍵となるのは、プロセスや装置の発生する欠陥をより一層 低減し、成熟製品に要求される歩留りを実現するための欠陥目標を達成することである。システマチ ックなメカニズムによる歩留り損失を、安定させ、低減し、制御するためには、多大な努力が必要であ る。欠陥と不良、不良と欠陥の対応付け、欠陥のキル率を求める技術、欠陥の同定技術は、物理的 なデバイス寸法が縮小し、対応する欠陥寸法も縮小するので、同じように重要な技術的課題である。 高い感度と処理能力を必要とする欠陥を検出し、レビューし分類分けする技術の開発に新たな資 金が必要である。施設、設計、プロセス、テストと仕掛かりデータを相関付ける人工知能化された解 析と欠陥低減のアルゴリズムは、早期の歩留り習熟を可能とするために、開発しなければならない。ラ インを管理し歩留り改善を確実なものとするために、標準モニター・ウェーハの準備方法や、検出レ シピー、エッジ除外、テスト構造、長/短ループ実験、サンプリングのやり方に間して具体的な勧告 が必要である。プロセス必須薬液やガスの不純物レベルを一桁以上改善することは、 90nm 以下の 領域に至るまで必要ないであろう。供給される純度に必要な管理システムを明確にするために、供給 ポイントからユースポイントの間にある潜在的な汚染を明らかにすることが必要である。新誘電材料の 塗布膜は予め評価が必要である。 この章の名を欠陥低減から歩留り改善に変更したのは、従来気になっていた記述していない分野 へ領域を拡大したからである。たとえば、これからはパラメータテスト、回路プローブテスト、パッケージ テストでの欠陥によらない歩留り損失も扱う。エッジ部のチップの救済といった分野もこの章で取り上 げる。これらの問題はこれからの改版で扱われる。 困難なチャレンジ 歩留り改善技術の困難なチャレンジ(挑戦)は表88 に纏めてある。許容欠陥数は将来のプロセス 技術情報が入手できるようになったら、その都度再検証し、更新する必要がある。歩留りモデルは、 将来の技術ノードに対して、システム起因の歩留り(パラメータ起因歩留り損失、回路起因歩留り損 失等)ばかりではなく、ランダム欠陥起因の歩留りにどのような影響があるのかの観点で、複雑なイン テグレーションの課題をよりよく考慮する必要がある。将来の欠陥モデルは光学的欠陥検査や分析 よりも、電気的特性の情報をもっと取り入れるべきである。高アスペクト比のコンタクト、デュアルダマシ ン構造の溝やビアの組み合わせ部の欠陥検出は引き続き困難な欠陥検出の挑戦課題となるだろう。 さらに言えば、 100 億個にも上る同様な構造を持つプロセス層中の一つの高アスペクト比構造中の ビア欠陥を検出することは引き続きこの分野における大きな挑戦であろう。この挑戦は高感度と高処 理能力両方を要求されることから、複雑なものとなっている。今日、高感度と高処理能力はトレードオ

Upload: others

Post on 16-Mar-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

歩留り改善 スコープ

歩留り改善の章は 4 つの話題に焦点を当てている。即ち、歩留りモデルと装置許容欠陥数、欠陥

検出と特徴 付け、歩留り習熟とウェーハ環境汚 染管理である。半導体 ビジネスの重要な成功指標は、

歩留りの垂直立上げを達成し、この焦点を当てた 4 つの話題で如何に優位性を示すかである。この

優位性は、全てのプロセス技術と施設インフラ、デバイス設計、プロセスインテグレーションのすべてを

横 断 的 に網 羅 したものである。そのために鍵 となるのは、プロセスや装 置 の発 生 する欠 陥 をより一 層

低 減し、成 熟 製 品 に要 求される歩 留りを実 現 するための欠 陥 目 標を達 成することである。システマチ

ックなメカニズムによる歩留り損失を、安 定させ、低 減し、制 御するためには、多 大 な努力が必 要であ

る。欠陥と不良、不良と欠陥の対応付け、欠陥のキル率を求める技術、欠陥の同定技術は、物理的

なデバイス寸法が縮小し、対応する欠陥寸法も縮小するので、同じように重要な技術的課題である。

高 い感 度 と処 理 能 力 を必 要 とする欠 陥 を検 出 し、レビューし分 類 分 けする技 術 の開 発 に新 たな資

金 が必 要 である。施 設 、設 計 、プロセス、テストと仕 掛 かりデータを相 関 付 ける人 工 知 能 化 された解

析と欠陥低減のアルゴリズムは、早期の歩留り習熟を可能とするために、開発しなければならない。ラ

インを管 理 し歩 留 り改 善 を確 実 なものとするために、標 準 モニター・ウェーハの準 備 方 法 や、検 出 レ

シピー、エッジ除 外 、テスト構 造 、長 /短 ループ実 験 、サンプリングのやり方 に間 して具 体 的 な勧 告

が必 要である。プロセス必 須薬 液やガスの不 純 物レベルを一 桁以 上 改 善することは、90nm 以 下の

領域に至るまで必要ないであろう。供給される純度に必要な管理システムを明確にするために、供給

ポイントからユースポイントの間にある潜 在 的な汚 染を明らかにすることが必 要である。新 誘 電 材 料の

塗布膜は予め評価が必要である。 この章 の名 を欠 陥 低 減 から歩 留 り改 善 に変 更 したのは、従 来 気 になっていた記 述 していない分 野

へ領 域 を拡 大 したからである。たとえば、これからはパラメータテスト、回 路 プローブテスト、パッケージ

テストでの欠 陥 によらない歩 留 り損 失 も扱 う。エッジ部 のチップの救 済 といった分 野 もこの章 で取 り上

げる。これらの問題はこれからの改版で扱われる。

困難なチャレンジ

歩留り改善技術の困難なチャレンジ(挑戦)は表 88 に纏めてある。許容欠陥数は将来のプロセス

技 術 情 報 が入 手 できるようになったら、その都 度 再 検 証 し、更 新 する必 要 がある。歩 留 りモデルは、

将 来 の技 術 ノードに対 して、システム起 因 の歩 留 り(パラメータ起 因 歩 留 り損 失 、回 路 起 因 歩 留 り損

失 等 )ばかりではなく、ランダム欠 陥 起 因 の歩 留 りにどのような影 響 があるのかの観 点 で、複 雑 なイン

テグレーションの課 題 をよりよく考 慮 する必 要 がある。将 来 の欠 陥 モデルは光 学 的 欠 陥 検 査 や分 析

よりも、電気 的特 性の情 報をもっと取 り入れるべきである。高アスペクト比のコンタクト、デュアルダマシ

ン構 造 の溝 やビアの組 み合 わせ部 の欠 陥 検 出 は引 き続 き困 難 な欠 陥 検 出 の挑 戦 課 題 となるだろう。

さらに言 えば、100 億 個 にも上 る同 様 な構 造 を持つプロセス層 中 の一 つの高 アスペクト比 構 造 中 の

ビア欠 陥 を検 出 することは引 き続 きこの分 野 における大 きな挑 戦 であろう。この挑 戦 は高 感 度 と高 処

理能力両方 を要求されることから、複雑なものとなっている。今日、高感度と高処理能力はトレードオ

フの関 係 にあり、基 礎 歩 留 り(ベースライン歩 留 り)習 熟 のためか、量 産 のためのライン監 視 かで装 置

性 能 を最 適 化 してしのいでいる。不 良 同 定 作 業 の複 雑 さは、指 数 関 数 的 に増 大 し、水 平 面 内 と垂

直 に層 (スタック)に跨 る欠 陥 の位 置 の次 元 を定 義 するという非 常 に困 難 な仕 事 を抱 えている。検 出

できる物理的痕跡を残さない回路不良を解析することは非常に困難な仕事である。増加数が 0 に近

いが、頻 繁 に高 い変 動 係 数 を示 す欠 陥 を正 確 に扱 う統 計 的 手 段 は基 本 的 にデータ量 削 減 手 法 へ

の挑 戦である。最新のテスト構 造とモデル技術 を駆使して、不 純物 濃 度 とデバイスの歩 留り、信 頼 性、

性 能 との間 の相 関 を理 解 することは、重 要 プロセス材 料 の分 野 で基 本 的 な挑 戦 である。この相 関 に

より、益 々厳 しくなる汚 染 基 準 への要 求 が本 当 に必 要 なのか、ただ厳 しい仕 様 が必 要 だという早 期

警 報 を与 えているだけなのかが判 明 する。プロセス装 置 は歩 留 りの急 低 下 、失 敗 、不 良 を自 動 的 に

自己監視する能力を有し、直ちに是正措置を開始する能力を持たなければならない。

表 88 歩留り改善の困難なチャレンジ 困 難 なチャレンジ 65NM/2007 年 以 前 課 題 のまとめ

システマチック歩留りモデルの開発と検証 ― プロセス起因

の欠陥、装置の発塵、製品やプロセスの測定デー

タ、設計やレイアウトの感度などは全て歩留りと相関

付けられなければならない。

装置起因欠陥(PID)数、ウェーハ移動ごとのパーティクル(PWP)数、製品検査をその場測定のデータと相関付けること。

パラメータ不良やプロセスが設計に合っていないことに

よる歩留り損失モデルの開発。非常に小さい数のデー

タを扱う上での抜き取りと統計処理の問題。歩留りモデ

ルの精度向上。

高アスペクト比パターン検査 ― 高速で低価格な装置が開

発されなければならない。この検査装置では、高ア

スペクト比のコンタクト/ビア/溝に伴う欠陥を高速

に検出し、特に底や底付近の欠陥を検出する。

ビアの底にエネルギーが届きにくいことと検出系へ情報が戻って

こないこと。ウェーハあたりのコンタクトとビア数が多いこ

と。

歩留り垂直立上げのための欠陥/不良源究明 ― 自動化さ

れた高度な解析および建屋・設計・プロセス・テス

ト・仕掛かりデータを相関付ける欠陥低減アルゴリズ

ムを開発し、歩留りを阻害している条件の迅速な根

本原因解析を可能にする必要がある。

回路の複雑さは指数関数的に増加し、非アレイチップの不良を

迅速に同定する能力が必要である。自動的データマイ

ニング/イメージマイニングとデータ量低減アルゴリズ

ムを開発し、多くのデータ源(建屋、設計、プロセス、テ

スト)から欠陥源を究明する必要がある。

不純物量と歩留りの相関 ― 使用方法および液体/気体ん

お種類と標準テスト構造/製品歩留りとの相関。 各材料ごとに使用方法を確立すること。歩留り/パラメータに及

ぼす影響を調べるための標準検査方法を決定するこ

と。

困 難 なチャレンジ 65NM/2007 年 より先 課 題 のまとめ

新材料とインテグレーションを考慮した歩留りモデル ― モ

デルはパラメータに対する感度の向上、複雑なイン

テグレーションの問題、超薄膜の品質、回路設計の

影響、搭載トランジスタ数の増加等を考慮に入れる

必要がある。

新しい技術ノードのためのテスト構造開発。複雑なインテグレー

ション問題への取組み。超薄膜の信頼性モデル。増大

するトランジスター搭載密度を考慮に入れてフロントエ

ンドプロセス(FEP)のスケーリング方法を改善すること。

欠陥検出 ― 検出と同時に多種類のキラー欠陥を区別する

ことが、高い欠陥捕捉率と処理速度達成のために

必要である。

現存技術は感度のために処理速度を犠牲にしているが、予想さ

れる欠陥レベルでは処理速度と感度を両立させること

が統計的有意性を確保するために必要。問題となる寸

法でパーティクルを検出する能力は無いかもしれない。

見えない欠陥の原因究明と製造/テストのための設計 - 不良解析装置・技術には、物理的痕跡が見つから

ない欠陥の位置を特定できることが必要とされる。

加えて、IC 設計では、与えられた工程能力(訳者

注:Ck または Cpk)に最適であり、かつテスト/診断

能力をもつように設計しなければならない。

電気的な不良になる多くの欠陥はインラインでは検出できない。

適正な歩留りを得るためには設計とプロセスを整合させ

る手法が必要である。加えて、電気的な不良原因を素

早く特定するために、テスト/診断能力を IC 内に組込

むように設計しなければならない。

新材料のための原料 ― 供給されている誘電膜用原料に必

要とされる純度水準は知られていないか、あるいは

十分理解が進んでいない。

新誘電体用の原料に関して、純度標準規格を定めるための方法

を確立する必要がある。

α

α

+==

01

1** ADYYYY SRS

必要な研究

歩 留 りモデル、許 容 欠 陥 数 と歩 留 り習 熟 に関 して、可 能 性 のある解 に到 達 するまでの研 究 と道 程

は下 の図 にうまく描 かれている。半 導 体 メーカからの協 力 を引 き続 き得 ることで正 確 な歩 留 りモデル

の検 証ができる。欠 陥 源 究 明 の革 新 的 アルゴリズムが早 期 の歩 留 り習 熟 に必 要 だろう。特に電 気 的

不良が光学顕微鏡や電子顕微鏡で観察できる痕跡を残さない場合には必要である。 直径が 100nm以下の欠陥寸法に高アスペクト比検査(HARI;High Aspect Ratio Inspection)

を適用する場合、検査装置の処理能力が低く CoO(Cost of Ownership)が高いために欠陥検出

や特徴付けができなくなっている。仕掛中の製品 のリスクを回避するためには経済性ある解が必要で

ある。 ウェーハ環 境 汚 染 管 理 は現 実 的 生 産 コストを守 るため、ユースポイントでの純 度 に注 意 すべきであ

る。再 利 用 可 能 なプロセスガス/薬 液 から不 要 な汚 染 だけを取 り除 く局 所 フィルタといった革 新 的 ア

イデアが研 究 される必 要 がある。新 誘 電 材 料 の原 材 料 のベンダは標 準 化 された手 法 を用 いて純 度

要求を調べる必要がある。

技術的要求

歩留りモデルと装置許容欠陥数

プロセスの総合的な歩留りは、大きくシステムによって決まる歩留り

(グロス歩 留 り、Ys)とランダム欠 陥 によって決 まる歩 留り(Yr)の積と

して表現される。表 90 と表 91 に負の二項分布モデルに基づく装置

許容欠陥数の要求値を示す。ここで Yr はランダム歩留り、A はデバ

イスの面 積 、D0 は欠 陥 密 度 、αはクラスタ係 数 である。本 改 訂 での装 置 許 容 欠 陥 数 の要 求 値 の計

算 に際して用いた仮 定 を表 89 に示 す。インターナショナル・セマテックの参 加 企 業で行 った PWP(particle per wafer pass)の 3 つの研究結果(1997、1999、2000 年)を用いて、2001 年 ITRSの目 標 値 を設 定 した。この目 標 値 は一 般 的 プロセス装 置 ごとの PWP メデイアン値 からが外 挿 し

MPU(micro-processor unit)あるいは DRAM(dynamic random access memory)を製造する

ための一 般 的 工 程 フローに個 々に合 わせたものである。全 てのプロセス工 程 の装 置 許 容 欠 陥 数 目

標 にはその装 置 のウェーハ搬 送 に伴 う欠 陥 数 も入 れてある。加 えて、検 査 と測 定 のためにはロットあ

たり 10%のウェーハ・サンプリングを仮定している。

この PWP 外挿式は技術ノード間の PWP 許容数を計算するた

めに使 われている。外 挿 にあたっては、チップ寸 法 の増 大 、複 雑

さの増 加 、パターン寸 法 の縮 小 を考 慮 した。外 挿 式 において、

PWP は 1m2 あたりのウェーハ処理 1 回ごとのパーティクル欠陥

密 度 、F は(ランダムな電 気 的 故 障 密 度 (D0)を与 えられた技 術 ノードのマスク数 で割 って決 定 され

る)露光層あたりの平均不良数、S は問題となる最小の欠陥寸法、n は技術ノードである。問題となる

2

11

1

×=

−−

n

nn

nnn

SSF

FPWPPWP

最小の欠陥寸法を 75nm として、全ての PWP 許容欠陥数を定義した。表 90、91 の PWP の各欄

に MPU や DRAM のプロセス工程で用いられる一般的な製造装置を示す。将来の実際の製造装

置 やプロセスは分 からないので、本 ロードマップではいかなる新 プロセス、新 材 料 、新 装 置 も前 世 代

技術を超える PWP 値は許容されないと仮定した。この仮定に対しては、定期的に検証を行う必要が

ある。この欠陥目標設定方法はワーストケースモデルとなる。なぜなら全プロセス工程が最小デバイス

寸法を使っていると仮 定しているためである。実際には多 くのプロセスはより緩い寸法のプロセス領域

(訳 注 :日 本 では大 工 程 などと呼 ばれる)から成 り立 っている。しかし最 小 ルール寸 法 の工 程 と緩 い

寸法の工程の両方に同 じ装置が使 用される場 合がある。歩留りを低く見積るコスト(使わない処理能

力のコスト)は小さく、歩留りが低くて追加製造をしなければならない場合よりましである。歩留りを高く

見 積 って余 計 にかかるコストの主 なものはウェーハ没 のコストである。このように、ワーストケース(最 悪

の場合)を許容欠陥数モデルに設定する方が確実である。 表 89 に MPU、または DRAM における電気的不良密度と PWP 許容欠陥数を導出するための、

歩留り、製品成熟度の仮定を示す。大部分のこれらの仮定は ORTC の章で定義されている。表 90は ORTC の表 1a で定義された、低価格 MPU に対する仮定を満たすために必要なランダムな PWP許容欠陥数を示す。この MPU は小規模の L1 キャッシュを持つが、基本的にはロジックトランジスタ

ブロックで構成されると仮定する。MPU に関しては、プロセス/設計向上目標指数(ORTC 表 1b)

が各技術ノードで満足されていると仮定して、解析を行った。(訳注:ORTC 表 1 ではプロセス/設計

向上目標指数は市場、つまりコストとタイミングで決まり、1999 年が 2000 年 1.0、2001 年から 2014年までが 0.93 となっている。同様に、表 91 は表 89 に記載された DRAM 歩留り目標を満たすため

に必要なランダム PWP 許容欠陥数を示す。マスク 1 枚あたりの不良数を計算する際に用いられるラ

ンダムな電気的不良密度は(これは PWP 外挿式に対する入力値として使用されるのだが)、DRAMチップの周辺回路(ロジック/デコーダ)の領域のみに基づく。この周辺回路の面積は ORTC におい

て当 該 の製 品 成 熟 度 でチップ面 積 の 45%と設 定されている。周 辺 回 路には冗 長 回 路 がないので、

チップのこの部分はランダム欠陥によって決まる 89.5%の歩留りを達 成しなければならない。DRAMのコア(アレイ)部には冗 長性があり全体として 85%の目 標 歩留 りが達 成できると仮定している。この

ITRS の改訂版では表 92 に、表 90、91 の内容をユーザ独自の歩留り、技術、チップ寸法に合わせ

て計算する計算機が含まれている。

表 89 装置許容欠陥数の到達レベル導出のための仮定 PRODUCT MPU DRAM

YIELD RAMP PHASE VOLUME PRODUCTION VOLUME PRODUCTION YOVERALL 75% 85% YRANDOM 83% 89.5% YSYSTEMATIC 90% 95% Cluster Parameter 5 5

表 90 MPU 欠陥モデルと装置許容欠陥数

YEAR OF PRODUCTION 2001

130nm

2002

115nm

2003

100nm

2004

90nm

2005

80nm

2006

70nm

2007

65nm

2010

45nm

2013

32nm

2016

22nmMPU MPU ½ METAL ONE PITCH (nm) (A) 150 130 107 90 80 70 65 45 32 22 CRITICAL DEFECT SIZE (nm) 75 65 54 45 40 35 33 23 16 11 CHIP SIZE (mm2) (B) 140 140 140 140 140 140 140 140 140 140

OVERALL ELECTRICAL D0 (FAULTS/m2) AT CRITICAL DEFECT SIZE OR GREATER (C)

2115 2115 2115 2115 2115 2115 2115 2115 2115 2115

RANDOM D0 (FAULTS/m2) (D) 1356 1356 1356 1356 1356 1356 1356 1356 1356 1356# MASK LEVELS (E) 25 25 25 25 25 27 27 27 29 29 RANDOM FAULTS/MASK 54 54 54 54 54 50 50 50 47 47 MPU Random Particles per Wafer pass (PWP) Budget (defects/m2) for Generic Tool Type scaled to 75nm critical defect size or greater (F)

CMP Clean 448 337 228 161 127 90 78 37 18 8 CMP Insulator 1084 814 552 390 308 219 189 90 43 20

CMP Metal 1225 920 623 441 348 247 213 102 48 23Coat/Develop/Bake 196 147 100 70 56 39 34 16 8 4

CVD Insulator 963 772 523 370 292 207 179 86 40 19CVD Oxide Mask 1267 950 644 455 360 255 220 105 50 23

Dielectric Track 308 232 157 111 88 62 54 26 12 6 Furnace CVD 549 412 279 198 156 111 95 46 22 10

Furnace Fast Ramp 497 373 253 179 141 100 86 41 19 9 Furnace Oxide/Anneal 321 241 164 116 91 65 56 27 13 6 Implant High Current 430 323 219 155 122 87 75 36 17 8

Implant Low/Med Current 392 295 200 141 112 79 68 33 15 7 Inspect PLY 400 300 203 144 114 81 70 33 16 7

Inspect Visual 429 323 219 155 122 87 75 36 17 8 Litho Cell 332 250 169 120 95 67 58 28 13 6

Litho Stepper 315 237 160 113 90 64 55 26 12 6 Measure CD 374 281 190 135 106 75 65 31 15 7

Measure Film 321 241 164 116 91 65 56 27 13 6 Measure Overlay 298 224 152 107 85 60 52 25 12 6

Metal CVD 585 439 298 211 166 118 102 49 23 11Metal Electroplate 302 227 154 109 86 61 52 25 12 6

Metal Etch 1300 976 661 468 370 262 226 108 51 24Metal PVD 667 501 339 240 190 135 116 56 26 12

Plasma Etch 1183 889 602 426 336 239 206 99 46 22Plasma Strip 547 411 278 197 156 110 95 46 21 10

RTP CVD 357 268 181 128 101 72 62 30 14 7 RTP Oxide/Anneal 234 175 119 84 66 47 41 19 9 4

Test 91 69 47 33 26 18 16 8 4 2 Vapor Phase Clean 822 617 418 296 234 166 143 68 32 15

Wafer Handling 37 28 19 13 10 7 6 3 1 1 Wet Bench 535 402 272 192 152 108 93 45 21 10

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

[A] As defined in the ORTC Table 1a

[B] As defined in the ORTC Table 2a

[C] As defined in the ORTC Table 5a

[D] Based on assumption of 83% Random Defect Limited Yield (RDLY)

[E] As defined in the ORTC Table 5a

表 91 DRAM 欠陥モデルと装置許容欠陥数

YEAR OF PRODUCTION 2001

130nm

2002

115nm

2003

100nm

2004

90nm

2005

80nm

2006

70nm

2007

65nm

2010

45nm

2013

32nm

2016

22nmDRAM DRAM / ASIC ½ PITCH (nm) (A) 130 115 100 90 80 70 65 45 32 22 CRITICAL DEFECT SIZE (nm) 65 58 50 45 40 35 33 23 16 11 CHIP SIZE (mm2) (B) 127 100 118 93 147 116 183 181 240 238 CELL ARRAY AREA (%) @ PRODUCTION 55% 55% 56% 56% 56% 57% 57% 58% 58% 58% NON-CORE AREA (mm2) 57 45 52 41 64 50 79 77 101 99 OVERALL ELECTRICAL D0 (FAULTS/m2) AT CRITICAL DEFECT SIZE OR GREATER (C)

2890 3671 3163 4047 2580 3293 2100 2155 1643 1670

RANDOM D0 (FAULTS/m2) (D) 1963 2493 2148 2748 1752 2236 1426 1464 1116 1134 # MASK LEVELS (E) 21 22 24 24 24 24 24 26 26 26 RANDOM FAULTS/MASK 93 113 89 115 73 93 59 56 43 44 DRAM Random Paritcle per Wafer pass (PWP) Budget (defects/m2) for Generic Tool Type scaled to 75nm critical defect size or greater (F)

CMP Clean 1076 1021 610 632 318 311 171 78 30 14 CMP Insulator 833 790 472 489 246 241 132 60 23 11

CMP Metal 1276 1211 723 750 378 369 203 92 36 17 Coat/Develop/Bake 333 316 188 195 98 96 53 24 9 4

CVD Insulator 923 876 523 542 273 267 147 67 26 12 CVD Oxide Mask 1133 1075 642 665 335 327 180 82 32 15

Dielectric Track 467 443 264 274 138 135 74 34 13 6 Furnace CVD 638 605 361 374 189 184 101 46 18 9

Furnace Fast Ramp 601 571 341 353 178 174 96 43 17 8 Furnace Oxide/Anneal 481 456 272 282 142 139 76 35 13 6 Implant High Current 559 530 316 328 165 161 89 40 16 7

Implant Low/Med Current 533 506 302 313 158 154 85 38 15 7 Inspect PLY 729 691 413 428 216 211 116 53 20 10

Inspect Visual 752 713 426 441 222 217 119 54 21 10 Litho Cell 624 592 354 367 185 180 99 45 17 8

Litho Stepper 415 394 235 244 123 120 66 30 12 6 Measure CD 623 591 353 366 184 180 99 45 17 8

Measure Film 586 556 332 344 173 169 93 42 16 8 Measure Overlay 570 541 323 335 169 165 91 41 16 8

Metal CVD 587 557 333 345 174 170 93 42 16 8 Metal Electroplate 446 423 253 262 132 129 71 32 12 6

Metal Etch 1080 1025 612 634 320 312 172 78 30 14 Metal PVD 644 611 365 378 191 186 102 46 18 9

Plasma Etch 1144 1085 648 672 338 331 182 83 32 15 Plasma Strip 878 833 497 516 260 254 140 63 24 12

RTP CVD 574 545 325 337 170 166 91 41 16 8 RTP Oxide/Anneal 420 398 238 247 124 121 67 30 12 6

Test 82 78 46 48 24 24 13 6 2 1 Vapor Phase Clean 1215 1152 688 713 359 351 193 88 34 16

Wafer Handling 34 33 20 20 10 10 5 2 1 0 Wet Bench 870 825 493 511 257 251 138 63 24 12

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

[A] As defined in the ORTC Table 1a [B] As defined in the ORTC Table 2a [C] As defined in the ORTC Table 5a [D] Based on assumption of 89.5% Random Defect Limited Yield (RDLY)

欠陥目標計算機

表 90,91 のランダム欠陥目標は、30 の一

般的な装置種類に分類される 164 の実際の

装 置 に関 してインターナショオナル・セマテッ

クの参 加 企 業 によって収 集 されたデータを用

いて、決 められた技 術 ノードごとに纏 めたもの

である。メモリやロジックの目 標であっても、実

際のユーザの回路線幅や面積が ITRS の技

術 ノードの仮 定 と合 致 することはまずない。そ

こで Wright Williams & Kelly は半導体

の装 置 ベンダやメーカがロードマップの目 標

と彼 らの現 在 、また計 画 中 の要 求 とを比 較 で

きるように欠陥目標計算機を開発した 1。 指示 表 92 に例示してあるように、欠陥目標計算

機 にユーザは鍵 となるパラメータを入 力 し独

自 に チッ プの 欠 陥 目 標 を 見 積 る こと がで き

る。

この計 算 機 を使うためにはここをクリックする

か、右クリックしてファイルをダウンロードする。

必 要 とされるパラメータは問 題 とする最 小 欠

陥 寸 法 、ランダム欠 陥 歩 留 り、チップ寸 法 、

マスク層 数 である。この計 算 機 はロードマップ

の表と同じ外挿式を用いている。 定義 問 題 とする最 小 欠 陥 寸 法 ----ユーザの興 味

のある技術のメタル 1 ピッチの半分(nm 単

位) ランダム欠 陥 歩 留 り ---ランダム欠 陥 により失

われる歩留りの割合、総合歩留りを計算するためにはシステム歩留りを掛けなければならない(%) チップ寸法 ---ユーザのデバイス面積(問題となる部分か全体)(mm2) マスク層数 ---ユーザの使用する技術のマスク層数 周 辺 回 路 (ロジック)のチップ面 積 ---冗 長 がないレイアウトの面 積 、チップ面 積 引 くセル面 積 (%)、

DRAM の計算のみに使用

MPU DRAM USER INPUT

Minimum Critical Defect Size (nm) 75 65 75

Random Defect limited Yield (%) 83.0% 89.5% 83.0%

Chip Size (mm2) 140 127 140

Number of Mask Levels 25 21 25

Peripheral (Logic) Chip Area (%) NA 45.0% 100.0%

Random D0 (faults/m2) 1356 1963 1356 1356

Random Faults/Mask 54 93 54 54

User Targets

MPU DRAM

CMP Clean 448 1072 448 828

CMP Insulator 1084 830 1084 641

CMP Metal 1225 1272 1225 983

Coat/Develop/Bake 196 331 196 256

CVD Insulator 963 920 963 711

CVD Oxide Mask 1267 1129 1267 872

Dielectric Track 308 465 308 359

Furnace CVD 549 635 549 491

Furnace Fast Ramp 497 599 497 463

Furnace Oxide/Anneal 321 479 321 370

Implant High Current 430 557 430 430

Implant Low/Medium Current 392 531 392 410

Inspect PLY 400 726 400 561

Inspect Visual 429 749 429 579

Lithography Cell 332 622 332 481

Lithography Stepper 315 413 315 319

Measure CD 374 620 374 479

Measure Film 321 584 321 451

Measure Overlay 298 568 298 439

Metal CVD 585 585 585 452

Metal Electroplate 302 445 302 343

Metal Etch 1300 1077 1300 832

Metal PVD 667 642 667 496

Plasma Etch 1183 1140 1183 881

Plasma Strip 547 875 547 676

RTP CVD 357 572 357 442

RTP Oxide/Anneal 234 418 234 323

Test 91 82 91 63

Vapor Phase Clean 822 1210 822 935

Wafer Handling 37 34 37 27

Wet Bench 535 866 535 669

表 92 欠陥目標計算機

1 Developed by Darren Dance, Wright, Williams, and Kelly. 1999.

欠陥検出と特徴付け

欠陥検出に対してまず第一に要求されていることは、歩留りに影響を及ぼす欠陥を特定のプロセス

層 においてインラインで検 出 できる能 力 を得 ることである。また、プロセスの研 究 開 発 段 階 、歩 留 り立

上げの段階、そして量産の様々なフェーズに対応して処理能力を向上させていくことが要求されてい

る。欠 陥 検 出 技 術 の適 用 範 囲 の拡 大 は検 査 感 度 の向 上 と処 理 能 力 の向 上 の両 立 を要 求 しており、

その解 決 策 を示 すことは極 めて難 しい状 況 にある。プロセスの完 成 度 において様 々な成 熟 度 にある

複 数 の製 品 が同 じ欠 陥 検 査 装 置 で検 査 され、量 産 され始 めるにつれ、このことはより重 要 な問 題 に

なって来ている。多額の投資が必 要な欠陥検 出装置には、投資に見 合う効果を出すことが要求され

ている。 プロセスの開 発 から量 産 の各 フェーズに対 応 した性 能 を持 つ装 置 が、デバイスメーカに対 してジャ

ストインタイムで供給されなければならない。とりわけプロセスの研究 開 発に必 要な装置は新しい世代

のデバイス技 術 が導 入 されるのに先 行 して必 要 とされている。また歩 留 り立 上 げのフェーズで必 要 な

装 置 は量 産 が始 まる数 ヶ月 前 に準 備 できていなければならない。最 後 の量 産 段 階 、即 ち高 い製 品

歩 留 りを追 求 している段 階 においては、その技 術 世 代 の製 造 プロセスの異 常 をモニタリングできる能

力が必要である。 技 術 上 の要 求 項 目 は、パターン無 しウェーハ検 査 、パターン付 きウェーハ検 査 、並 びに高 アスペク

ト比パターン検査に分けられる(表 93 参照)。この中で CMP(chemical mechanical polishing:化 学 機 械 研 磨 )後 のレーザ散 乱 を用 いたパターン付 きウェーハ検 査 は、パターンが絶 縁 膜 に埋 まっ

ているため、寧 ろ装 置 管 理 を目 的 として使 用 されるパターン無 しウェーハ検 査 に近 いものとなり、この

ロードマップに適切なものとなっている。 装 置 管 理 に広 く利 用 されているパターン無 しウェーハ検 査 は欠 陥 レビューにも用 いられ、ここ数 年 そ

の重要性が増している。高アスペクト比パターン検査というのは、パターンの幅に対し深さの比が 3 以

上 の深 いパターンの底 の部 分 に存 在 する欠 陥 を検 出 する技 術 であり、通 常 のパターン付 きウェーハ

検査とは分けて考えられる。これは困難なチャレンジ課題の項と表 93 の脚注 C でも述べられている

よ う に 、 検 出 能 力 に 特 殊 な 要 素 が 求 め ら れ て い る か ら で あ る 。 HARI ( High Aspect Ratio Inspection;高アスペクト比パターン検査)欠陥検査装置には技術ノードの 0.3 倍程度の欠陥として、

コンタクト、VIA 形 状 (パターンの底 の部 分 の形 状)、寸 法 、および残 留 物を検 出 する能 力が要 求 さ

れている。現状の表 93 は現状のボルテージコントラスト法により検出を行うものとして 1x倍の欠陥寸

法を示しているが、デバイス製造の観点からは有害な抵抗の影響のため技術ノードの 0.3 倍程度の

欠陥を検出することが要求されている。 パターン無 しウェーハ検 査 における要 求 技 術 項 目 はウェーハそのものとデポ膜 の種 類 に依 存 して

いる。また、ウェーハ裏 面 の欠 陥 検 出 の際 にはウェーハ表 面 に何 らコンタミネーションや物 理 的 な接

触 をもたらさないことが望 まれる。このウェーハ裏 面 に関 する要 求 項 目 は、リソグラフィプロセスにおけ

る焦点 深 度 への影響を排 除する目 的から設けている。さらに、フロントエンドプロセスにおけるシリコン

ウェーハ、および表面前処理への影響をも排除する必要から規定されている。

他 にも幾 つかの欠 陥 モードが検 査 装 置 で検 出 可 能 であることが必 要 となっている。見 えない欠 陥

(従来の光 学的 手法で検出できない欠陥)に対する知見 の獲得についても、電 子ビーム技術を用い

た検出技術 の適用拡大と合わせて必要性が高まってきている。上述の大半の欠陥は表面下の位置

に存在し、デバイスの縦構造に対し無視できない大きさを持つ傾向がある。今の所これらの欠陥の検

出 すべき最 小 寸 法 の定 義 は明 確 になっていない。多 くの欠 陥 はデバイス性 能 に電 気 的 な影 響 を強

く及ぼし、それはフロントエンドプロセス(コンタクト酸化膜形成プロセス以前のプロセス)にもバックエン

ドプロセスにも同 様 に影 響 を及 ぼす。また、以 下 で規 定 されるようなサブミクロンの欠 陥 検 出 を急 ぐあ

まり、ウェーハの広 い領 域 に影 響 を及 ぼすマクロ欠 陥 が見 落 とされることがあってはならない。マクロ

検 査 のスキャンスピードはすべての技 術 ノードにおけるリソグラフィおよび時 には CMP の処 理 能 力

(検査時間を含む)に合致するよう、継続して向上されなければならない。 一 方 、半 導 体 デバイスメーカは急 速 な歩 留 り立 上 げと歩 留 り損 失 のリスクを避 けるために十 分 な頻

度 の自 動 検 査 を行 うが、それにかかるコストと得 られる利 益 とのバランスを取 ることが重 要 である。欠

陥検査装置の価格と占有面積、そして処理能力が CoO(コストオブオーナーシップ)に響く主要な要

素である。現在の所、この CoO の高さのため多くの半導体デバイスメーカが僅かな検査装置の配備

しかできない状況を生み出している。歩留りの習熟曲線を最大限高めるためには理想的には統計的

に最適化したサンプリングアルゴリズムが必要であり、そのためにも検査装置がフルに活用されなけれ

ばならない。もし将 来 、検 査 感 度 は向 上 するものの処 理 能 力 が低 下 したとすると、その装 置 の CoOは上 昇 し、半 導 体 デバイスメーカはさらに僅 かなサンプリングしかできず、結 果 として歩 留 り損 失 と歩

留り習熟の速度の低下を招くことになる。 表 93 に示された検査感度に対する要求値は、テストウェーハあるいは校正用ウェーハ上に付けら

れたポリスチレンラテックス(PSL)に対 する検 出 感 度 で規 定 されている。しかし、実 際 には新 規 の装

置 はプロセス開 発 の間 に発 生 する実 際 の欠 陥 に対 する検 出 能 力 で評 価 されることが多 い。このよう

な欠 陥 は高 解 像 度 光 学 系 を持 つ装 置 で検 出 される。これらの欠 陥 にはパーティクル、パターン流 れ、

並 びにスクラッチが含 まれている。また、様 々な工 程 において様 々な欠 陥 タイプが増 えてきており、新

旧 の欠 陥 検 査 装 置 においてこれらの欠 陥 をどの程 度 検 出 できるかその能 力 を評 価 するため、標 準

欠陥ウェーハを開発することが急務となっている。 将 来 の技 術 世 代 においては欠 陥 レビューを行 う顕 微 鏡 により高 い分 解 能 が要 求 される。SEM の

利 用 技 術 が急 速 に開 発 されることにより、今や上 述 のような欠 陥 の素 早 いレビューと分 類 が可 能 とな

っている。SEM レビューの速度を向上させることは、現状に比べより多くの欠陥情報を収集する機会

を増やすことになり、結果として歩留り立上げの習熟速度を向上させることにつながる。

表 93a 欠陥検査に対する要求―短期

YEAR OF PRODUCTION 2001

130nm

2002

115nm

2003

100nm

2004

90nm

2005

80nm

2006

70nm

2007

65nm Driver

Patterned Wafer Inspection, PSL* Spheres at 90% Capture, Equivalent Sensitivity (nm) [A, B] Process R&D at 300 cm2/hr (1 wafer/hr) 78 72 66 54 48 42 39 0.6xDR Yield ramp at 1200 cm2/hr (4 wafer/hr) 104 96 88 72 65 56 52 0.8xDR Volume production at 3000 cm2/hr (10 wafer/hr) 130 120 110 90 80 70 66 1.0xDR High Aspect Ratio Feature Inspection: Defects other than Residue, Equivalent Sensitivity in PSL Diameter (nm) at 90% Capture Rate *[C] All stages of manufacturing 130 120 110 90 80 70 65 1.0xDR Process verification (1 wafer/hr) 130 120 110 90 80 70 65 1.0xDR Volume manufacturing (4 wafer/hr) 130 120 110 90 80 70 65 1.0xDR Cost of Ownership :volume manufacturing, non-HARI ($/wafer scanned, 10/hr) 2–5 2–5 2–5 3–7 3–7 3–7 3–7

CoO HARI 20–50 20–50 20–50 20–50 20–50 20–50 20–50 Unpatterned, PSL Spheres at 90% Capture, Equivalent Sensitivity (nm) *[D, E, I] Metal film 91 85 77 32 56 35 33 0.5xDR Nonmetal films 70 65 59 49 43 35 33 0.5xDR Bare silicon 70 65 59 49 43 35 33 0.5xDR Wafer backside 200mm (# events flip method) 2500 2000 2000 2000 2000 2000 1000 Wafer backside 200mm (defect size nm) 200 200 200 200 100 100 100 Defect Review (Patterned wafer) Resolution (nm) *[F] 7 7 6 5 5 4 3 0.05xDRCoordinate accuracy (µm) at resolution 2 2 1 1 1 1 1 (J) Coordinate accuracy (µm) at size 15 12 12 10 10 7 7 Automatic Defect Classification at Defect Review Platform *[G, H] Redetection: minimum defect size (nm) 52 48 44 36 30 28 26 0.4xDR Number of defect types 10 10 10 15 15 15 15 [K] Speed (seconds/defect) 7 5 5 5 5 5 5 Speed w/elemental (seconds/defect) 20 15 13 10 10 10 10

*polystyrene latex ; spheres utilized to simulate defects of known size during sizing calibration.

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

表 93b 欠陥検査に対する要求―長期

YEAR OF PRODUCTION 2010 45nm

2013 32nm

2016 22nm Driver

Patterned Wafer Inspection, PSL Spheres at 90% Capture Process R&D at 300 cm2/hr (1 wafer/hr) 27 19 13 0.6xDR

Yield ramp at 1200 cm2/hr (4wafer/hr) 36 26 18 0.8xDR

Volume production at 3000 cm2/hr (10wafer/hr) 46 32 22 1.0xDR High Aspect Ratio Feature Inspection: Defects other than Residue All stages of manufacturing 45 32 22 1.0xDR Process verification (1 wafer/hr) 45 32 22 1.0xDR Volume manufacturing (4 wafer/hr) 45 32 22 1.0xDR Cost of Ownership volume manufacturing, non-HARI ($/wafer scanned, 10 /hr)

3-7 3-5 3-5

CoO HARI 20-50 20-50 20-50 Unpatterned, PSL Spheres at 90% Capture, Equivalent Sensitivity (nm) [D, E] Metal film 23 16 11 0.5xDR Nonmetal films 23 16 11 0.5xDR Bare silicon 23 16 11 0.5xDR Wafer backside 200mm (# events flip method) 1000 1000 500 Wafer backside 200mm (defect size nm) 100 60 50 Defect Review (Patterned wafer) Resolution (nm) *[F] 3 2 2 0.05xDR Coordinate accuracy (µm) at resolution 0.5 0.5 0.5 [J] Coordinate accuracy (µm) at size 5 5 5 Automatic Defect Classification at Defect Review Platform Re-detection minimum defect size (nm) 18 13 9 0.4xDR Number of defect types 20 20 25 [K] Speed (seconds/defect) 5 5 5 Speed w/elemental (seconds/defect) 10 10 10

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known Notes for Table 93a and b:

[A] Patterned wafer scan speed is required to be at least 300 cm2 /hour for process R&D mode, 1,200 cm2 /hour for yield ramp mode, and, at least , 3,000 cm2 /hour for volume production mode. Exist ing solutions do not achieve these targets at the above mentioned sensit ivi ty requirement. The table indicates the approximate number of 200 mm wafers per hour. To obtain the approximate 300 mm wafers per hour, multiple the wafers/hour rate by .435. (Example-- 3000 cm2 /hr is about 10, 200 mm wafers and 4.3, 300 mm wafers).

[B] Patterned wafer nuisance defect rate shall be lower than 5% in all process phases. False counts in the R&D phase less than 5%, and less than 1% in the yield ramp and volume production phase. Nuisance is defined as an event indicated and a defect is present, just not the type of in terest . These maybe signif icant and could be studied at a later date. The defect c lassi f ier must consider the defect type and assign s igni f icance. False is def ined at an event is indicated, but no defect can be seen using the review optics path of the detection tool, which supports recipe setup validation.

[C] HARI defects are already considered “kil lers” at any process stage, but defined at the contact/via levels for full feature size capture. Hence, minimum defect sensit ivi ty was st ipulated as 1.0× technology node at all s tages of production. Physically uninterrupted coverage of the bottom of a contact by a monolayer of material or more is the model to be detected. If in the future, detection tools can determine size, shape, or remaining material on the order of 0.3× technology node, this wil l more adequately match known experience for resistance changes. Scan speed for HARi tools have been broken out into process verif ication and volume production types. Process verif ication usually refers to SEM-type tools (but not necessarily in the future) and includes voltage contrast capabil i ty. The table indicates the approximate number of 200 mm wafers per hour. To obtain the approximate 300 mm wafers per hour, multiple the wafers/hour rate by .435.

Cost of Ownership is derived from the elements found in the International SEMATECH Metrology Tool Model.

[D] Unpatterned wafer defect detection tools wil l be required to scan 150 (200 mm or equivalent) wafers per hour at nuisance and false defect rates lower than 5%, for each individually.

[E] Metal f i lms inspection tools must detect defects greater than half the minimum contacted pitch (Interconnect chapter technology requirements) × 0.6 (process R&D requirement for patterned wafer defects) for non-grainy f i lms and × 0.6 for rough or grainy f i lms. Nonmetal f i lms and bare Si detection sensit ivi ty must be at least as good as that for patterned wafer inspection to justi fy monitor wafer usage.

Backside wafer particles are specif ied as events found at the size indicated. The yellow indication is due to only some inspection tools being capabil i ty of meeting this added particle spec.

[F] Resolution corresponds to 10% of patterned wafer detection sensit ivi ty for volume production.

[G] ADC: Detectabil i ty, as % of defects redetected, should be greater than 95; Accuracy, as the % of defects correctly classif ied as per a human expert , should be greater than 95; Repeatabil i ty should be greater than 95%; and Reproducibil i ty, as COV%, should be no greater than 5%.

[H] Assumptions: 5,000 wafer starts per month, defects per wafer based on surface preparation at FEOL, leading to defects per hour

that need review, 100% ADC.

[I] Backside defects for 300 mm wafers is approximated by multiplying the 200 mm table values by 2.373. The defect sizes remain the same.

[J] Driver is redetection by SEM ADC instrument at a 5000× f ield of view.

[K] The trend of increasing numbers of defect types, read across the table, is also to indicate decreasing defect size.

歩留り習熟

統 合 データ管 理 技 術 を活 用 し欠 陥 と不 良 源 を迅 速 に特 定 することが迅 速 な歩 留 り習 熟 の本 質 で

ある。表 94 は歩留り習熟に焦点をあてた項目に関する技術的要求である。回路の複雑さやウェーハ

ロットから得 られる情 報 量 は増 加 するにもかかわらず、歩 留 り習 熟 を加 速 させ、導 入 から成 熟 までの

歩 留 り上 昇 期 を期 待 される期 間 内 に保 つようにしなければならない。IC 製 造 プロセスが複 雑 さを増

すに伴 い、データ収 集 速 度 、記 憶 速 度 、検 索 速 度 は指 数 関 数 的 に増 加 させる必 要 がある。将 来 の

技 術 ノードにおいても、製 造 に関 する問 題 を特 定 する期 間 は、歩 留 り上 昇 期 の平 均 として、プロセ

ス・サイクルタイムの約 50%に少なくとも保持される必要がある。複雑さが増加する中で、統合 データ

管 理 (IDM;Integrated Data Management)のための方 法 論 とそれを実 現 するソフトウェアは生

産性維 持にとって重要 であると認 識されてきた。IDM システムは、歩 留り低下メカニズムを迅速に特

定 することを容 易 にするために、回 路 設 計 データ、見 える欠 陥 および見 えない欠 陥 のデータ、特 性

(パラメータ)データ、電 気テスト結 果を含み、プロセスの傾 向と逸 脱 を認 識する必 要がある。IDM シ

ステムには、歩 留 り低 下 メカニズムがひとたび特 定 されれば問 題 発 生 箇 所 を明 確 にできる機 能 が必

要である。問 題 発 生 箇 所はプロセス装 置であったり設 計 やテストの問 題、あるいはプロセスインテグレ

ーションの問 題であったりするが、それらが結果として欠陥、特性の問題、あるいは電気的不良となっ

て現れる。IDM システムには、製造ラインで保持されている多種類 のデータをマージできる機能も必

要である。このデータの統合化は現在は相互の関連無く存在する物理的あるいは仮想的データをマ

ージすることにより達 成 できる。多 種 多 様 なデータソースが活 用 でき、さらに自 動 欠 陥 分 類 (ADC)や

空間分布分析(SSA)などの自動解析技術の革新が、欠陥、特性(パラメータ)データ、電気的テスト

データなどの基礎データを有用な製造 プロセス情報に変 換することが可能になる。様々なタイプの欠

陥に対する技術的要求を以下に述べる。 見える欠陥(Visible Defects) 装 置 は検 出 、レビュー、分 類 、それに原 因 究 明 のための解 析 が要 求 され、技 術 ノードの進 展 に伴

って見える欠陥サイズは小さくなっている。 見えない欠陥(Non-visual Defects) 見えない欠 陥 とは、電 気 的 不 良 の原 因になるが、今 日 の検 査 技 術 では物 理 的 痕 跡 程 度 しか検 出

できない欠 陥 と定 義 する。回 路 設 計 がより複 雑 になると、物 理 的 痕 跡 すら残 さない欠 陥 が原 因 で生

ずる回路不 良が増える。こうした不良の一部は、たとえばウェーハ間やチップ間での抵抗や容量の変

動 の様 に、系 統 的 かつパラメトリック(特 性 規 格 はずれなど)な形で顕 在 化 する。あるいは、応 力 起 因

の転 位 や局 所 的 な結 晶 欠 陥 /原 子 結 合 不 良 (訳 注 :原 子 レベルでの結 合 が無 いもの。たとえば界

面 準 位)のような、偶 発 的かつパラメトリックではない形で顕 在 化する。後 者の迅 速 な原 因 究明 は、よ

り挑 戦 的 課 題 になると考 えられている。開 発 が必 要 な技 術 は、不 良 を迅 速 に特 定 する技 術 および、

特 定 された不 良 の原 因 を見 える欠 陥 、見 えない欠 陥 、それにパラメトリック欠 陥 に分 類 する技 術 であ

る。 パラメトリック欠陥(Parametric Defects) 最 小 寸 法 が小 さくなるに伴 って、システマチック欠 陥 が規 定 する歩 留 り(Ys)も同 様 に減 少 する。ウ

ェーハ内 およびウェーハ間 の特 性 変 動 (parametric variation)がシステマチック成 分 の主 要 素 と

なっている。パラメトリック欠 陥 は歴 史 的 に見 えない欠 陥 とされてきた。しかしながら迅 速 な欠 陥 原 因

究明のためには、見えない欠陥とは分離して扱う必要が出てきている。 電気的欠陥(Electrical Faults) プロセス層 数の増 加 、チップ内 トランジスタ数 の増 加 、回 路 密 度 の増 加 、問 題となる欠 陥 寸 法の縮

小 が進 んでいるが、それに伴 って生 ずる欠 陥 数 の増 加 は電 気 的 不 良 としてしか検 知 されない。電 気

的 不 良 には、点 状 欠 陥 やパラメータ値 を変 えるプロセス変 動 による不 良 も含 まれている。欠 陥 発 生

原 因 を特 定 するためには電 気 的 不 良 がチップのどこで生 じているかを明 らかにしなければならない。

この作業の複雑さは単位面積(mm2)あたりのトランジスタ数×総プロセス数にほぼ比例するため、表

94 にはその数 値 を欠 陥 特 定 の複 雑 度 として表 示 してある。複 雑 さが増 す状 況 にあっても欠 陥 発 生

原 因 を特 定 する時 間 を一 定 に保 つため、チップ内 での電 気 的 不 良 が発 生 している位 置 を特 定 する

ための時間を増加させない様にする必要がある。 データ管理システム(Data Management System) 現 存 するデータ管 理 システムは数 種 類 の独 立 したデータベースを持 ち、歩 留 り解 析 に携 わる幾 つ

かの技 術 者 グループが利 用 できるようになっている。このデータはベースライン歩 留 り解 析 、突 発 的

歩留り低下の抑制、傾向確認、プロセス設計、歩留り予測などに用いられている。 IDM の有 効 性 を阻 害 する主 要 因 は、システム間 の情 報 伝 達 、データ仕 様 、データベース間 の共

通ソフトウェアインターフェースが基 準とするデータの標 準 規 格が無いことである。使いやすい標 準 規

格を作ることは自動化を促進するためにも必要である。現在の技術的解析手法は人による操作が必

要であり、発見的性格の域を出ていない。SPC(Statistical Process Control)チャートや他のシス

テムのアラームをキューにして、多 様 なデータベースからの自 動 的 にデータを収 集 する能 力 は、デー

タの山 からプロセスに関 連 した情 報 をタイムリーに引 き出 すために必 要 である。欠 陥 源 究 明 を閉 じた

ループ作業とするためには、DMS、特に市販の DMS がプロセス管理情報や装置管理情報(たとえ

ば WIP データ)を扱えるようにする必要がある。こうした情報処理が特に重要になると予想されるのは、

装 置 が異 常 と判 断 された時 に装 置 を停 止 するという単 純 な機 能 に留 まらず、別 の装 置 への自 動 的

な迂 回 や装 置 の異 常 内 容 の診 断 といった先 端 プロセス制 御 /装 置 制 御 の導 入 を考 えた場 合 であ

る。 DMS は今の所、その場(In-situ)センサ-出力、装置の正常/異常出力、装置のログデ-タとい

った装 置 の時 系 列 データを扱 うに留 まっている。ロットあるいはウェーハベースのデータと関 連 付 けて

装置の時系列データを記録できる方法が必要である。 今日の DMS で扱える製造データは非常に多様であるにもかかわらず、歩留り予測のためのハード

やソフトは少 数 の専 門 家 にしか扱 えない状 況 が続 いている。こうした解 析 手 法 を広 範 な技 術 者 グル

ープが使えるようになれば、優先して解決すべき欠陥発生 メカニズムを迅速に明確 化でき、その結果、

最も重要な問題にすばやく対応できるようになるであろう。

表 94a 歩留り習熟に関する技術的要求―短期

YEAR OF PRODUCTION 2001 2002 2003 2004 2005 2006 2007

DRAM ½ Pitch 130nm 115nm 100nm 90nm 80nm 70nm 65nm

MPU Printed Gate Length 90nm 75nm 65nm 53nm 45nm 40nm 35nm

Wafer size (mm) 300 300 300 300 300 300 300 Number of mask levels 25 25 25 27 27 27 29 Number of processing steps 490 503 516 530 543 556 570 Cycle time during ramp (# days) 25 25 25 27 27 27 29 Defect/Fault Sourcing Complexity [A], [G]

Logic transistor density/cm2 (1E6) 14 19 26 35 47 63 85

Defect sourcing complexity factor (1E9) [B] 7 10 13 18 25 35 49 Defect sourcing complexity trend [C] 1 1 2 3 4 5 7 Data Analysis for Rapid Defect/Fault Sourcing

Patterned wafer inspection sensitivity (nm) during yield ramp 104 96 88 72 64 56 52 Average # of inspections/wafer during full flow 5 5 5 5.4 5.4 5.4 5.8 Defect data volume (DV) (# data items/wafer) (1E13) [D] 5.5 7.1 9.4 12.5 15.8 20.7 25.7 Defect data volume (DV) trend [E] 1 1 2 2 3 4 5 Yield Learning During Ramp from 30% to 80% Sort Yield [F]

# of yield learning cycles/year based on full flow cycle time 14.6 14.6 14.6 13.5 13.5 13.5 12.6 Required yield improvement rate per learning cycle 3.4 3.4 3.4 3.7 3.7 3.7 4.0 Time to identify and fix new defect/fault source during ramp 12.5 12.5 12.5 13.5 13.5 13.5 14.5

# of learning cycles/year for 1 defect/fault source/month 8.6 8.6 8.6 7.5 7.5 7.5 6.6 Required yield improvement rate/learning cycle for 1 defect/fault source/month

5.8 5.8 5.8 6.7 6.7 6.7 7.6

Excursion Control

Time to recognize defect trend TRT = f(TMP, N, TC, V) [H] * * * * * * *

Time to recognize electrical fault signature * * * * * * *

Time to identify defect mechanism TID = f(TRT, N, M, R) [H] * * * * * * *

Time to fix defect mechanism * * * * * * *

* = to be updated in 2002

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

表 94b 歩留り習熟に関する技術的要求―長期

YEAR OF PRODUCTION 2010 2013 2016 DRAM ½ PITCH 45nm 32nm 22nm MPU PRINTED GATE LENGTH 25 18 13 Wafer size (mm) 450 450 450 Number of mask levels 31 33 35 Number of processing steps 610 650 690 Cycle time during ramp (# days) 31 33 35 Defect/Fault Sourcing Complexity [A], [G] Logic transistor density/cm2 (1E6) 210 519 1279 Defect sourcing complexity factor (1E9) [B] 128 337 883 Defect sourcing complexity trend [C] 18 48 126 Data Analysis for Rapid Defect/Fault Sourcing Patterned wafer inspection sensitivity (nm) during yield ramp 18 13 9 Average # of inspections/wafer during full flow 6.2 6.6 7 (# data items/wafer) (1E13) [D] 57.4 120.8 271.2 Defect data volume (DV) trend [E] 10 22 49 Yield Learning During Ramp from 30% to 80% sort yield [F] # of yield learning cycles/year based on full flow cycle time 11.8 11.1 10.4 Required yield improvement rate per learning cycle 4.2 4.5 4.8 Time to identify and fix new defect/fault source during ramp 15.5 16.5 17.5 # of learning cycles/year for 1 defect/fault source/month 5.8 5.1 4.4 Required yield improvement rate/learning cycle for 1 defect/fault source/month 8.7 9.9 11.3

Excursion Control During Manufacturing Time to recognize defect trend TRT = f(TMP, N, TC, V) [H] * * * Time to recognize electrical fault signature * * *

Time to identify defect mechanism TID = f(TRT, N, M, R) [H] * * * Time to fix defect mechanism * * *

* = to be updated in 2002

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

Notes for Table 94a and b

[A] Defect/Fault sourcing means identifying the point of occurrence (identify process tool, design, test or process integration issue causing a visible or non-visual defect, parametric problem or electrical fault).

[B] Defect sourcing complexity factor = (logic transistor density #/ cm2)× (# processing steps)

[C] Defect sourcing complexity trend is normalized to 130nm technology node.

[D] Defect data volume (DV) = (# of inspection/wafer in process flow)(wafer area)/patterned wafer sensitivity during ramp Assumes 20% of wafers are inspected on average at each mask step during ramp.

[E] DV trend is normalized to 130nm technology node.

[F] Assumes cycle time of one day per mask level. Also, assumes linear reduction in yield learning time based on time to identify and fix each defect/fault source.

[G] Rapid defect sourcing and yield learning assumptions as follows

Keep yield ramp constant (30% intro yield to 80% mature yield) for successive technology nodes.

Keep time to source new yield detractors to 50% of process cycle time.

New material introduction should not increase defect/fault sourcing time.

Focus defect/fault sourcing on ramp portion of yield learning curve.

Data collection, retention and retrieval will go up exponentially and significant improvement will be required in the IDM tools to enable the above assumptions.

[H] TMP, N, TC, V, M and R, respectively represent time between measurement points, number of process steps, cycle time, process variability, number of possible defect mechanisms and resources.

ウェーハ環境汚染制御(Wafer Environmental Contamination Control)

ウェーハ環境汚染制御に関する要求は、表 95 に示すように、製造に用いる材料や環境によって分

類される。 ウェーハ環境制御 ― サイズ 90nm 以降のデバイスに対して、ウェーハ隔離が有効な技術になると

いうコンセンサスができている。今 後 は非 パーティクル性 汚 染 や分 子 汚 染 によって影 響 を受 けるプロ

セス工程の比率が増加すると予想される。半導体プロセスへの Cu 配線やその他の新材料の使用は、

新 たな汚 染 物 質 を導 入 する可 能 性 がある。この様 な動 向 に対 して、装 置 のミニエンバイロメント化 や

クローズドキャリア(例.FOUPs;Front Opening Unified Pods)など、ウェーハを隔離できる技術

が必 要 とされている。FOUPs の採 用 によって工 場 の自 動 搬 送 もやりやすくなる。ウェーハ環 境 汚 染

制御 (WECC)技術の要求は、特定の工程における雰囲気中の酸性物質、アルカリ物質、凝縮性有

機 物 質 、ドーパント、金 属 などの目 標 レベルを決 める。大 気 暴 露 時 間 と付 着 係 数 の影 響は線 形 と考

えられるであろう。 気 中 分 子 汚 染 (AMC;Airborne Molecular Contamination) - クリーンルーム、プロセス装

置 、ウェーハポッドなどの構 成 部 材 からの脱 ガスは、プロセスで使 用 する化 学 薬 品 からの蒸 発 物 と並

んで、気中分子汚染(AMC)の 2 大ソースである。酸素と水蒸気と低濃度汚染物(例.一酸化炭素)

も気 中 分 子 汚 染 と考 えることができる。空 気 中 にある酸 の蒸 気 によって HEPA(High Efficiency Particulate Air)フィルターからホウ素が出てくる現 象やアミンが DUV(Deep Ultraviolet)光露

光 用 レジスト解 像 度 に影 響 する現 象 は、気 中 分 子 汚 染 がウェーハプロセスに悪 影 響 を与 える良 く知

られた例 である。デバイスサイズが小 さくなるほど、気 中 分 子 汚 染 の影 響 はより深 刻 になると考 えられ

る。クリーンルーム雰囲気中の濃度として ppt レベルをモニターできるような、より良い気中分子汚染

モニター装置が必要である。SAW(Scanning Acoustic Wave)デバイスと APIMS(Atmospheric Pressure Ionization Mass Spectroscopy)は、低濃度の気中分子汚染物質の測定に用いられ

てきたが、デバイスが分 子サイズに近づくにつれて、低価格で定常 的 に使えるモニター法が必要にな

ると思われる。数原子層程度の炭化水素膜によってプロセスの制御性が無くなることがある。特に、フ

ロントエンドプロセスではその可能性が高い。ポッドや FOUPs の構成材からの気中分子汚染物の脱

ガスに関しては多くの研究がなされ、材料選 択のガイドラインとして使 われたが、重要な工程 に関して

は、さらにポッド内を窒素パージする必要が有るかどうかを明らかにする必要がある。全ての工程が気

中 分 子 汚 染 の影 響 を受 けるわけではない。たとえば、将 来 の露 光 システムは真 空 プロセスになる可

能 性 が有 り、その場 合 にはクリーンルーム雰 囲 気 に関 して新 たな分 子 汚 染 制 御 の対 象 から外 れる。

新しいプロセスに対する気中分子 汚染の影響 に関しては、インテグレーションの観点から検討 する必

要がある。 プロセス影 響 を与 える材 料 ― スパッタターゲット、メッキ溶 液 、CMP スラリー、CVD 用 原 料 、 high/ lowκ材 料 のような新 規 材 料 に対 する不 純 物 制 御 レベルはほとんど理 解 されておらず、さら

に実 験 での検 討 が必 要 である。一 定 体 積 あたりのパーティクル数 の要 求 レベルは、問 題 となる最 小

のパーティクル寸法で一定としてきた。このことは、パーティクル密度が X-3 則(X:パーティクル寸法)

の仮定に従うことを考慮すると、技術ノードごとに約 2 倍のクリーン化が必要なことを意味する。問題と

なる最小寸法のパーティクルの測定が望ましい。しかし、最小寸法より大きな寸 法のパーティクルをモ

ニターし、推 定されるパーティクルサイズ分布を用いて問 題 となる最 小 サイズでのパーティクル濃 度に

換算すれば同じことである。 超純水(UPW;Ultra Pure Water) ― 一般に超純水に対しては 18.1 MΩ以上の比抵抗が要

求され、さらにイオン化合物(陽イオン、陰イオン、金属)、TOC(Total Organic Carbon、融解また

はコロイド状)、パーティクル、バクテリアの含有量が 1ppb 以下であることも要求される。表 95 は現状

から外 挿 した技 術 要 求 レベルを示 す。プロセスニーズが各 メーカで一 致 しない限 り、現 在 の先 端 技

術水準より緩い基準にするつもりはない。パーティクル計数器で測れる超純水中のサイズは 50nm ま

でである。しかし、パーティクルサイズ分布を仮定することによって、10nm 径のパーティクルの濃度を

推定することができる。 超純水に関する重要 なトレンドの一つとして、汚染よりはプロセスに影響するパラメータを考えるべき

であり、その絶 対 値 よりは安 定 性 に注 目 すべきというものがある。幾 つかの半 導 体 メーカでは、溶 存

酸 素 をそのような対 象 として扱 い、それ以 外 の項 目 を汚 染 物 質 として扱 っている。温 度 や圧 力 の安

定性もより重要な因子となりつつある。超純水の汚染品質はに関しては、品質が必要とされる場所は

どこかと品 質 が計 測 される場 所 はどこかという視 点 を忘 れてはならない。測 定 個 所 は、供 給 ポイント

(POD:Point of Distribution)・接 続 ポイント(POC:Point of Connection)・ユースポイント

(POU:Point of Use)との関係で論じられる。供給ポイントは最後の計測の直後であり、接続ポイン

トは装置の後ろ、ユースポイントは装置の内部になる。超純水の品質は、他の重要な液体に比べても、

上記の 3 つのポイント間での変化が大きく、品質の管理には特に注意が必要である。供給ポイントか

らユースポイントに焦点を移すと、計測法はより困難に、またより高価格になる。 大 量 の水 資 源 や排 水 処 理 の要 求 は深 刻 な影 響 を環 境 に与 えるため、水 資 源 の保 全 は必 要 であ

る。水 の品 質 を保 つための技 術 が必 要 であり、より多 くの水 が超 純 水 用 にリサイクルされるようになる

必 要 がある。適 切 な処 理 方 法 と計 測 方 法 の開 発 が必 要 である。良 く考 えられたリサイクルプログラム

では、超純水供給用に清浄化ラインを用いることによって最終の水の品質を改善できる。 超純水中の汚染物質をモニターする計測方法を図 56 に示す。超純水に関わるより複雑な処理方

法は、この章の補足資料で触れている。

PARAMETER MEASURED (POD/POC) TEST METHOD

Resistivity Online Electric cell

Viable bacteria

EPI Bacteria

Scan RDI

Lab

Lab

Lab

Incubation

Stained samples w/ Fluorescent Microscopy

Laser-scanning Cytometry

TOC Online Resistivity / CO2

Reactive Silica Online or Lab Colormetric

Colloidal Silica Calculation Total minus Reactive

Total Silica Lab ICP/MS

Particle Monitoring Online Light scatter

Particle Count Lab SEM – Capture filter at various pore sizes

Cations, anions, metals Lab Ion chromatography, ICP/MS

Dissolved O2 Online Electric Cell

図 56 超純水の一般的な計測方法

液体化学薬品 ― プロセス化学薬品に対しては、拡散熱処理前洗浄工程で要求される不純物レ

ベルが、最も厳しい値となる。液体 化学 薬 品の純度レベルは、2001 年から次の技術ノードまで変わ

らないと予 測される。より希釈した化学 薬品を使う傾向に有るので、薬品の純度 を上げなくてもプロセ

スで使われるレベルが向上するためである。 その結果、今後 15 年間に必要とされる改善は、10 倍程度で済む。一方、液体パーテイクル測定

技術に関しては、90nm 以下のパーテイクルを検出することが重要な課題となる。HF 最終洗浄また

は SC-1 最終洗浄においては、表面処理の要求を満たすために、新規な化学薬品(たとえば、錯体

や pH 調整体)の導入が必要となろう。CMP 工程の増加により、凝集性や除去容易さのようなパラメ

ータに対する仕様の決定を含め、スラリーの純度要求のさらなる理解が必要となる。反応性の高い化

学薬品中のパーティクルに関しては、現在計測できるのは 100nm くらいまでである。しかし、パーティ

クルサイズ分布を仮定することによって、20nm 径のパーティクルの濃度を推定することができる。 一般ガス/特殊ガス ― 表 80 で不純物や化学薬品の一般的なガイドラインが分かるが、特定の

ニーズにより、各個々のガスに対して値は変わる。窒素、酸素、アルゴン、および、水素のようなバルク

雰 囲 気 ガスには大 きな変 更 は必 要 とされない。不 純 物 減 少 の改 善 要 求 の一 部 は将 来 のノードに伸

ばされている。しかし、一 般 ガス/特 殊 ガスに対 して問 題 となる寸 法 でのガスの流 れを乱 さないような

インラインパーティクル計 測 は重 要 な課 題 である。 現 在 の技 術 を改 良 すれば、必 要 とされる検 出 感

度で、ユースポイント(POU)での測 定を行うことが可 能になる。現 在の技 術は、ユースポイントでの計

測 要 求に対 応できるが、各 特 殊ガスラインごとの継 続 的なパーティクル監 視は工 場 のインフラ整 備に

相 当 なコストがかかるであろう。特 殊 ガス中 汚 染 の影 響 の程 度 は、プロセスによって大 きく変 わるかも

しれない。たとえば、ある成 膜 ガスにおける特 定 の汚 染 レベルは、エッチングガスにおける同 レベルの

汚 染 物 質 よりはるかにより多 くの影 響 があるかもしれない。最 も厳 しい要 求 に応 えるためには、ユース

ポイントにおいてフィルタ、場 合 によってはピュリファイアやジェネレータを用 いる。安 価 で速 い応 答 速

度の不純物分子検出が必要である。lowκや Cu プロセスに関連するガスの純度要求は、今後の課

題である。 新規 材 料 ― プロセスに使われる新規材 料 の不純 物仕 様は、ますます重要になるであろう。メタル

酸 化 物 、CMP スラリー、低 /高 誘 電 体 材 料 、バリアメタル用 原 料 (CVD やめっき液 )、配 線 金 属

(Cu,Ta)のような問 題 となる材 料 の仕 様 は、あまり研 究 されていない。これらの材 料 が技 術 要 求 を満

たす不純物仕様によって生産されるために、新規測定技術や影響研究が必要となる。 設 計 とプロセスの相 互 作 用 ― 欠 陥 源 やメカニズムを決 定 する際 重 要 となる標 準 的 なテスト構 造

が必要とされる。いったん、設計とプロセスの相互作用を解明できれば、プロセス感度が低いデバイス

設計の基本ルールを確立できるであろう。プロセス感度分析やプロセス感度を鈍感化させるためのサ

イクル時 間 は、デバイス設 計 と歩 留 りの向 上 に重 要 となる。その上 、様 々な層 のランダム欠 陥 に対 す

る設計感度は、設計プロセスにおいて考察される必要がある。 プロセスとプロセスの相 互 作 用 ― プロセス工 程 間 の欠 陥 形 成 (レジスト膜 厚 とコンタクト密 度 がビ

ア/コンタクト内 の残 渣 レベルに影 響 するような)の相 互 作 用 は、対 象 の装 置 やプロセスに必 ずしも

密 接 な関 連 がないような前 後 の工 程 の装 置 やプロセスに特 別 な要 求 を与 えるかもしれない。隣 接 モ

ジュールの性 能 を劣 化 させるあらゆる汚 染 物 質 が移 送 されないように、クラスタ装 置 や洗 浄 槽 等 は、

注意深く設 計されなければならない。不要なプロセス相 互作用を検 出し、理解し、なくすために、プロ

セスモニタと制 御は重 要 な役割を果 たす。適 切 なセンサとデータは、上 流・下 流のプロセスパラメータ

や歩 留 りにすばやく反 映 させるための適 切 な情 報 管 理 システムとともに、装 置 間 や装 置 内 の統 計 的

プロセス制御(SPC)を可能にしなければならない。

表 95a ウェーハ環境汚染制御の技術的要求 ― 短期

YEAR OF PRODUCTION 2001 130nm

2002 115nm

2003 100nm

2004 90nm

2005 80nm

2006 70nm

2007 65nm

Wafer Environment Control Critical particle size (nm) [A] 65 58 52 45 38 35 33

# Particles > critical size (/m3) [B] 5 4 3 2 2 1 1 Airborne Molecular Contaminants (ppt)) [C] Lithography—bases (as amine, amide, or NH3) 750 750 750 750 750 <750 <750

Gate—metals (as Cu, E=2×10-5) [C] 0.2 0.2 0.15 0.1 0.1 0.07 <0.07 Gate—organics (as molecular weight greater than or equal to 250, E=1×10-3) [D] 100 90 80 70 60 60 50

Organics (as CH4) 1800 1620 1440 1260 1100 900 <900

Salicidation contact—acids (as Cl-, E=1×10-5) 10 10 10 10 10 <10 <10

Salicidation contact—bases (as NH3, E=1×10-6) 20 16 12 10 8 4 <4 Dopants (P or B) [E] <10 <10 <10 <10 <10 <10 <10 Process Critical Materials Critical particle size (nm) [A] 65 58 52 45 38 35 33 Ultrapure Water Total oxidizable carbon (ppb) 1 1 <1 <1 <1 <1 <1 Bacteria (CFU/liter) <1 <1 <1 <1 <1 <1 <1 Total silica (ppb) 0.1 0.1 0.1 0.1 0.05 0.05 0.05 # Particles>critical size (/ml) <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 Critical cation, anion, metals (ppt, each) <20 <20 <20 10 10 10 10 Liquid Chemicals [F] Particles—critical size (ml) <10 <10 <10 <10 <1 <1 <1

HF-, H2O2, NH4OH: Fe, Cu (ppt, each) <150 <135 <110 <100 <90 <50 <50 Critical cation, anion, metals (ppt, each) <10 <10 <10 <5 <5 <5 <1 HF-only, TOC (ppb) <30 <30 <25 <20 <15 <10 <10

HCl, H2SO4: All impurities (ppt) <1000 <1000 <1000 <1000 <1000 <1000 <1000BEOL Solvents, Strippers K, Li, Na, (ppt, each) <1000 <1000 <1000 <1000 <1000 <1000 <1000ILD CVD Precursors (e.g., TEOS) Metals (ppb) <1 <1 <1 <0.1 <0.1 <0.1 <0.1

H2O (ppmV) <10 <10 <10 <5 <5 <5 <1 Bulk Gases

N2, O2, Ar, H2: H2O, O2, CO2, CH4 (ppt, each) <1000 <1000 <1000 <1000 <1000 <100 <100 # Particles > critical size (/liter) <0.1 <0.1 <0.1 <0.1 <0.1 <0.1 <0.1 Specialty Gases # POU particles > critical size (/liter) [F] 2 2 2 2 2 2 2 Inerts—Oxide/Photoresist Etchants/Strippers

O2 (ppbV) <1000 <1000 <1000 <500 <500 <500 <100

H2O (ppbV) <1000 <1000 <1000 <500 <500 <500 <100 Individual specified metails (ppbWT) <10 <10 <10 <10 <10 <1 <1

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

表 95b ウェーハ環境汚染制御の技術的要求 ― 長期

YEAR OF PRODUCTION 2010 45nm

2013 32nm

2016 22nm

Wafer Environment Control Critical particle size (nm) [A] 23 16 11

# Particles > critical size (/m3) [B] 1 <1 <1 Airborne Molecular Contaminants (ppt) [C]

Lithography—bases (as amine, amide, or NH3) <750 <750 <750

Gate—metals (as Cu, E=2 × 10-5) [C] <0.07 <0.07 <0.07 Gate—organics (as molecular weight greater than or equal to 250, E=1 × 10-3) [D] 40 30 20

Organics (as CH4) <900 <900 <900

Salicidation contact—acids (as Cl-, E=1 × 10-5) <10 <10 <10

Salicidation contact—bases (as NH3, E=1 × 10-6) <4 <4 <4 Dopants (P or B) [E] <10 <10 <10 Process Critical Materials Critical particle size (nm) [A] 23 16 11 Ultrapure Water Total oxidizable carbon (ppb) <1 <1 <1 Bacteria (CFU/liter) <1 <1 <1 Total silica (ppb) 0.01 <0.01 <0.01 Particles—critical size (ml) <0.2 <0.2 <0.2 Critical cation, anion, metals (ppt, each) <10 <10 <10 Liquid Chemicals [F] # Particles > critical size (/ml) <1 <1 <1

HF-, H2O2, NH4OH: Fe, Cu (ppt, each) <50 <40 <40 Critical cation, anion, metals (ppt, each) <1 <1 <1 HF-only, TOC (ppb) <8 <6 <4

HCl, H2SO4: All impurities (ppt) <1000 <1000 <1000 BEOL Solvents, Strippers K, Li, Na, (ppt, each) <1000 <1000 <1000 ILD CVD Precursors (e.g., TEOS) Metals (ppb) <0.1 <0.1 <0.1

H2O (ppmV) <1 <1 <1 Bulk Gases

N2, O2, Ar, H2: H2O, O2, CO2, CH4 (ppt, each) <100 <100 <100 # Particles > critical size (/liter) <0.1 <0.1 <0.1 Specialty Gases # POU particles > critical size (/liter) [F] 2 2 2 Inerts—Oxide/Photoresist Etchants/Strippers

O2 (ppbV) <100 <50 <50

H2O (ppbV) <100 <50 <50 Individual specified metails (ppbWT) <1 <1 <1

White–Manufacturable Solutions Exist, and Are Being Optimized Optimized Yellow–Manufacturable Solutions are Known Red–Manufacturable Solutions are NOT Known

Notes for Table 95a and b

[A] Critical particle size is based on ½ design rule. All defect densities are “normalized” to critical particle size. Critical particle size does not necessarily mean “killer” particles. For UPW water and liquid chemicals (see text), particle measurements at critical particle size is not possible with existing metrology, but is inferred from assumed particle size distributions and measurements of particles at sizes greater than critical particle dimension.

[B] Airborne particle requirements are based on an assumed value for deposition velocity of 0.01 cm/second, resulting in 1 particle/m2/hr. for a ambient concentration of 3 particles/m3. (This value represents an approximate value at atmospheric conditions.

[C] Ion indicated is basis for calculation. Exposure time is 60 minutes with starting surface concentration of zero. Basis for lithography is defined by lithography roadmap. Gate metals and organics scale as surface preparation roadmap metallics and organics. All airborne molecular contaminants calculated as S=E*(N*V/4); where S is the arrival rate (molecules/second/cm2), E is the sticking coefficient (between 0 and 1, N is the concentration in air (molecules/cm3); and V is the average thermal velocity (cm/second)

[D] The sticking coefficients for organics vary greatly with molecular structure and are also dependent on surface termination. In general molecular weights < 250 not considered detrimental due to the higher volatility of these compounds.

[E] Includes P, B, As, Sb

[F] Particle targets apply at POU, not incoming chemical. Point-of-tool connection chemical metallic targets are based on Epi starting material, sub-ppb contribution from bulk distribution system, 1:1:5 standard clean 1 (SC-1) and elevated temperature 1:1:5 standard clean 2 (SC-2) final clean step. “HF last” or “APM last” cleans would require ~10× and ~100× improved purity HF (mostly Cu) and APM chemicals, respectively.

[G] Critical metals and ions include: Ca, Co, Cu, Cr, Fe, Mo, Mn, Na, Ni, W

[H] TOC values are based on best available technology and are not necessarily supported by yield data.

解決策候補

歩留りモデルと装置許容欠陥数

1997 年、および 1999 年版の ITRS でインターナショナル・セマテックが行った装置許容欠陥数の

妥当性検証は 2001 年度版でも継続された。ロードマップにおける目標許容欠陥数が適切であるこ

とを絶 えず確 認 するため、モデルの検 証 を定 期 的 に行 わなければならない。今 後 も歩 留 りモデルに

係わる課題に取り組むためには、モデル化技術を高度化するための研究が必要である。 システマチック欠陥起 因歩 留り(SDLY;systematic defect limited yield)を決めている要因を

モデル化することが、益々、歩留り向上専門家の関心を引くようになっている。この傾向は、SDLY が

歩 留 り立 上 げ時 期 における際 立 った問 題 であり、また歩 留 り立 上 げの期 間 が短 くなり続 けていること

により、拍車がかけられている。さらに、パラメータ起因歩留り(parametric limited yield)の問題と

設計・プロセス間のミスマッチが立上げ初期初期段階での歩留りを制限している。 今 後 、見 えない欠 陥 の占 める割 合 が増 加 するため、歩 留 りのモデリングと許 容 欠 陥 数 の見 積 りは

複 雑 になっていく。従 って、欠 陥 モデルは電 気 的 特 性 評 価 からの情 報 をより重 視 し、視 覚 的 な解 析

への依 存 度 を低 くする必 要 がある。このため、新 しい評 価 デバイスと方 法 の探 求 が要 求 される。配 線

間接続層は特に大きな課題であり、技術的な要求の中でもそのように認識されてきた。 極 薄膜の信 頼性、プロセスの複 雑さ、配線 速 度、伝 送 特 性、および、欠 陥になるかならないかは別

として波 長 に依 存したレチクル上 欠 陥 の歩 留 りへの影 響 をモデル化 することが課 題 となる。大 学 やそ

の他の研究機関には最先端プロセス用の設備が無いために、この分野での研究を面倒なものにして

いる。図 57 は解決策の候補を示している。

図 57 欠陥モデルと許容欠陥数に関する解決策候補

2003 2005 20072001 2011 2013 20152009 2017

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production

2004 2006 20082002 2012 2014 20162010

2003 2005 20072001 2011 2013 20152009 2017

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production 2003 2005 20072001 2011 2013 20152009 2017

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

2003 2005 20072001 2011 2013 20152009 20172003 2005 20072001 2011 2013 20152009 20172003 2005 20072001 2011 2013 20152009 20172003 2005 20072001 2011 2013 20152009 20172003 2005 20072001 2011 2013 20152009 2017

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production

2004 2006 20082002 2012 2014 201620102004 2006 20082002 2012 2014 20162010

YIELD MODEL

Defect Budget node-by-node validation

Circuit lim ited yield model

Statistics for ultra small population

Scaling FEOL complexity

Parametric limited yield model

DEFECT BUDGETING

Ultra-thin film integrity

Advanced test structures for non visual defects

Low defect surface preparation.

欠陥検出と特徴付け

欠 陥 検 出 装 置 に対 する技 術 的 要 求 を満 たすためには、多 大 な研 究 と開 発 が今 すぐに必 要 である。

エッチング工程で形成された高アスペクト比(HAR;high aspect ratio)構造での検出(図 58)は現

在十分ではない。量産時期での光散乱方式および光学画像比較方式による解決は、2005 年に限

界 となる。孤 立 した高アスペクト比 構 造 の底 部に生 じた非 常 に薄い残 渣 を検 出 する有 効 な解 決 法を

探るために、ホログラフィー画像比較 、電子ビーム(散乱、または画像形成)、音響 画像技 術、および

X 線画像形成などの新手法の早期開発が望まれる。 新 たな検 出 システムの開 発 には適 切 な部 品 技 術 が不 足 している。経 済 的 な光 学 技 術 の開 発 を継

続 するために、短 波 長 化 、連 続 波 長 レーザ、高 い量 子 効 率 と高 速 捕 捉 、適 当 な低 損 失 で低 収 差 レ

ンズ、波長板、偏光子、および安定した機械的、音響 -光学走査装置が今すぐに必要である。 ロードマップで要求される感度を満たした上 での歩留り立上げ時期 および量産 時期の目 標 処理 能

力 を達 成 するためには大 きなブレークスルーが要 求 されている。ウェーハ上 の大 面 積 領 域 からの並

列データ取得のためにはアレイ検出が開発されるべきである。ソフトウェアのアルゴリズムの改良により

SN 比を向上できれば、光学的検出技術を延命させることができるであろう。 解 決 策 は、莫 大 な量 の欠 陥 に関 連 した組 成 、形 状 、欠 陥 分 類 などに関 するデータ、および、迅 速

な意 志 決 定 の必 要 性 を含 まなければならない(この領 域 における必 要 性 についての補 完 的 説 明 は

次 節 の歩 留 り向 上を参 照)。自 動 欠 陥 分 類 、空 間 分 布 解 析 、動 的サンプリング、歩 留 りへの影 響 評

価 、およびその他 のアルゴリズム技 術 はすでに意 思 決 定 までの時 間 と、リスクを負 った製 造 を減 少 さ

せている。 欠 陥 検 出 と特 徴 付 けのための評 価 ・解 析 装 置 はこれらの技 術 を分 析 するためにより多 くの情 報 を

生 み出 すことが必 要 である。より小 さい欠 陥 を検 出 するために感 度 を上 げようとする課 題 は、分 解 能

を上 げるために特 徴 付 けのためのプラットフォームをインラインに移 した。処 理 能 力 と情 報 量 のどちら

を優 先 するかは重 要 な課 題 である。このため、欠 陥 検 出 は欠 陥 の発 生 源 により近 い所で行われてい

る。欠 陥 検 出 機 能 をプロセス装 置 に集 積 するための開 発 は自 動 プロセス制 御 を導 入 するために加

速する必要がある。

図 58 欠陥検出と特徴づけに関する解決策候補

First Year of IC Production 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017

Bare WaferLight scattering

Novel

Non-metal FilmsLight scattering

Novel

Patterned Wafer

Poly or LI LayersLight scattering

Optical imaging

E-beam imaging

Novel

ADI MacroOptical Imaging

Novel

ADI MicroLight scattering

Optical imaging

Post CMPLight scattering

Optical imaging

E-beam imaging

Novel

Post EtchLight scattering

Optical imaging

E-beam imaging

Novel

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production

歩留り習熟

歩留り習熟の技術的要求の表の yellow と red の領域で示されているように、着目されなければな

らない 2 つの技術分野は、データ管理と欠陥/不良の原因究明である。デバイスメーカの関係者、メ

トロロジーと情 報 技 術 のサプライアそれと大 学 、研 究 機 関 関 係 者 の協 力 した努 力 が迅 速 な歩 留 り習

熟 に関 連 した全 てのデータを管 理 するための戦 略 的 な計 画 を立 案 し実 行 するために必 要 である。こ

のような協 同ワークなしにはデータ管 理と不 良 原 因 探 求のための解 析 分 野においては多くの非 効 率

が存在し続けるであろう。下のデータ管理システムの所で他の解決策候補を示す。 これまで指摘してきたように、欠陥/不良の原因がなければ歩留り習熟は毎月 5%以上の受容され

る速度で改善が進むと期待できる。しかしながら、我々の半導体工業の過去の技術移転の歴史を考

えると、プロセス技術がプロセス R&D グループから生産ラインに手渡された後も数多くの欠陥/不良

原因が予想されるであろう。要求されている 1 年以内に 30%から 80%への歩留り向上を達成するに

は 2 つの方法がある:1.原因やメカニズムの究明が必要な新たな欠陥/不良の数を減らす。2.新たな

欠 陥 /不 良 の原 因 やメカニズムの究 明 とその確 定 に要する時 間を減 らす。第 一 のアプローチはそれ

ぞれの企 業 の事 情 に依 存 する一 方 、第 二 のアプローチは以 下 に示 すような急 速 な欠 陥 /不 良 の原

因の究明のためには多くのツールや技術を必要とする。 さらに設 計 と製 造 工 程 の複 雑さがますます増 大することに伴って、歩 留りに影 響 を与える傾 向や突

発 的 な不 良 を検 出 しタイムリーに反 応 することができる能 力 は、パッシブデータへ大 きく依 存 している。

このことは、そこでは最大の生産性と利益が得られる歩留り立上げ(yield ramp)の期間において特

に真 実 になる。パッシブデータとは、適 切 な抜 き取 り戦 術 によって製 品 からインラインで収 集 された欠

陥 、パラメトリックそして電 気 的 テストデータであると定 義 できる。短 ループ試 験 のような実 験 のための

時 間 的余 裕 は将来の技 術ノードでは簡単には手に入らないであろう。潜 在 的な問 題を傾 向づけたり、

プロセスの突発不良を明確にするために許される時間の要求から、測定データ固有の SN 比を最大

にする抜 き取 り技 術 の開 発 が必 要 である。統 合 データ管 理 (IDM)のゴールは可 能 な限 り少 ない試

料でプロセスの問題点 を明確にすることである。製造プロセスに関連づけて製品データを整頓する解

析 手 法 はより強 力 な信 号 をもたらし、そして、それらは様 々なレベルのプロセス履 歴 や人 間 の経 験

(学 習 した知 識 )を理 解 しているので、測 定 ノイズの影 響 をほとんど受 けなくなりそうである。それ故 に、

歩留り習 熟 への有望 解 は、製品データや装置 の健康状 態 あるいはその他のその場(in-situ)プロセ

ス測 定 から情 報 を発 生 させる技 術 の開 発 を含 んでいる。データ・マイニングとも呼 ばれる、製 品 情 報

を製 造 プロセスとを相 関 付 ける自 動 化 の方 法 もまた要 求 されている。新 しい手 法 や技 術 をうまく統 合

する基 本 は、仮 想 的 なあるいは物 理 的 に組 み合 わされたデータベース環 境 でデータコミュニケーショ

ンを促進する規格を作成することである。 見える欠陥(Visible Defects) 見ることができる欠陥源をつきとめる装置(光学方式あるいは SEM 方式による検出、そして欠陥レ

ビュー、SSA, ADC, EDX, FIB)はよく整備されているが、背景の擬似欠陥から真の欠陥を弁別す

るための十 分 な信 号 対 雑 音 比 を達 成 し、ますます小 さくなっていく見 える欠 陥 の元 素 組 成 を測 定 評

価するために、新しい装置や手法が開発されなければならない。

見えない欠陥(Non-visual Defects) 光 学 顕 微 鏡 技 術 の次 に、処 理 能 力 を犠 牲 にしないで高 い分 解 能 を提 供 できる適 正 価 格 の検 査

技術が必要 とされる。見えない欠陥源をつきとめるために、不 良解析装置 の分解能が改善されること

を要求している。90nm 以下の技術ノードは、原子レベルの欠陥領域まで検出可能な拡張性のある

不良解析技術の開発を要求している。さらに、個々の回路やトランジスターを特性評価し、あるいはリ

ーク経路を同定するために、内部回路用 DC マイクロプロービングの分解能が向上されることが必要

である。局 所 的 な検 出 の難 しい構 造 欠 陥 をひきおこす設 計 とプロセスの相 互 作 用 を研 究 してモデル

化 しなければならない。テスト容 易 化 手 法 および診 断 容 易 化 設 計 は、欠 陥 原 因 箇 所 を同 定 する能

力を向上させるために、これらのモデルを必要としている。 パラメトリック欠陥(Parametric Defects) 回 路テスターでの測 定 中により多くのパラメトリックデータを保 存しておくことは、パラメトリック起 因の

欠陥の原因を突き止める助けになるであろう。この情報は、空間分布解析(SSA)を含む各種の技術

を使 って、プロセスデータとの相 関 を可 能 とするであろう。"パラメトリック欠 陥 "を引 き起 こす可 能 性 の

ある項 目 の確 率 をモデル化 することで、原 因 まで遡 るのに費 やす時 間 を減 らすこともできる。BIST(Built In Self Test)技術は、パラメータの変動やミスマッチによって起こるレース条件や不良モード

を明確にするために開発されるべきである。 電気的欠陥(Eklectrical Faults) 現 在 、メモリアレーテストチップやマイクロプロセッサ中 のメモリアレーが迅 速 に欠 陥 を同 定 するため

に用 いられており、ノンアレーデバイスにも引 き続 き使 われるであろう。将 来 の製 品 はテスト工 程 で不

良を同定するように設計 されていなければならない。テストのための設計(DFT)と組込みセルフテスト

(BIST)は欠陥を同定することを助けることができる 2 つの方法である。DFT と BIST の両者の不良

パターンは回 路 中 の物 理 的 な位 置 を表 示 しなければならない。正 確 な不 良 と欠 陥 の対 応 づけモデ

ルも将 来 の欠 陥 位 置 の同 定 作 業 を助 けるために開 発 されなければならない。その他 、テストプログラ

ムには不 良 パターンの情 報 を保 存 し、あらかじめ規 定 された(モデル化 された)不 良 モードの可 能 性

に基 づいて解 析 できることが必 要 である。これらの技 術 は全 ての歩 留 り技 術 者 に、回 路 不 良 の位 置

と原因をより迅速かつ精密に突き止めることを提供する。 データ管理システム(Data Management System) 次の分野が時期 DMS の挑戦を実現するために必要な研究開発投資の対象である。これはセマテ

ックが後援した DMS 調査研究の一部として Oak Ridge National Lab.(ORNL)による報告で指

摘されている。 ・ データファイルフォーマットと座標系の標準化 ・ DMS/WIP の統合 ・ データ収集、保管、記録と破棄についての DMS の方法論 ・ ツール/プロセスの先行コントロール(APC)のための DMS この調査研究の追加情報は本ロードマップの補足資料中に提供してある。

図 59 歩留り習熟の解決策候補

2003 2005 20072001 2011 2013 2015 2009 2017

Research Required Development Underway Qualification/Pre - Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production 2004 2006 20082002 2012 2014 2016 2010

Integrated Management For Rapid Defect Sourcing

Standard data formats Universal wafer coordinates Automated data reduction algorithm Auto methods to correlate design, process, and test

Electrical Fault Sourcing BIST Design for diagnosis

Failure probability modeling Fault to defect mapping

BIST Design/process interaction modeling Improved micro - analytical resolution

Parametric Disturbance Sourcing

Process capability analysis Auto revision of test structure with design revision Predictive parametric disturbance modeling

Non - visual Defect Sourcing

2003 2005 20072001 2011 2013 2015 2009 2017

Research Required Development Underway Qualification/Pre - Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production 2004 2006 20082002 2012 2014 2016 2010

2003 2005 20072001 2011 2013 2015 2009 2017

Research Required Development Underway Qualification/Pre - Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production 2003 2005 20072001 2011 2013 2015 2009 2017

Research Required Development Underway Qualification/Pre - Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

2003 2005 20072001 2011 2013 2015 2009 2017 2003 2005 20072001 2011 2013 2015 2009 2017 2003 2005 20072001 2011 2013 2015 2009 2017 2003 2005 20072001 2011 2013 2015 2009 2017 2003 2005 20072001 2011 2013 2015 2009 2017

Research Required Development Underway Qualification/Pre - Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

Research Required Development Underway Qualification/Pre - Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

First Year of IC Production 2004 2006 20082002 2012 2014 2016 20102004 2006 20082002 2012 2014 2016 2010

Integrated Data Management for Rapid Defect Sourcing

Standard data formats Universal wafer coordinates Automated data reduction algorithm Auto methods to correlate design, process, and test

Electrical Fault Sourcing BIST Design for diagnosis

Failure probability modeling Fault to defect mapping

BIST Design/process interaction modeling Improved micro - analytical resolution

Parametric Disturbance Sourcing

Process capability analysis Auto revision of test structure with design revision Predictive parametric disturbance modeling

Non - visual Defect Sourcing

ウェーハ環境汚染制御(Wafer Environmental Contamination Control) プロセス装 置 ― プロセス装 置 の欠 陥 を低 減 することは、欠 陥 密 度 ターゲットを達 成 するための最

大の課題である。次の 15 年に能力の大きな増進をもたらし、また、130-100nm およびそれ以降のデ

バイスのコスト効率的な大量生産を実現するため、解決策および技術の発展が期待される。図 60 参

照 。装 置 の欠 陥 目 標 は、主 に水 平 方 向 のスケーリングに基 づく。しかし、縦 方 向 の欠 陥 、特 にゲート

積層構造を問題にした時、金属、その他の見 えない汚染、そしてデバイスパラメータへの影響 を理解

する必 要 がある。 新 しい洗 浄 技 術 、その場 ( in-situ)チャンバーモニタリング、材 料 開 発 、そして部

品 クリーニング技 術 の改 善 を含 む他 の技 術 は、ウェーハ処 理 ごとのチャンバー清 浄 度 の維 持 および

チャンバーのウェットクリーニング頻 度 の大 幅 低 減 に役 立 つ。こうした技 術 の発 展 は、装 置 の稼 働 率

も向 上 させる。ウェーハ裏 面 の汚 染 を減 らす要 求 は、計 測 技 術 および装 置 の根 本 的 改 善 を促 すだ

ろう。ウェーハ裏 面 から隣 のウェーハ表 面 への金 属 /パーティクルのクロス汚 染 、リソグラフィにおける

焦 点 深 度 /ホットスポット、静 電 チャック上 でのパンチスルーなどは、すべて今 後 の装 置 開 発 に向 け

ての課題である。パーティクル防止技術(O リングの材料選択、ガス流量/温度管理、ウェーハチャッ

ク最適化)は欠陥密 度 低減のためのキーテクノロジであり続 けるであろう。現在の装 置およびプロセス

設 計 を高 度 化 するために、また、その場 ( in-situ)センサーからのデータ解 釈 やセンサー配 置 最 適

化のため、リアクターの汚染形成、輸送、堆積メカニズムなどの更なる原理的な理解が求められてくる

であろう。こうした基 本 的な物 理 的 モデル、化 学 的モデル、プラズマリアクターの汚 染モデルが採 用さ

れるべきである。その場(in-situ)プロセス制御は、プロセス誘起欠陥を低減させることはもちろんのこ

と、プロセス後 の測 定 を削 減 にするためにも、ますます重 要 になってくるだろう。装 置 における知 的 プ

ロセス制御のために、装置パラメータがデバイス性能にどのように影響するのか原理的理解 が必要で

ある。新 しいセンサーと新 しい制 御 ソフトを装 置 メーカやユーザーが簡 単 に取 りつけられるようなオー

プンな制御システムは、知的プロセス制御を可能にするために必要となるだろう。

AMC—Airborne Molecular Contamination

図 60 ウェーハ環境汚染制御の解決策候補

First Year of IC Production

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

2003 2005 20072001 2011 2013 20152009 2017

2004 2006 20082002 2012 2014 20162010

PROCESS CRITICAL MATERIALS –WAFER ENVIRONMENT CONTROLSDevice correlation

AMC mechanisms, test structures

AMC insensitive processes

AnalyticalInline, AMC analytical tools

Standard methods and sampling schemes

Standardized reference libraries of spectra

Contaminant elimination

Particle/AMC removal

Chemical and surface interaction models

Materials of construction wafer isolation technology

Inert atmosphere

Sealing and contaminant removal/trapping

Inert environments to control surface states

CMP slurry / pads – purity and monitoring

Chemicals – POU generate / purify / dilute

Gases – specialty gas purity and monitoring

New materials – impurity monitoring for new targets, low k dielectrics

Ultrapure waterBacteria, silica, anions, bed regeneration sensorsClosed environment oxygen concentration control

Conservation / recycling / reclaim water

GeneralFluid purity impact on device yield / perform

Correlation / validation test structures

Rapid response inline trace impurity detection

Cost-effective realtime detection of critical size particles

PROCESS CRITICAL MATERIALS

First Year of IC Production

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

2003 2005 20072001 2011 2013 20152009 2017

2004 2006 20082002 2012 2014 20162010

First Year of IC Production

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

Research Required Development Underway Qualification/Pre-Production

This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.

2003 2005 20072001 2011 2013 20152009 2017

2004 2006 20082002 2012 2014 20162010

2003 2005 20072001 2011 2013 20152009 20172003 2005 20072001 2011 2013 20152009 2017

2004 2006 20082002 2012 2014 201620102004 2006 20082002 2012 2014 20162010

PROCESS CRITICAL MATERIALS –WAFER ENVIRONMENT CONTROLSDevice correlation

AMC mechanisms, test structures

AMC insensitive processes

Device correlationAMC mechanisms, test structures

AMC insensitive processes

AMC mechanisms, test structuresAMC mechanisms, test structures

AMC insensitive processesAMC insensitive processes

AnalyticalInline, AMC analytical tools

Standard methods and sampling schemes

Standardized reference libraries of spectra

AnalyticalInline, AMC analytical tools

Standard methods and sampling schemes

Standardized reference libraries of spectra

Inline, AMC analytical tools

Standard methods and sampling schemes

Standardized reference libraries of spectra

Inline, AMC analytical toolsInline, AMC analytical tools

Standard methods and sampling schemesStandard methods and sampling schemes

Standardized reference libraries of spectraStandardized reference libraries of spectra

Contaminant elimination

Particle/AMC removal

Chemical and surface interaction models

Contaminant elimination

Particle/AMC removal

Chemical and surface interaction models

Particle/AMC removalParticle/AMC removal

Chemical and surface interaction modelsChemical and surface interaction models

Materials of construction wafer isolation technology

Inert atmosphere

Sealing and contaminant removal/trapping

Inert environments to control surface states

Materials of construction wafer isolation technology

Inert atmosphere

Sealing and contaminant removal/trapping

Inert environments to control surface states

Inert atmosphereInert atmosphere

Sealing and contaminant removal/trappingSealing and contaminant removal/trapping

Inert environments to control surface statesInert environments to control surface states

CMP slurry / pads – purity and monitoringCMP slurry / pads – purity and monitoring

Chemicals – POU generate / purify / dilute

Gases – specialty gas purity and monitoring

New materials – impurity monitoring for new targets, low k dielectricsNew materials – impurity monitoring for new targets, low k dielectrics

Ultrapure waterBacteria, silica, anions, bed regeneration sensorsClosed environment oxygen concentration control

Conservation / recycling / reclaim water

Ultrapure waterBacteria, silica, anions, bed regeneration sensorsClosed environment oxygen concentration control

Conservation / recycling / reclaim water

Bacteria, silica, anions, bed regeneration sensorsBacteria, silica, anions, bed regeneration sensorsClosed environment oxygen concentration controlClosed environment oxygen concentration control

Conservation / recycling / reclaim waterConservation / recycling / reclaim water

GeneralFluid purity impact on device yield / perform

Correlation / validation test structures

Rapid response inline trace impurity detection

Cost-effective realtime detection of critical size particles

GeneralFluid purity impact on device yield / perform

Correlation / validation test structures

Rapid response inline trace impurity detection

Cost-effective realtime detection of critical size particles

Fluid purity impact on device yield / performFluid purity impact on device yield / perform

Correlation / validation test structuresCorrelation / validation test structures

Rapid response inline trace impurity detectionRapid response inline trace impurity detection

Cost-effective realtime detection of critical size particlesCost-effective realtime detection of critical size particles

PROCESS CRITICAL MATERIALS

プロセスに致 命 的 影 響 を与 える材 料 ― 欠 陥 の発 生 防 止 および排 除 に対 する一 連 の解 決 策 の

候補技術を図 60 に示す。更なる清浄化の必要性を明らかにするために、不純物汚染のデバイスへ

の影 響について引き続 き研 究する必 要がある。たとえば腐 食のようにシステム的な懸 念がある場 合 に

は、より高純 度な材 料を探すという様な形でプロセスの問 題に繋がる場 合もある。超 純水の品 質はユ

ースポインに注 目する必 要がある。水の品 質は一 般 的には製 造ポイントで測 定されているのであって、

ユースポイントでもウェーハでもない。装 置 の水 質 への影 響 、特 にパーティクル、シリカ、溶 存 酸 素 の

影 響 を理 解 することは、水 質 を保 証 するために必 要 である。プロセスに影 響 を与 える材 料 に対 するイ

ンライン微量不純物 分 析技術は、ユースポイントでの清浄 度レベルをさらに理解 するために必要であ

る。超 純 水 のパーティクルレベルの目 標 値 は、現 在 の設 計 、フィルタリングの実 施 、市 販 のオフライン

パーティクル計測を用いた確認によって、容易 に達成できる。0.1μm 以下のパーティクルの乱高下

をリアルタイムで検 出 するためには、オンラインモニタリング技 術 を改 善 しなければならない。また、極

微量レベルのシリカを解 析するために、分析技 術の改善が必要である。超純水の再生と再利 用 を推

進するためには、ユースポイントにおいて再生された超純水 の水質がシングルパス水と同等 以 上であ

ることを保 証 する必 要 があり、高 速 オンライン分 析 技 術 、特 に有 機 物 検 出 の改 善 が必 要 である。特

殊 ガス用 パーティクルフィルタに関 して、連 続 モニター無 しにフィルタが適 切 に機 能 することが期 待さ

れており、フィルタの信 頼 性 を向 上 させるために、有 効 性 と効 率 の実 証 が必 要 である。特 殊 ガスのユ

ースポイントにおける 90nm 以 下 のパーティクル測 定 技 術 の開 発 は、インライン核 凝 縮 カウンター

(CNC;Condensation Nucleus Counter)と同等の技術開発が必要であり、それは酸化性、腐食

性 、発 火 性 および毒 性 のガスに対 応 できる必 要 がある。新 材 料 に対 する仕 様 、標 準 評 価 法 の確 立

が求められるだろう。 ウェーハ環 境 制 御 (Wafer Environment Control) ― 環 境 雰 囲 気 中 の制 御 すべき汚 染 物 質

の種 類 が増 加 するにつれ、測 定 能 力 も拡 大 しなければならない。粒 子 性 ではない汚 染 に対 して、実

用 的 で精 度 が良 く、再 現 性 も有 るリアルタイムセンサーの必 要 性 が高 まっている。プロセスの敏 感 性

が高 まるにつれ、不 活 性 ガス中 でのウェーハ保 管 技 術 と搬 送 技 術 への期 待 が高 まっている。ゲート

酸 化 前 の洗 浄 、コンタクト形 成 前 の洗 浄 、サリサイド工 程 は、真 っ先 にこの技 術 が必 要 となる工 程 で

ある。加 えて、不 活 性 雰 囲 気 の採 用 は、真 空 ロードロック装 置 への水 分 混 入 を低 減 し、それによって

汚染とロードロックの真空 引き時間を低減する効果ももたらす。密閉式キャリアパージシステムが現 存

し進 化 している中 で、ウェットステーションのような装 置 での雰 囲 気 不 活 性 化 も必 要 であり、一 つの挑

戦課 題である。ウェーハ隔離 技 術の進化とともにキャリアおよびポッドの設計や材 料 選択は重 要 性を

増すだろう。それは雰囲気からウェーハを隔離するためと、隔離雰囲気自体の汚染を避けるためであ

る。加 えて、プロセス間 のクロス汚 染を助 長しない材 料および設 計が必 要となる。密 閉 技 術 、低アウト

ガス、非吸着性の材料開発が、効果的なウェーハ隔離技術開発の鍵である。