chapter 3 ·...

99
CS121 Digital Logic and Smart Device Chapter 3 Digital Circuit By Dr. Paween Khoenkaw Computer Science MJU 1

Upload: others

Post on 26-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

CS121 Digital Logic and Smart Device

Chapter 3

Digital Circuit

By Dr. Paween Khoenkaw

Computer Science MJU

1

Page 2: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

2

- สญญาณดจทล (Digital Signal)

- ตวด าเนนการทางดจทล(Digital Operator)

- วงจรเชงจดหม ( Combination Circuit)

Page 3: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

3

สญญาณดจทล

สญญาณแอนะลอก (Analog Signal)

เปนการแทนคาของสญญาณอยางงาย โดยจะแทนคาเปนสดสวนโดยตรงกบคาของขอมล มความตอเนอง ตวอยางเชน ขอมลทมคาสงจะแทนดวยแรงดนสง และขอมลทมคาตา จะแทนดวยแรงดนตา เปนตน

1 -1 0.8

t

Page 4: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

4

สญญาณดจทล

สญญาณแอนะลอก (Analog Signal)

ขอด: ใชงานงาย วงจรไมซบซอน ใชพนทในการบนทกนอย

t

Page 5: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

5

สญญาณดจทล

ตวอยางสญญาณแอนะลอกในทางไฟฟา (Electrical Analog Signal)

Page 6: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

6

สญญาณดจทล

ตวอยางสญญาณแอนะลอกในทางไฟฟา (Electrical Analog Signal)

Page 7: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

7

สญญาณดจทล

สญญาณแอนะลอก (Analog Signal) ขอเสย: ไมทนตอสญญาณรบกวน

0 100 200 300 400 500 600 700-2

-1.5

-1

-0.5

0

0.5

1

1.5

2

0 100 200 300 400 500 600 700-2

-1.5

-1

-0.5

0

0.5

1

1.5

2

ไมมสญญาณรบกวน

มสญญาณรบกวน 20%

0 100 200 300 400 500 600 700-2

-1.5

-1

-0.5

0

0.5

1

1.5

2

มสญญาณรบกวน 40%

0 100 200 300 400 500 600 700-2

-1.5

-1

-0.5

0

0.5

1

1.5

2

มสญญาณรบกวน 60%

Page 8: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

8

สญญาณดจทล

สญญาณแอนะลอก (Analog Signal) สญญาณแอนะลอกจะแทนขอมลไดละเอยดทสดเทาใด ?

0 100 200 300 400 500 600 7000

0.5

1

1.5

2

2.5

3

3.5

4

4.5

5

0 100 200 300 400 500 600 7000

0.5

1

1.5

2

2.5

3

3.5

4

4.5

5

สญญาณอยในชวง 0 ถง 5 Vมสญญาณรบกวน ±0.2 V

0.2 V

สญญาณนสามารถแทนขอมลไดไมเกน 5

0.2= 25 รปแบบ

ความละเอยดในการแทนสญญาณถกจากดดวยปรมาณของสญญาณรบกวน

Page 9: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

9

สญญาณดจทล

สญญาณดจทล (Digital Signal) เปนการแทนคาของสญญาณ โดยจะแทนคาเปนจานวนตวเลข แทนคาของขอมล โดยใชตวเลขเพยง 2 คาคอ “0” และ “1” เทานน ระดบของสญญาณจะถกแบงเปนชวงอยางชดเจน ไมมความตอเนองมกนยมแทน “0” และ “1” ดวยสญญาณไฟฟาทมระดบความแรงแตกตางกนอยางมาก ตวอยางเชน 0 - 2.5 V แทน “0” และ >2.5 – 5V แทน “1”เปนตน

0 100 200 300 400 500 600-1

0

1

2

3

4

5

6

1 1 1

0

Page 10: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

0 100 200 300 400 500 600-1

0

1

2

3

4

5

6

10

สญญาณดจทล

สญญาณดจทล (Digital Signal) ขอด: ทนทานตอสญญาณรบกวน

0 1 0 0 1 1

Page 11: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

11

สญญาณดจทล

สญญาณดจทล (Digital Signal) ขอด: มความแมนยา

สามารถสรางใหมไดทกครง จงทาสาเนาไดไมจากด

ไมมสญญาณรบกวน

มสญญาณรบกวน 0.5V

มสญญาณรบกวน 1 V

0 100 200 300 400 500 600-1

0

1

2

3

4

5

6

0 100 200 300 400 500 600-1

0

1

2

3

4

5

6

0 100 200 300 400 500 600-1

0

1

2

3

4

5

6

1 0 1 0 1 0

1 0 1 0 1 0

1 0 1 0 1 0

0 100 200 300 400 500 600-1

0

1

2

3

4

5

6

1 0 1 0 1 0 สามารถทาซาไดเหมอนเดม

Page 12: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

12

สญญาณดจทล

สญญาณดจทล (Digital Signal) สญญาณดจทลจะแทนขอมลไดละเอยดทสดเทาใด ?

โดยทฤษฏแลว ความละเอยดในการแทนขอมลนนไมมขอจากดเนองจากสามารถกาหนดไดเองในการแปลงสญญาณจากแอนะลอกไปเปนดจทล

Page 13: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

13

สญญาณดจทล

การแปลงสญญาณจากแอนะลอกไปเปนดจทล

1) สม (Sampling)2) แบงนบ (Quantization)3) เขารหส (Coding)

Page 14: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

14

สญญาณดจทล

การแปลงสญญาณจากแอนะลอกไปเปนดจทล1) สม (Sampling)

การสมคอการเลอกหยบสญญาณขนมาในหนวยเวลาทก าหนด ตวอยางเชน สมหยบสญญาณขนมา 1 ตวอยางในทกๆ 1 วนาท มกนยมระบเปนความถ Fsตวอยางเชน Fs= 100 Hz หมายถง ใน 1 วนาทใหท าการสมหยบสญญาณมาจ านวน 100 ตวอยาง

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

Sampling

Page 15: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

15

สญญาณดจทล

การสมแบบ Pulse Code Modulation (PCM)

เปนการสมสญญาณโดยสรางสญญาณ Pulse ทมความถคงนแลวน าเอาสญญาณนนไปคณกบ สญญาณทตองการสม

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

X

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

Page 16: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

16

สญญาณดจทล

สญญาณทไดจากการสมจะเปนสญญาณทไมมความตอเนอง(Discreet Signal)

สญญาณทไดในขนตอนนยงเปนแบบแอนะลอกอย

0 100 200 300 400 500 600 700-1.5

-1

-0.5

0

0.5

1

1.5

Page 17: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

17

สญญาณดจทล

การแปลงสญญาณจากแอนะลอกไปเปนดจทล2) การแบงนบ (Quantization)

การแบงนบคอการแทนขนาดของสญญาณดวยตวเลข รหส หรอสญลกษณ ความละเอยดของการแบงนบจะขนอยกบจ านวนของบต (Binary digit)

𝑣 =𝑣𝑖

𝑣𝑟𝑒𝑓× (2𝑏𝑖𝑡𝑠 − 1)

𝑣 คอ คาตวเลขของสญญาณไฟฟา

𝑣𝑖 คอ แรงดนทรบเขามา

𝑣𝑟𝑒𝑓 คอ แรงดนอางองสงสดและ

𝑏𝑖𝑡𝑠 คอ ความละเอยดของการแปลง หรอจ านวนบตในการเขารหส

Page 18: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

0 100 200 300 400 500 600 700

0

0.5

1

1.5

2

18

สญญาณดจทลการแบงนบ (Quantization)

𝑣 =𝑣𝑖

𝑣𝑟𝑒𝑓× (2𝑏𝑖𝑡𝑠 − 1)

𝑣 คอ คาตวเลขของสญญาณไฟฟา

𝑣𝑖 = 1.5285596

𝑣𝑟𝑒𝑓 = 2

𝑏𝑖𝑡𝑠 = 4

1.5285596 V

𝑣 =1.528559

2× 24 − 1

𝑣 = 11.4642 = 11

Page 19: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

19

สญญาณดจทลการแบงนบ (Quantization)

0 100 200 300 400 500 600 700

0

2

4

6

8

10

12

14

16

0 100 200 300 400 500 600 700

0

0.5

1

1.5

2

แบงนบทความละเอยด 4 บต

Page 20: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

20

สญญาณดจทลการแบงนบ (Quantization)

0 100 200 300 400 500 600 700

0

0.5

1

1.5

2

0 100 200 300 400 500 600 700-1

-0.5

0

0.5

1

1.5

2

0 10 20 30 40 50 60-1

0

1

2

3

4

5

0 10 20 30 40 50 60-1

0

1

2

3

4

5

6

7

8

9

0 10 20 30 40 50 60

0

2

4

6

8

10

12

14

16

0 10 20 30 40 50 60 70

0

5

10

15

20

25

30

0 10 20 30 40 50 60 70

0

10

20

30

40

50

60

0 10 20 30 40 50 60 700

20

40

60

80

100

120

7 บต

6 บต

5 บต

4 บต

3 บต

2 บต

1 บต

Page 21: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

21

สญญาณดจทลการแบงนบ (Quantization)

0 100 200 300 400 500 600 700

0

0.5

1

1.5

2

ขอมลทไดจากการแบงนบนนเปนขอมลตวเลข เปนดจทลแลว แตการจะน าขอมลนไปใชงาน ตองท าการเขารหสกอน

Page 22: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

22

สญญาณดจทล

การแปลงสญญาณจากแอนะลอกไปเปนดจทล3) เขารหส (Coding)

การเขารหสคอการเปลยนขอมลตวเลขใหอยในรปแบบของชดเลขฐานสอง ซงมหลายรปแบบ โดยทนยมใชคอรหสแบบ เลขฐานสอง (Natural Binary Code) , Binary Coded Decimal (BCD) และรหสแบบเกรย Gray Codeเลขฐาน 10 เลขฐานสอง BCD Gray

0 0000 0000 0000 0000

1 0001 0000 0001 0001

2 0010 0000 0010 0011

3 0011 0000 0011 0010

4 0100 0000 0100 0100

5 0101 0000 0101 0101

6 0110 0000 0110 0110

7 0111 0000 0111 0111

8 1000 0000 1000 1000

9 1001 0000 1001 1001

เลขฐาน 10 เลขฐานสอง BCD Gray

10 1010 0001 0000 1010

11 1011 0001 0001 1011

12 1100 0001 0010 1100

13 1101 0001 0011 1101

14 1110 0001 0100 1110

15 1111 0001 0101 1111

วชานจะใชรหสแบบเลขฐานสอง และรหสแบบเกรย

Page 23: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

0 10 20 30 40 50 60

0

1

2

3

4

5

6

7

8

9

10

23

สญญาณดจทล

การแปลงสญญาณจากแอนะลอกไปเปนดจทล

สม(Sampling)

แบงนบ(Quantize)

เขารหส(Coding)

แอนะลอก ดจทล

𝑦 𝑡 = sin 2𝜋4𝑡 + 1

สมต าแหนง t=0.52 ได y=1.4818

แบงนบแบบ 5 บตได เปน 11

เขารหสแบบเลขฐานสองไดเปน 1011

1011

0 100 200 300 400 500 600 700

0

0.5

1

1.5

2

แอนะลอก

ดจทล

Page 24: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

24

สญญาณดจทล

การแปลงสญญาณจากแอนะลอกไปเปนดจทล

0 10 20 30 40 50 60

0

1

2

3

4

5

6

7

8

9

10

d0

d1

d2

d3

Analog

Page 25: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

25

สญญาณดจทล

สญญาณดจทล

ขอเสย: สญญาณแอนะลอกทแปลงเปนดจทลแลวจะไมเหมอนเดมวงจรมความซบซอน การประมวลผลมความซบซอน ตองการพนทในการจดเกบสงขน

แตในโลกปจจบน นคอขอเสยทเรายอมรบได ( Compromise)

Page 26: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

26

ตวดาเนนการทางดจทล

การดาเนนการทางดจทลจะใชหลกของพชคณตบลน (Boolean Algebra)0 = เทจ (F)1 = จรง (T)

Born2 November 1815Lincoln, Lincolnshire, England

Died8 December 1864 (aged 49)Ballintemple, Cork, Ireland

BornApril 30, 1916Petoskey, Michigan, United States

DiedFebruary 24, 2001 (aged 84)Medford, Massachusetts, United States

Claude Elwood Shannon

George Boole

Page 27: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

27

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรงในทางไฟฟานยมเรยกวา ประต (Logic Gate)

1) นเสธ , Invertor , NOT, Negation

𝑦 = 𝑎

a y

0 1

1 0

a y

y5V

a

วงจรสมมล

วงจรดจทล

Page 28: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

28

ตวดาเนนการทางดจทล

ตวอยางวงจรปมนาอตโนมต

เซนเซอรระดบนา 0 =นาแหง , 1= นาเตมถง

a

สญญาณควบคมปม 0 = ปดปม , 1 = เปดปมy

a y

0 1

1 0

ตารางคาความจรง

1) นยาม Input และ OutputInput คอ a , Output คอ y

2) ออกแบบตารางคาความจรง

3) ตงสมการบลน𝑦 = 𝑎

4) ออกแบบวงจร

a y

Page 29: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

29

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง2) และ , And, Conjunction

𝑦 = 𝑎 ∧ 𝑏

a b y

0 0 0

0 1 0

1 0 0

1 1 1วงจรสมมล

วงจรดจทล

a

b

y

a

y5V

b

Page 30: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

30

ตวดาเนนการทางดจทล

ตวอยางวงจรเตอนคาดเขมขดนรภย

a b c

0 0 0

0 1 0

1 0 0

1 1 1

ตารางคาความจรง

1) นยาม Input และ OutputInput คอ a, b ; Output คอ c

2) ออกแบบตารางคาความจรง

3) ตงสมการบลน𝑐 = 𝑎 ∧ 𝑏

4) ออกแบบวงจร

a= เซนเซอรลอหมน 0 = ลอไมหมน, 1= ลอหมน

b= เซนเซอรเขมขดนรภย 0 = คาด, 1= ไมคาด

c= ไฟเตอน 0 = หลอดดบ, 1= หลอดตดa

b

c

Page 31: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

31

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง3) หรอ , Or, Disjunction

𝑦 = 𝑎 ∨ 𝑏

a b y

0 0 0

0 1 1

1 0 1

1 1 1วงจรสมมล

วงจรดจทล

a

y5V

b

a

b

y

Page 32: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

32

ตวดาเนนการทางดจทล

ตวอยางวงจรเตอนไฟไหม

a b c

0 0 0

0 1 1

1 0 1

1 1 1

ตารางคาความจรง

1) นยาม Input และ OutputInput คอ a, b ; Output คอ c

2) ออกแบบตารางคาความจรง

3) ตงสมการบลน𝑐 = 𝑎 ∨ 𝑏

4) ออกแบบวงจร

c= กระดง 0 = ปด, 1= เปด

a= เซนเซอรควนไฟหองนอน 0 = ไมมควน, 1= มควนb= เซนเซอรควนไฟหองรบแขก 0 = ไมมควน, 1= มควน

a

b

c

Page 33: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

33

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลทสาคญจะมเพยง 3 ชนด

AND OR NOT

กสามารถสรางวงจรดจทลไดทกวงจรในโลก

แตเพอความสะดวกในการออกแบบวงจร และเพอลด logic gate จงไดมการรวม ตวกระทาหลกเขาดวยกน สรางเปนตวกระทาชนดใหม

ขนมา ตวอยางเชน...

Page 34: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

34

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง4) NAND

𝑦 = 𝑎 ∧ 𝑏

a b y

0 0 1

0 1 1

1 0 1

1 1 0

วงจรดจทล

a

b

y

วงจรสมมล

a

b

y

Page 35: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

35

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง5) NOR

𝑦 = 𝑎 ∨ 𝑏

a b Y

0 0 1

0 1 0

1 0 0

1 1 0

วงจรดจทล

วงจรสมมล

a

b

y

a

b

y

Page 36: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

36

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง6) XOR (Exclusive OR)𝑦 = 𝑎⨁𝑏 = 𝑎 ∧ 𝑏 ∨ 𝑎 ∧ 𝑏 = (𝑎 ∨ 𝑏) ∧ ( 𝑎 ∨ 𝑏)

a b Y

0 0 0

0 1 1

1 0 1

1 1 0

วงจรดจทล

วงจรสมมลa

b

y

Page 37: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

37

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง7) XNOR (Exclusive NOR)𝑦 = 𝑎⨀𝑏 = (𝑎 ∧ 𝑏) ∨ ( 𝑎 ∧ 𝑏)

a b Y

0 0 1

0 1 0

1 0 0

1 1 1

วงจรดจทล

วงจรสมมลa

b

y

Page 38: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

38

ตวดาเนนการทางดจทล

ตวกระทาทางดจทลและตารางคาความจรง8) Buffer𝑦 = 𝑎

a Y

0 0

1 1

วงจรดจทล

a y

Page 39: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

39

ตวดาเนนการทางดจทล

ตวกระทาทางดจทล

AND OR NOT

NAND XOR

XNOR

BUFFER

Page 40: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

40

ตวดาเนนการทางดจทล

นอกจาก NOT และ BUFFER แลว ตวกระทาอนๆสามารถม Input มากกวา 2 ได

Page 41: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

41

ตวดาเนนการทางดจทล

ทางไฟฟาจะใชสญลกษณทแตกตางไปจากบลน

𝑦 = 𝑎 ∨ 𝑏 = 𝑎 + 𝑏

𝑦 = 𝑎 ∧ 𝑏 = 𝑎 ⋅ 𝑏 = 𝑎𝑏

อานวา a or b หรอ a บวก b หรอ sum

อานวา a and b หรอ a dot b หรอ product

!!! ถาไปคนใน google อาจจะเจอทกรปแบบ หามงง !!!

Page 42: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

42

ตวดาเนนการทางดจทล

TTL (Transistor-transistor Logic)

TTL คอวงจรรวม (IC) ททางานเปนตวดาเนนการทางดจทล ทถกสรางขนดวยทรานซสเตอรแบบ BJT และตวตานทานโดยทวไปจะทางานทไฟเลยง 5 Vนยาม แรงดนชวง 0 V ถง 0.8V ถอวาเปน “0” หรอ False หรอ Low

แรงดนชวง 2 V ถง 5 V ถอวาเปน “1” หรอ True หรอ High

แรงดนในชวง 0.8 V ถง 2.0 ไมนยามเพราะมความนาจะเปน 0 หรอ 1 เทาๆกน

วงจรรวมชนดนมรหสขนตนดวย 74 XX และตามดวยตวเลขโดยตวเลขแตละเบอรจะหมายถง ตวนาเนนการชนดตางๆ ตวอยางเชน 74LS00 คอรหสของ NAND gate

Page 43: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

43

ตวดาเนนการทางดจทล

TTL (Transistor-transistor Logic)

IC ตระกล 74LSXX จะมาในบรรจภณฑ 2 รปแบบคอ1 แบบ dual in-line packages (DIPs)2 แบบ surface-mount packages (SMP)

DIP SMP, SMD

Page 44: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

44

ตวดาเนนการทางดจทล

TTL (Transistor-transistor Logic)

การดตาแหนง pin หรอ ขา ของชพใหสงเกตรอยบาก แลวเรมนบทวนเขมนาฬกาดงภาพ

รอยบาก

17

Page 45: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

45

ตวดาเนนการทางดจทล

TTL (Transistor-transistor Logic)

ความหมายของแตละ pin นนจะแตกตางกนในแตละเบอร ใหศกษาการใชงานจากคมอ หรอ datasheet ตวอยางเชน เบอร 74LS00 จะเปนดงน

17

Page 46: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

46

ตวดาเนนการทางดจทล

TTL (Transistor-transistor Logic)

Page 47: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

47

การบาน 3ใหทาการสบคนขอมลของ IC TTL ในสวนของ ฟงกชน และวงจรเสมอนภายใน ของวงจรรวมทมรหสดงตอไปน โดยใหสรปออกมาเปนตาราง

74HC00 74HC02 74HC04 74HC08 74HC10

74HC11 74HC20 74HC21 74HC27 74HC32

74HC86ตวอยางเชน 74LS08

รหส ฟงกชน วงจรเสมอน

.... ... ...

74LS08 And gate แบบ 2 inputจ านวน 4 ตว

ใหเขยนคาตอบลงในกระดาษขนาด A4 ในแนวตงเทานน และเขยนชอ รหส และหมเรยนบนมมบนขวา

Page 48: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

48

วงจรเชงจดหม

Binary Rotary Encoder เปนอปกรณปอนขอมลแบบหมน คลายๆกบตวตานทานปรบคาได แต output จะเปนเลขฐานสอง

จานเขารหสชนด 4 บต OUTPUT

0 1 2 3

เลขฐาน 10 เลขฐานสอง

0 0000

1 0001

2 0010

3 0011

4 0100

5 0101

6 0110

7 0111

เลขฐาน 10 เลขฐานสอง

8 1000

9 1001

10 1010

11 1011

12 1100

13 1101

14 1110

15 1111

Page 49: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

49

วงจรเชงจดหม

วงจรกระดงรานกาแฟ: คณไดรบมอบหมายใหออกแบบวงจรสญญาณเรยกลกคาจากโตะตางๆ ไปรบกาแฟ เมอกาแฟชงเสรจแลว กาหนดใหรานกาแฟม 4 โตะ

รานกาแฟ กระดงของแตละโตะ

ฝงลกคา

ฝงคนขายหมนเพอเลอกโตะ กดเพอใหกระดงดง

L1, L2, ….., L4

d0, d1 b

0=ไมสงเสยง, 1=สงเสยง

เปนเลขฐานสองโดย d0 เปนบตตาสด

ไมกดปม=0, กดปม=1

Page 50: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

50

วงจรเชงจดหม

รานกาแฟ กระดงของแตละโตะ

ฝงลกคา

ฝงคนขายหมนเพอเลอกโตะ กดเพอใหกระดงดง

L1, L2, ….., L8

d0, d1, d2 b

0=ไมสงเสยง, 1=สงเสยง

เปนเลขฐานสองโดย d0 เปนบตตาสด

ไมกดปม=0, กดปม=1

วเคราะห Input และ Output

Input: d1, d0, bOutput: L1, L2, L3, L4

Page 51: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

51

วงจรเชงจดหม

ออกแบบตารางคาความจรง โดยแยกทาของ output ทละตว

Input: d1, d0, bOutput: L1, L2, L3, L4

INPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรงกบตารางคาความจรงของ Logic gate ตวไหนหรอเปลา ?

Page 52: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

52

วงจรเชงจดหม

ถาไมม เราสามารถแบง วงจรออกเปนสวนยอย แลวน าเอาค าตอบแตละสวนมารวมกนเปนค าตอบของวงจรได วธการนเรยกวา การรวมของวงจร หรอ วงจรเชงจดหม(combination)

ลองสงเกตตารางคาความจรงของ AND และ OR

a b y

0 0 0

0 1 0

1 0 0

1 1 1

a b y

0 0 0

0 1 1

1 0 1

1 1 1

มเพยงเหตการณเดยวทจะเกด 1 มเพยงเหตการณเดยวทจะเกด 0

Page 53: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

53

วงจรเชงจดหม

ออกแบบตารางคาความจรง โดยแยกทาของ output ทละตว

Input: d1, d0, bOutput: L1, L2, L3, L4

INPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

วงจรนม 8 เหตการณ (ม input ทเกดขนได 8 แบบ)ม 7 เหตการณททาใหเกด 0ม 1 เหตการณททาใหเกด 1 คลาย ตารางคาความจรงของ AND gate

Page 54: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

54

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

L1 = d1 ⋅ 𝑑0 ⋅ 𝑏1) ตงสมการแบบ And gate2) Input ตวไหนมคาเปน 0 ใหใส NOT L1 = d1 ⋅ 𝑑0 ⋅ 𝑏

ตอบd1

d0

L1

b

Page 55: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

55

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

0

0

0

1

1

0

Page 56: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

56

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

0

0

1

1

1

1

Page 57: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

57

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

0

1

0

1

0

0

Page 58: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

58

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

0

1

1

1

0

0

Page 59: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

59

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

1

0

0

0

1

0

Page 60: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

60

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

1

0

1

0

1

0

Page 61: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

61

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

1

1

0

0

0

0

Page 62: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

62

วงจรเชงจดหมINPUT OUTPUT

ความหมาย d1 d0 b L1

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0

ตรวจคาตอบ

d1

d0

L1

b

1

1

1

0

0

0

Page 63: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

63

วงจรเชงจดหม

INPUT OUTPUT

ความหมาย d1 d0 b L1 L2 L3 L4

เลอกตาแหนง 0, ปม : ไมกด 0 0 0 0 0 0 0

เลอกตาแหนง 0, ปม : กด 0 0 1 1 0 0 0

เลอกตาแหนง 1, ปม : ไมกด 0 1 0 0 0 0 0

เลอกตาแหนง 1, ปม : กด 0 1 1 0 1 0 0

เลอกตาแหนง 2, ปม : ไมกด 1 0 0 0 0 0 0

เลอกตาแหนง 2, ปม : กด 1 0 1 0 0 1 0

เลอกตาแหนง 3, ปม : ไมกด 1 1 0 0 0 0 0

เลอกตาแหนง 3, ปม : กด 1 1 1 0 0 0 1

L1 = d1 ⋅ 𝑑0 ⋅ 𝑏

L2 = d1 ⋅ 𝑑0 ⋅ 𝑏

L3 = 𝑑1 ⋅ 𝑑0 ⋅ 𝑏

L4 = 𝑑0 ⋅ 𝑑0 ⋅ 𝑏

Page 64: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

64

วงจรเชงจดหม

L1 = d1 ⋅ 𝑑0 ⋅ 𝑏

L2 = d1 ⋅ 𝑑0 ⋅ 𝑏

L3 = 𝑑1 ⋅ 𝑑0 ⋅ 𝑏

L4 = 𝑑0 ⋅ 𝑑0 ⋅ 𝑏

d1 d0 b

L1

L3

L4

L2

Page 65: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

65

วงจรเชงจดหม

ไฟหองโดยสาร : เมอผโดยสารเปดประตรถ ไฟในหองโดยสารจะเปดเองโดยอตโนมต และจะปดเองเมอปดประตทกบาน

b

d

a

c

y

a,b,c,d คอเซนเซอรประตรถ0= ประตปด, 1=ประตปด

y คอไฟหองโดยสาร0= ปดไฟ, 1=เปดไฟ

Input: a,b,c,dOutput: y

INPUT OUTPUT

# d c b A y

0 0 0 0 0 1

1 0 0 0 1 1

2 0 0 1 0 1

3 0 0 1 1 1

4 0 1 0 0 1

5 0 1 0 1 1

6 0 1 1 0 1

7 0 1 1 1 1

8 1 0 0 0 1

9 1 0 0 1 1

A 1 0 1 0 1

B 1 0 1 1 1

C 1 1 0 0 1

D 1 1 0 1 1

E 1 1 1 0 1

F 1 1 1 1 0

มเหตการณทท าใหเกด 0 = 1

มเหตการณทท าใหเกด 1= 15

Page 66: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

66

วงจรเชงจดหม

INPUT OUTPUT

# d c b A y

0 0 0 0 0 1

1 0 0 0 1 1

2 0 0 1 0 1

3 0 0 1 1 1

4 0 1 0 0 1

5 0 1 0 1 1

6 0 1 1 0 1

7 0 1 1 1 1

8 1 0 0 0 1

9 1 0 0 1 1

A 1 0 1 0 1

B 1 0 1 1 1

C 1 1 0 0 1

D 1 1 0 1 1

E 1 1 1 0 1

F 1 1 1 1 0

การสรางวงจรททาใหเกด “0” จะตรงขามกบการสรางวงจรททาใหเกด “1”คอ หาก Input เปน “1” ใหใส NOT แตถา Input เปน “0” กไมตองใสและเปลยนไปใช OR แทน

y = d + 𝑐 + b + 𝑎

𝐴 ∧ 𝐵 = 𝐴 ∨ 𝐵

De Morgan's lawsAugustus De Morgan (1806-1871)

Page 67: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

67

วงจรเชงจดหม

INPUT OUTPUT

# d c b A y

0 0 0 0 0 1

1 0 0 0 1 1

2 0 0 1 0 1

3 0 0 1 1 1

4 0 1 0 0 1

5 0 1 0 1 1

6 0 1 1 0 1

7 0 1 1 1 1

8 1 0 0 0 1

9 1 0 0 1 1

A 1 0 1 0 1

B 1 0 1 1 1

C 1 1 0 0 1

D 1 1 0 1 1

E 1 1 1 0 1

F 1 1 1 1 0

y = d + 𝑐 + b + 𝑎

d

c

y

b

a

d

c y

b

a

Page 68: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

68

วงจรเชงจดหม

วงจรทสรางดวย AND จะท างานเหมอนฟงกชน MIN เพราะ AND จะใหค าตอบเปนคา input ตวทนอยทสด y

b

a

y

b

a

𝑦 = min(𝑎, 𝑏)

วธการออกแบบวงจรในลกษณะน จงนยมเรยกวา “Minterm”

วงจรทสรางดวย OR จะท างานเหมอนฟงกชน MAX เพราะ OR จะใหค าตอบเปนคา input ตวทมากทสด

𝑦 = m𝑎𝑥(𝑎, 𝑏)

วธการออกแบบวงจรในลกษณะน จงนยมเรยกวา “Maxterm”

สรางวงจรตาม logic “1” คอ Minterm สรางวงจรตาม logic “0” คอ Maxterm

Page 69: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

69

วงจรเชงจดหม

วงจรเปดเครองปรบอากาศอตโนมต: เมออากาศรอนเกน 25’c และมคนอยในอาคาร เครองปรบอากาศจะทางานโดยอตโนมต และหยดทางานเอง เมอไมมใครอยในอาคาร

a,b= ตรวจจบคน 0 = ไมมคน, 1= มคน

a bc

c= เซนเซอรวดอณหภม 0 = เยนกวา25’c,1= 25’c หรอรอนกวา

y

y= เครองปรบอากาศ 0 = ปด, 1= เปด

Input: a,b,cOutput: y

INPUT OUTPUT

c b a y

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1

5

3

ใหออกแบบวงจรทละเหตการณแลวนามารวมกน (combination)

Page 70: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

70

วงจรเชงจดหม

INPUT OUTPUT

c b a y

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1

หลกการจดหมวงจร หรอรวมวงจร

Minterm : จะรวมโดยใช OR เรยกวา Sum of productตวอยางเชน y= (…….) + (……..) + (……..) + (…….)

Maxterm : จะรวมโดยใช AND เรยกวา Product of sumตวอยางเชน y= (…+….) (…+…..) (…+…..) (…+….)

วธการทง 2 จะตรงกนขามกน ตามกฎของ De Morgan

Page 71: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

71

วงจรเชงจดหม

INPUT OUTPUT

c b a y

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1

หลกการจดหมวงจร หรอรวมวงจรMinterm

y′ = 𝑐 ⋅ 𝑏 ⋅ 𝑎

y′′ = 𝑐 ⋅ 𝑏 ⋅ 𝑎

y′′′ = 𝑐 ⋅ 𝑏 ⋅ 𝑎

รวมวงจรดวย Sum of product

𝑦 = 𝑐 ⋅ 𝑏 ⋅ 𝑎 + 𝑐 ⋅ 𝑏 ⋅ 𝑎 + (𝑐 ⋅ 𝑏 ⋅ 𝑎)

Page 72: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

72

วงจรเชงจดหม

INPUT OUTPUT

c b a y

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1

𝑦 = 𝑐 ⋅ 𝑏 ⋅ 𝑎 + 𝑐 ⋅ 𝑏 ⋅ 𝑎 + (𝑐 ⋅ 𝑏 ⋅ 𝑎)

c b a

Y’

Y’’

Y’’’

y

Page 73: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

73

วงจรเชงจดหม

ประตอตโนมต: ประตอตโนมตจะปลดลอคเองในเวลากลางวนทมคนอยในราน สวนเวลากลางคนจะไมเปด

a bc

c= เซนเซอรวดแสง 0 = กลางคน, 1= กลางวน

Input: a,b,cOutput: y

INPUT OUTPUT

c b A Y

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 1

1 0 1 0

1 1 0 0

1 1 1 0c= ประต 0 = unlock , 1= lock

y

a,b= ตรวจจบคน 0 = ไมมคน, 1= มคน

Page 74: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

74

วงจรเชงจดหม

INPUT OUTPUT

c b A Y

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 1

1 0 1 0

1 1 0 0

1 1 1 0

หลกการจดหมวงจร หรอรวมวงจร

Maxterm

y′ = 𝑐 + 𝑏 + 𝑎

y′′ = 𝑐 + b + 𝑎

y′′′ = 𝑐 + b + 𝑎

รวมวงจรดวย Product of sum

y = 𝑐 + 𝑏 + 𝑎 ⋅ 𝑐 + b + 𝑎 + 𝑐 + b + 𝑎

Page 75: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

75

วงจรเชงจดหม

INPUT OUTPUT

c b A Y

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 1

1 0 1 0

1 1 0 0

1 1 1 0

y = 𝑐 + 𝑏 + 𝑎 ⋅ 𝑐 + b + 𝑎 + 𝑐 + b + 𝑎

c b a

Y’

Y’’

Y’’’

y

Page 76: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

76

วงจรเชงจดหม

หลอด LED แสดงผลแบบ 7 สวน

เปนอปกรณแสดงตวเลขทสรางขนมาจากหลอด LED จานวน 7 หลอด ซงอาจมหลอดท 8 สาหรบแสดงจดทศนยมมการนยามตาแหนงแตละสวนเปน a,b,c,d,e,f ,gและ dp ดงภาพ

Page 77: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

77

วงจรเชงจดหม

หลอด LED แสดงผลแบบ 7 สวน

7 Segment LED มอย 2 แบบคอCommon Cathode - ตอขา common ลง ground- จาย 1 ตด, จาย 0 ดบCommon Anode- ตอขา common เขา VCC- จาย 0 ตด, จาย 1 ดบ

การอางตาแหนงขาและ segment จะเหมอนกนทง 2 แบบ

Page 78: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

78

วงจรเชงจดหม

วงจรแปลงเลขฐาน 2 ขนาด 2 บต เปนฐาน 10 และแสดงผลดวยหลอด LED แบบ 7 สวน

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Page 79: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

79

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Maxterm: a = d1 + 𝑑0

Page 80: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

80

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Constant:

a = d1 + 𝑑0

b = 1

Page 81: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

81

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Maxterm:

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

Page 82: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

82

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Maxterm:

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

d = 𝑎

Page 83: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

83

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Minterm:

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

d = 𝑎

e = 𝑑1𝑑0 + 𝑑1𝑑0

Page 84: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

84

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Minterm:

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

d = 𝑎

e = 𝑑1𝑑0 + 𝑑1𝑑0

f = 𝑑1𝑑0

Page 85: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

85

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

Minterm:

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

d = 𝑎

e = 𝑑1𝑑0 + 𝑑1𝑑0

f = 𝑑1𝑑0

g = 𝑑1𝑑0 + 𝑑1𝑑0

Page 86: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

86

วงจรเชงจดหม

INPUT OUTPUT

d1 d0 a b c d e f G

0 0 1 1 1 1 1 1 0

0 1 0 1 1 0 0 0 0

1 0 1 1 0 1 1 0 1

1 1 1 1 1 1 0 0 1

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

d = 𝑎

e = 𝑑1𝑑0 + 𝑑1𝑑0

f = 𝑑1𝑑0

g = 𝑑1𝑑0 + 𝑑1𝑑0

Page 87: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

87

วงจรเชงจดหม

a = d1 + 𝑑0

b = 1

c = 𝑑1 + 𝑑0

d = 𝑎

e = 𝑑1𝑑0 + 𝑑1𝑑0

f = 𝑑1𝑑0

g = 𝑑1𝑑0 + 𝑑1𝑑0

d1 d01

a

b

c

d

e

f

g

Page 88: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

88

วงจรเชงจดหม

Page 89: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

89

วงจรเชงจดหม

วงจรตรวจสอบเลขค: กาหนดใหขอมลขาเขาเปนเลขฐาน 2 ขนาด 3 บต หลงจากตรวจสอบแลวหากพบวาเปนเลขค ใหตอบ “1” แตหากเปนเลขคใหตอบ “0”

Input: d2,d1,d0Output: y

INPUT OUTPUT

d2 d1 d0 y

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 0

1 1 0 1

1 1 1 0

Xd2

d1

d0y

จะใช Minterm หรอ Maxterm ?

Page 90: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

90

วงจรเชงจดหม

วงจรตรวจสอบเลขค: กาหนดใหขอมลขาเขาเปนเลขฐาน 2 ขนาด 3 บต หลงจากตรวจสอบแลวหากพบวาเปนเลขค ใหตอบ “1” แตหากเปนเลขคใหตอบ “0”

Input: d2,d1,d0Output: y

INPUT OUTPUT

d2 d1 d0 y

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 0

1 1 0 1

1 1 1 0

Xd2

d1

d0y

y′ = 𝑑2𝑑1𝑑0

Minterm

y′′ = 𝑑2𝑑1𝑑0

y′′′ = 𝑑2𝑑1𝑑0

y′′′ = 𝑑2𝑑1𝑑0

y = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

Page 91: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

91

วงจรเชงจดหม

วงจรตรวจสอบเลขค: กาหนดใหขอมลขาเขาเปนเลขฐาน 2 ขนาด 3 บต หลงจากตรวจสอบแลวหากพบวาเปนเลขค ใหตอบ “1” แตหากเปนเลขคใหตอบ “0”

Input: d2,d1,d0Output: y

INPUT OUTPUT

d2 d1 d0 y

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 0

1 1 0 1

1 1 1 0

Xd2

d1

d0y

y = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

แตดดวยตากรวา..

𝑦 = 𝑑0กไดคาตอบเทากน

Page 92: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

92

วงจรเชงจดหม

Page 93: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

93

วงจรเชงจดหม

𝑦 = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

𝑦 = 𝑑2𝑑0 𝑑1 + 𝑑1 + 𝑑2𝑑0 (𝑑1 + 𝑑1)

Page 94: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

94

วงจรเชงจดหม

𝑦 = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

𝑦 = 𝑑2𝑑0 𝑑1 + 𝑑1 + 𝑑2𝑑0 (𝑑1 + 𝑑1)

𝑦 = 𝑑2𝑑0 𝑑1 + 𝑑1 + 𝑑2𝑑0 (𝑑1 + 𝑑1)

1 1

𝑦 = 𝑑2𝑑0 1 + 𝑑2𝑑0 (1)

Page 95: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

95

วงจรเชงจดหม

𝑦 = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

𝑦 = 𝑑2𝑑0 𝑑1 + 𝑑1 + 𝑑2𝑑0 (𝑑1 + 𝑑1)

𝑦 = 𝑑2𝑑0 1 + 𝑑2𝑑0 (1)

𝑦 = 𝑑2𝑑0 + 𝑑2𝑑0

Page 96: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

96

วงจรเชงจดหม

𝑦 = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

𝑦 = 𝑑2𝑑0 𝑑1 + 𝑑1 + 𝑑2𝑑0 (𝑑1 + 𝑑1)

𝑦 = 𝑑2𝑑0 1 + 𝑑2𝑑0 (1)

𝑦 = 𝑑2𝑑0 + 𝑑2𝑑0

𝑦 = 𝑑2𝑑0 + 𝑑2𝑑0

𝑦 = 𝑑0(𝑑2 + 𝑑2)

Page 97: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

97

วงจรเชงจดหม

𝑦 = 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0 + 𝑑2𝑑1𝑑0

𝑦 = 𝑑2𝑑0 𝑑1 + 𝑑1 + 𝑑2𝑑0 (𝑑1 + 𝑑1)

𝑦 = 𝑑2𝑑0 1 + 𝑑2𝑑0 (1)

𝑦 = 𝑑2𝑑0 + 𝑑2𝑑0

𝑦 = 𝑑2𝑑0 + 𝑑2𝑑0

𝑦 = 𝑑0(𝑑2 + 𝑑2)

1

𝑦 = 𝑑0(1)

𝑦 = 𝑑0ตอบ

Page 98: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

98

วงจรเชงจดหม

นกคณตศาสตร สามารถใชพชคณตบลน เขามาชวยลดความซาซอนของสมการ(วงจรลงได)

วศวกรจะนยมใชเทคนกการปรบเปน Canonical form ซงวธการมขนตอนทชดเจนกวา

ขนตอนการลดรปสมการแบบน เขยนเปน Algorithm ไดยากมาก

วธการกม Algorithm ทชดเจน และเขาใจไดงาย

Page 99: Chapter 3 · การด่าเนินการทางดิจิทัลจะใช้หลักของพีชคณิตบูลีน(Boolean Algebra) 0 = เท็จ

99

การบาน 4ใหทาการออกแบบวงจรแปลงเลขฐาน 2 ขนาด 4 บต ใหเปนเลขฐาน 16 ดวยหลอด LED แบบ 7 สวนซงมรปแบบการแสงผลดงน (สเขยวหมายถงหลอดตด)

ใหเขยนวงจรดจทลบนกระดาษ A4 จานวน 1 หนา ในแนวนอน ดวยดนสอ 2B ลายเสนคมชด

คพ 121 ตรรกศาสตรเชงดจทลและอปกรณอจฉรยะ

การบานท: เขยนโดย:

วนท: / / รหสนกศกษา: กลม: หนา: /

กาหนดใหวาง TITLE BLOCK ลงบนมมลางขวาของกระดาษโดยมรปแบบดงน

โดยคาตอบจะตองประกอบดวย ตารางคาความจรง สมการของวงจร และวงจรดจทล