differential of mos vlsi circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 ·...

14
VLSI DESIGN 1996. Vol. 4. No. 3. pp. 217-229 Reprints available directly from the publisher Photocopying permitted by license only (C) 1996 OPA (Overseas Publishers Association) Amsterdam B.V. Published in The Netherlands under license by Gordon and Breach Science Publishers SA Priflted in Malaysia Switch-level Differential Fault Simulation of MOS VLSI Circuits EVSTRATIOS VANDRIS a’* and GERALD SOBELMAN b’* aAT&T Bell Laboratories, 600 Mountain Avenue, Murray Hill, NJ 07974; bElectrical Engineering Department, University of Minnesota, Minneapolis, MN 55455 A new switch-level fault simulation method for MOS circuits is presented that combines compiled switch-level simulation techniques and functional fault modeling of transistor faults with the new fault simulation algorithm of differential fault simulation. The fault simulator models both node stuck-at-0, stuck-at-1 faults and transistor stuck-on, stuck-open faults. Prior to simulation, the switch-level circuit components are compiled into functional models. The effect of transistor faults on the function of the circuit components is modeled by functional fault models that execute very fast during simulation. Every compiled circuit component is assigned a dominance attribute, which abstracts relative strength information in the circuit. Dominance is used during simulation to resolve the X-state due to fighting pull-up and pull-down transistor paths and also to deduce transistor fault detectability and fault equivalencies prior to simulation. The differential fault simulation algorithm developed for gate-level circuits is adapted for use at the switch-level. Differential fault simulation provides excellent performance with minimum memory requirements, although it incurs a higher overhead at the switch-level than at the gate-level due to the dynamic memory properties of MOS circuits. Kevwords: Fault Modeling, Fault Simulation, Switch-level Simulation 1. INTRODUCTION Fault simulation is used to determine the effective- ness of a sequence of test vectors in detecting manu- facturing faults in integrated circuits. A fault simula- tor generates all possible faults for the circuit and then simulates the good circuit and all the faulty cir- cuits for a set of test patterns applied to the inputs. A fault is considered detected if the particular faulty circuit gives a different logic value at an output than the good circuit. Therefore the fault simulator can determine the fault coverage of the test sequence, which is defined as the ratio of the number of faults detected to the total number simulated. Fault simula- tion provides useful feedback as to what areas of the chip are not being tested by the vector set. Additional test vectors can then be written for the untested logic to increase fault coverage. Also, inherently untestable areas of the chip can be identified and the logic rede- signed to increase testability. *Phone: (908) 582-3205 *Phone: (612) 625-8041 217

Upload: others

Post on 08-Jul-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

VLSI DESIGN1996. Vol. 4. No. 3. pp. 217-229

Reprints available directly from the publisherPhotocopying permitted by license only

(C) 1996 OPA (Overseas Publishers Association) Amsterdam B.V.Published in The Netherlands under license by

Gordon and Breach Science Publishers SAPriflted in Malaysia

Switch-level Differential Fault Simulation ofMOS VLSI Circuits

EVSTRATIOS VANDRISa’* and GERALD SOBELMANb’*

aAT&T Bell Laboratories, 600 Mountain Avenue, Murray Hill, NJ 07974; bElectrical Engineering Department, University of Minnesota,Minneapolis, MN 55455

A new switch-level fault simulation method for MOS circuits is presented that combinescompiled switch-level simulation techniques and functional fault modeling of transistorfaults with the new fault simulation algorithm of differential fault simulation. The faultsimulator models both node stuck-at-0, stuck-at-1 faults and transistor stuck-on, stuck-openfaults. Prior to simulation, the switch-level circuit components are compiled into functionalmodels. The effect of transistor faults on the function of the circuit components is modeledby functional fault models that execute very fast during simulation. Every compiled circuitcomponent is assigned a dominance attribute, which abstracts relative strength informationin the circuit. Dominance is used during simulation to resolve the X-state due to fightingpull-up and pull-down transistor paths and also to deduce transistor fault detectability andfault equivalencies prior to simulation. The differential fault simulation algorithm developedfor gate-level circuits is adapted for use at the switch-level. Differential fault simulationprovides excellent performance with minimum memory requirements, although it incurs ahigher overhead at the switch-level than at the gate-level due to the dynamic memoryproperties of MOS circuits.

Kevwords: Fault Modeling, Fault Simulation, Switch-level Simulation

1. INTRODUCTION

Fault simulation is used to determine the effective-ness of a sequence of test vectors in detecting manu-

facturing faults in integrated circuits. A fault simula-tor generates all possible faults for the circuit andthen simulates the good circuit and all the faulty cir-

cuits for a set of test patterns applied to the inputs. Afault is considered detected if the particular faultycircuit gives a different logic value at an output than

the good circuit. Therefore the fault simulator can

determine the fault coverage of the test sequence,which is defined as the ratio of the number of faultsdetected to the total number simulated. Fault simula-tion provides useful feedback as to what areas of thechip are not being tested by the vector set. Additionaltest vectors can then be written for the untested logicto increase fault coverage. Also, inherently untestableareas of the chip can be identified and the logic rede-signed to increase testability.

*Phone: (908) 582-3205*Phone: (612) 625-8041

217

Page 2: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

218 E. VANDRIS and G. SOBELMAN

Fault simulators based on a gate level model canmodel only a limited class of faults that are possiblein MOS circuits, such as gate inputs and outputsstuck-at-0 and stuck-at-1 faults. However, the major-ity of MOS manufacturing faults are due to short- or

open-circuited transistors and wire shorts or opens[1], [2]. These faults can often change even a simpleMOS gate into a sequential circuit or change the gatefunction in such a way that it cannot be modeled as

an input/output s-a-0/1 fault [3], [4]. Furthermore,MOS circuits exhibit properties such as bidirectional-

ity of signal flow, dynamic charge storage, chargesharing and ratioed circuits that cannot be modeled at

the gate level. For this reason, fault simulation ofMOS circuits should be performed at the transistorswitch-level, where transistor stuck-on and stuck-open faults and wire shorts and opens can be mod-eled.

For VLSI circuits, thousands of faults have to besimulated during fault simulation and straightforwardserial fault simulation (in which the good circuit andeach faulty circuit are simulated separately) would becomputationally very expensive. Various techniqueshave been devised to reduce the amount of computa-tion necessary by exploiting inherent parallelism and

concurrency in fault simulation. In the context offault simulation, parallelism implies the simultaneoussimulation of many identical circuits correspondingto different faults or patterns. Concurrency, on theother hand, implies the simultaneous simulation ofonly those identical circuits whose response is differ-

ent than the reference circuit for different faults or

patterns.There are 3 different dimensions along which par-

allelism and concurrency can be exploited for the ac-celeration of fault simulation: faults, data patternsand circuit components. This is shown in Fig. as a

3-dimensional diagram with faults on the x-axis, datapatterns on the y-axis and circuit components on thez-axis. The techniques of parallel fault, concurrent

fault and parallel value list simulation exploit paral-lelism along the fault-axis, while the techniques ofparallel pattern and concurrent pattern simulation ex-

ploit parallelism along the data pattern-axis. Circuit

parallelism can be exploited by assigning indepen-

Circuit Components

Circuit Parallelism IMul ti prc

siIngFaul ts

/,/!Hi! .Parallel Fault sire

Data patter 3.2" ConcurrentFaUltparalllelValue ListSim4. Single Fault Propagation

Parallel Pattern sire (PPSFP)5. Differential Fault sire

2. Concurrent Pattern sire

FIGURE Parallelism and Concurrency in Fault Simulation

dent circuit partitions on the processing units of a

multiprocessor.The issues involved in fault simulation can be ex-

amined by considering the evaluation matrix de-fined by the x-y plane of Fig. [23]. As shown in Fig.2, the rows correspond to the faults of the circuit F1,F2 Fn (plus one for the good circuit, denoted as Gor F0) while the columns correspond to the data inputpatterns applied D 1, D2, D3 Dm. The objective offault simulation is to evaluate the values at each nodein the circuit for each fault and data pattern, i.e. to

obtain all the entries in this matrix.Fault parallelism is exploited in parallel fault sim-

ulation [5] which evaluates the matrix column bycolumn, where each column corresponds to a differ-ent data pattern. It uses the computer’s wordlength to

simulate many faults in parallel with each bit in the

computer word representing the response of the par-ticular faulty circuit. The simulation of the circuit is

DI D2 D3 DJ Dm

G Gt G2 Gj GmFI FI,1 FI,j

F2 F2,1 Fz,j

Fi FI,j

FIGURE 2 The Evaluation Matrix

Page 3: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

SWITCH LEVEL FAULT SIMULATION 219

performed by Boolean operations (AND, OR, NOT)on computer words, corresponding to Boolean gatesin the circuit. There are, however, a great number ofwasted simulations performed, since the potential ef-fect of each fault is calculated for all gates, even

though most gates could not be possibly affected bymost faults (fault masking).

Data parallelism can be exploited by simulatingmany data patterns in parallel (as opposed to manyfaults in parallel as in parallel fault simulation) usingeach bit of the machine wordlength to represent a

value in the good or faulty circuit for each data pat-tern. If the wordlength is W 32 bits, then a good-circuit simulation is first performed in parallel for 32patterns using AND, OR and NOT bit-wise opera-tions, corresponding to Boolean gates in the circuit.Then, for each fault being considered, the fault vec-

tors of 32 patterns are propagated forward, beginningat the point of the fault and continuing until faultvalues are no longer different from the good-circuitvalues. This technique, called parallel pattern singlefault propagation (PPSFP) [15], 16] evaluates thematrix row by row, each row corresponding to a dif-ferent fault. In addition, it checks whether any row

(the faulty values) is identical to the first row (thegood values) and drops such a vector from furthersimulation. The parallel pattern evaluation techniquehas been adapted to the switch-level for good-circuitsimulation only [17]. Parallel pattern simulation re-

quires that W separate input test sequences be avail-able, where W is the wordlength, e.g. W 32. Thestate of the circuit should not depend on any of theprevious data inputs in the parallel vector, since all 32patterns in the vector are simulated in parallel. There-fore, PPSFP applications are mainly limited to com-binational circuits.

By using a vector processor [18], [19], or a multi-

processor [20], [21], [22] the rows or the columns ofthe matrix can be evaluated in parallel, leading to

parallel pattern parallel fault simulation. The eval-uation matrix, however, is inherently sparse, not inthe sense of having a few non-zero entries but in thesense that many of the entries are identical to the first

reference entry. This is because each faulty circuit

typically differs only slightly from the good circuit,

since the fault affects only a small portion of the cir-cuit. This sparsity amounts to unnecessary storageand circuit evaluations. The parallelism of vector pro-cessors or multiprocessors may make that overheadtolerable but on a uniprocessor is very important to

avoid that overhead.Concurrent fault simulation [6] exploits the spar-

sity of the evaluation matrix to reduce the executiontime of fault simulation on a uniprocessor. The goodcircuit is simulated in its entirety but only selective

portions of the faulty circuit that are affected by afault are simulated concurrently with the good circuit.Concurrent fault simulation evaluates the matrix onecolumn at a time, using a linked list to represent onlyentries which are different from the first referenceentry and dropping further simulation of entries iden-tical to the reference (i.e., dropping from furtherpropagation to other circuit components).

Event-driven concurrent fault simulation has beenthe most prevalent fault simulation technique due to

its simulation efficiency and its ability to handle eas-

ily different fault models, sequential circuits andfunctional models [7]. However, the main disadvan-

tage of concurrent fault simulation is the large mem-ory requirement, since the state of the good circuitand all the faulty circuits must be maintained simul-

taneously. There is also a performance overhead in

traversing the fault lists to trace fault effects and re-

moving or inserting faults from the lists.Another technique, the parallel value list, [13]

combines the features of parallel and concurrent faultsimulation [14]. Faults are grouped together in a

given parallel value word, chosen on the basis oftheir proximity with one another. If the faults areclose together in the circuit and there is no activity inthat area of the circuit for the particular pattern sim-

ulated, the fault word is quickly dropped from for-ward propagation. Only active fault groups are prop-agated as in concurrent fault simulation. Althoughcombining the advantages of the two techniques, it

also suffers from the disadvantages mentioned above.A similar method, single fault propagation, also

evaluates the matrix by columns, but only one entryat a time. In other words, each faulty machine is sim-

ulated separately for a given data pattern, with only

Page 4: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

220 E. VANDRIS and G. SOBELMAN

the differences between the good and faulty machinessimulated. It does not incur the high memory over-head of concurrent fault simulation but has the over-head of restoring the good circuit state before eachfaulty machine evaluation, because the good andfaulty machines are not simulated simultaneously.

Instead of exploiting similarities of the faulty ma-chines with the good reference machine as in concur-rent fault simulation or single fault propagation, onecould exploit the similarities between successive

faulty machines F and Fi+ 1. In other words, onewould evaluate the matrix by columns, one entry at atime, in the order Gj, F l,j, F2, Fn, for each vector

Dj. This technique is called differential fault simu-lation [23]. In differential fault simulation, an event

is defined as a difference between successive faultymachines rather than a difference between the goodand faulty machine or a difference in the same ma-chine under successive patterns. It does not requirethe overhead of restoring the good machine state be-fore each faulty machine simulation as does singlefault propagation. Moreover, it requires much lessmemory than concurrent fault simulation, because itdoes not keep copies of the good and all faulty ma-chines running concurrently. Finally it can handleboth combinational and sequential circuits [24].We can summarize the various fault simulation

techniques according to the order of evaluation of theentries in the matrix of Fig. 2 as follows:

Technique1. Single Fault

Propagation

2. DifferentialFaultSimulation

3. ConcurrentFaultSimulation

5. Parallel FaultSimulation

Evaluation MethodBy columns, one faulty machine

(entry) at a time, evaluated onlyif different from the good ma-chine (first entry in each col-umn)By columns, one entry at a time,evaluated only if different fromthe previous faulty machineBy columns, all column entries

concurrently evaluated only ifdifferent from the good machine(first column entry)By columns, all column entriesin parallel

6. Parallel PatternSingle FaultPropagation(PPSFP)

7. Parallel PatternParallel Fault

By rows, all row entries in par-allel, evaluated only if faultymachine row is different fromthe good machine rowBy rows or columns, all row/

column entries in parallel and allrows/columns in parallel (on avector processor or multiproces-sor)

Concurrent fault simulation, originally developedfor gate-level circuits, has been extended to the tran-

sistor switch-level in the simulators FMOSSIM[8-9], DECSIM [10-11], MOZART [12] and FCOS-MOS [34]. However, switch-level simulation is gen-erally slower than gate-level simulation and the num-ber of faults at the transistor switch-level is muchhigher than the gate-level. This large increase in thenumber of faults coupled with the low speed of sim-ulation at the switch-level has prevented switch-levelfault simulation from becoming practical for largecircuits. Also, due to the nature of switch-level cir-

cuits, the implementation of concurrent fault simula-tion at the switch-level has been much more complexwith additional memory and performance overhead.We have developed a new switch-level fault simu-

lator that uses differential fault simulation, to achieveminimum memory requirements and performanceoverhead, both of which are essential to performingpractical fault simulation at the switch-level due to

the large number of switch-level faults. It also uses

high speed compiled switch-level simulation thatapproaches-the speed of gate-level simulation.The remainder of this paper describes the design

and performance of our switch-level fault simulator.

In section 2, the switch-level simulation techniquesused in our simulator are discussed and comparedwith other switch-level simulators. In section 3, anew functional fault modeling technique that modelsthe effect of transistor faults on compiled circuit com-ponents is presented. In section 4, the calculation ofthe transistor group dominance, which is a propertyused to resolve the X-state during simulation and alsoto identify transistor faults undetectable by logicmonitoring, is presented. In section 5, our implemen-

Page 5: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

SWITCH LEVEL FAULT SIMULATION 221

tation of differential fault simulation at the switch-level is described. In section 6, simulation results fortypical circuits are presented to evaluate the faultsimulator’s performance. Finally, our conclusions arepresented in section 7.

2. SWITCH-LEVEL FAULT SIMULATION

Switch-level simulators [25] represent transistorstructures explicitly, modeling transistors as bidirec-tional resistive switches, controlled by the state oftheir gate terminal. Transistor conductance is mod-eled by a set of discrete strengths and node capaci-tance is modeled by a set of discrete sizes. A path ofconducting transistors takes on the strength of its

weakest transistor. A circuit node, affected by differ-

ent signals through conducting transistor paths, takesthe logic value (0 or 1) of the path with maximumstrength. When transistor paths of equal strength butopposite logic values drive a node, the node is set to

X, to represent an unknown logic value.Two types of switch-level fault simulators have

been developed: interpreted and compiled. Inter-preted simulators evaluate the circuit response at runtime while compiled simulators extract function in-formation from the circuit at compile-time and makeuse of this information to achieve faster simulation at

run-time. Interpreted fault simulators such asFMOSSIM [9] have suffered from low speed, be-cause the interpretive switch-level algorithm is slow.In addition, the frequent occurrence of the X-statedue to a transistor fault causes these transistor faultsto be declared as being only potentially detected(soft-detects) and in a corresponding uncertainty inthe fault coverage. These faults can potentially bedetected, because the intermediate voltage levels theycreate may or may not be sufficient to switch furtherstages and drive a primary output to the oppositelogic value of the good circuit. Additionally, manytransistor stuck-on faults are undetectable by logicmonitoring because even when they are sensitized a

stronger opposing path overrides their fault effect[31]. Other fault simulators have tried to remedy

these problems by using a multivalued algebra withmore than 3 logic states [32], [33]. However, thismade the simulation algorithm more complicated andsacrificed simulation speed.Compiled switch-level simulators improve simula-

tion speed by using symbolic analysis to preprocessthe network into a functionally equivalent Booleanrepresentation for simulation [26-29]. For example,the compiled simulator COSMOS [30] generatescompiled code that computes the response by execut-

ing the extracted sequence of Boolean operations.Compiled simulators achieve higher simulation speedthan interpreted simulators but incur a substantialtime overhead in generating the compiled networkdescription. FCOSMOS [34], the fault simulator

based on COSMOS, models only stuck-at faults on asubcircuit’s inputs and outputs, in order to avoid theoverhead of symbolically analyzing and recompilingsubcircuits containing transistor fault effects. More-over, in FCOSMOS the X-state problem due to tran-

sistor faults has not been alleviated.Our fault simulator is based on Soisim, a switch-

level simulator developed at AT&T Bell Laboratories

[35], [36]. Soisim combines the advantages of com-

piled and interpreted switch-level simulation tech-

niques to achieve high simulation speed at theswitch-level. Before simulation, a static partitioningof the circuit into channel- connected components is

performed (i.e., into groups of transistors connectedby their sources and drains only). During compila-tion, each channel-connected component of a circuitis matched against a library of primitives, such as

static and dynamic CMOS gates, latches, multiplex-ers, etc. A functional model in C code is substitutedfor each structure prior to simulation. Typically, 85%of the channel- connected components of a circuit are

successfully matched and modeled during compila-tion.Any transistor groups that are left unmatched are

simulated at the switch-level using partially orderedstrengths [35] and a simulation algorithm similar to

MOSSIM II [25]. Compiled transistor groups aresimulated by executing the C code of the group func-tional model, which results in high simulation speed.The simulator is event-driven, with events scheduled

Page 6: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

222 E. VANDRIS and G. SOBELMAN

at the transistor-group level. Soisim can handle arbi-

trary digital MOS circuits and it is much faster thaninterpreted simulators due to its compilation capabil-ity. However, unlike COSMOS, it does not attempt to

compile circuit components with complex behavior.This avoids excessively long compilation times.Our fault simulator models both node stuck-at

faults and transistor stuck-on and stuck-open faults.To achieve high simulation speed, functional faultmodeling is used to model the effect of transistorfaults on compiled circuit components. The domi-nance property of the circuit components is used dur-ing simulation to resolve the X-state due to transistorstuck-on faults.

3. FUNCTIONAL FAULT MODELING

The fault simulator can model node stuck-at faults onthe inputs and outputs of transistor groups (channel-connected components) of the circuit. As the simula-tor identifies logic gates from transistor groups duringthe compilation phase, this corresponds to a gate-level fault model, where only faults on inputs andoutputs of logic gates are modeled.

Transistor stuck-on and stuck-open faults can alsobe modeled inside transistor groups. The functionalmodel of a transistor group in the fault simulatormodels the behavior of the good circuit and the faultycircuit in the presence of any fault in that group. De-pending on the particular fault to be simulated, thefunctional model selects the appropriate faulty behav-ior of the group. This method of fault modeling pre-serves the speed advantage of compiled simulationand results in high-speed fault simulation. By usingcompiled fault models, we avoid the overhead ofsymbolically analyzing all faulty groups to extract

their function under the presence of different faults.A general functional model for restoring and non-

restoring CMOS logic is used [37], [38] that allowsfunctional fault modeling of transistor faults. Restor-ing logic is modeled as consisting of a pull-up net-

work of p-type transistors and a pull-down networkof n-type transistors. The model uses the concept of

dominance [39] to abstract relative strength informa-tion in the compiled transistor groups. A group is said

to be n-dominant if its pull-down network overpow-ers the pull-up network when both are on. Similarly,the group is p-dominant if the pull-up network over-

powers the pull-down network. Finally the group is

said to have no dominance if neither one overpowersthe other. The output node out is then evaluated ac-

cording to the following table:

pd pu out

on off 0

off on

off off out-

0 (n-dom)

on on (p-dom)

X (no dom)

/* s-open fault */

/*s-on fault */

where pd and pu are the pull-down and pull-up trans-

mission functions, respectively, and out- is the pre-vious value of the output node. The transmissionfunction gives the conditions under which one or

more paths form across the network terminals and it

has value when the transistor network is on andvalue 0 when it is off. The compiler extracts the

pull-up and pull-down transmission functions fromthe transistor network.

For example, the behavior of the 2-input staticCMOS NAND gate in Fig. 3a under the presence oftransistor faults is given in Table I. For the stuck-ontransistor faults, the value of the output depends onthe relative strength of the n- and p-type transistors

L-2

TAnTBn

FIGURE 3A Static CMOS NAND gate

Page 7: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

SWITCH LEVEL FAULT SIMULATION 223

TABLE Gate Truth Table

Z, output

A B no TAp TBp TAn TAn TBn TApfault s-off s-off s-off s-on s-on s-on

0 00 Z- 1,0,X

0 Z- 1,0,X0 0 0 Z- 0 0 1,0,X

when the fault creates simultaneous pull-up and pull-down paths driving the output node. For a stuck-openfault, the output depends on the previous output valuewhen the fault results in both the pull-down andpull-up networks being off, with the output node act-

ing as a storage node. The pull-down and pull-uptransmission functions for this static CMOS gate are

pd A.B and pu -A + -B respectively, whilefor the dynamic CMOS gate in Fig. 3b they are pdA.B.CLK and pu -CLK.A transistor fault can then be simulated by model-

ing its effect on the pull-up or pull-down transmissionfunction. For example, to inject the transistor TAnstuck-on fault in Fig. a we set its gate terminal to

logic and this changes the pull-down transmissionfunction from pd A.B to pd 1.B B. The tran-

sistor TAn stuck-open fault will change the pull-downtransmission function to pd 0.B 0. Therefore,the faulty logic expressions for the pull-down andpull-up transmission functions can be evaluated eas-ily without re-analyzing the circuit for each transistorfault. For each fault, the expressions can be evaluatedat compile-time and stored in a functional fault

CLKTI

T2

FIGURE 3B Dynamic CMOS NAND gate

model. For large groups, this will considerably in-crease the compiled circuit description; as an alterna-tive, we can inject transistor faults during simulation

by injecting the equivalent stuck-at faults on their

gate terminals as above and evaluate the faulty trans-

mission functions at run-time.For non-restoring logic, the circuit compiler iden-

tifies those cases where a unidirectional model is suf-ficient for modeling the circuit behavior and modelsthe logic as follows:

if (control 1) out in;

if (control 0) out out-;

where control is the transmission function. For ex-

ample, the transmission gate of Fig. 4a exhibits uni-

directional behavior and has control function controlck + -ck.Similarly, transistor faults are modeled by their ef-

fect on the control function. The transistor T stuck-

open fault will change the control function from con-

trol ck + -ck to control 0 + -ck -ck,while the transistor Tn stuck-on fault will change thecontrol function to control +---ck 1.

Transmission gate multiplexers, as shown in Fig. 5,are modeled with a unidirectional model in a similarfashion:

if (select && ---select 0) out a;if (select 0 && ---select 1) out b;

if (select 0 && ---select 0)out out-;/* s-open fault */

if (select && -select 1) out dora(a, b);/* s-on fault */

in out

FIGURE 4A Unidirectional CMOS Transmission Gate

Page 8: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

224 E. VANDRIS and G. SOBELMAN

ek’

FIGURE 4B Bidirectional CMOS Transmission Gate

The dominance function dom(a,b) returns either a orb depending on which path overrides the other whenboth are on, or X if neither path dominates. Transistorfaults are modeled by their effect on the select func-tion.

Transistor groups that exhibit bidirectional behav-ior as in Fig. 4b are not compiled but simulated bythe MOSSIM-like switch-level algorithm. In thesegroups we inject transistor faults during simulation

by activating the equivalent stuck-at faults on their

gate terminals and evaluating the faulty circuit re-

sponse at run-time. Since these groups make up onlya small portion of the total number of groups (typi-cally about 15%) we still retain the overall speed ad-vantage of compiled simulation.

4. TRANSISTOR GROUP DOMINANCE

The dominance attribute of each compiled transistor

group is determined during compilation by compar-

-l-select [ out

eleet’FIGURE 5 Transmission Gate Multiplexer

ing the electrical currents of the pull-up and pull-down networks. During these calculations, the series-

parallel connections of transistors are taken into ac-

count as well as the existence of multiple parallelpaths that may determine the value of the outputnode. This is more accurate than considering only thesingle strongest path, as in the MOSSIM II switch-level model.To statically determine at compile-time that a

group is n-dominant, we have to ensure that under all

input combinations that can sensitize a transistorstuck-on fault, the pull-down net will always overridethe pull-up net. Therefore, we compare the minimumpull-down current (the current of the weakest pull-down path) with the maximum pull-up current. Ingeneral, the maximum pull-up current occurs whenall the pull-up transistors are on and multiple parallelpaths exist contributing current to the output node.However, depending on the pull-up structure, it is

possible that no multiple paths can exist due to a

single transistor stuck-on fault, and the maximum

pull-up current is taken as the current of the strongestpull-up path. This is the case, for example, in static

CMOS NAND gates, as in Fig. 3a. Notice that thereis no way that a transistor stuck-on fault can be sen-sitized such that both the pull-up and pull-down nets

are on and more then one pull-up transistor is on. Inother gates, however, such as the AND-OR-INVERTgate of Fig. 6, there is no such guarantee. For exam-ple, given the input vector ABC (100), the transis-tor fault TAp s-on will create two parallel pull-uppaths through TBp and Tcp fighting with a single pull-down path through TAn.The majority of compiled transistor groups that we

have simulated were found to be n-dominant. Thisarises due to the higher mobility of n-channel de-vices, assuming equal size nMOS and pMOS devices.This greatly reduces the number of occurrences of theX-state due to transistor stuck-on faults during simu-lation. For example, we have analyzed and compileda large commercial circuit of 8431 transistors, whichis part of VLSI chip. The results are shown in TableII: out of 1487 compiled groups, 1480 groups werefound to be n-dominant, while only 7 were found to

have no dominance.

Page 9: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

SWITCH LEVEL FAULT SIMULATION 225

A

TBp

TAp

Transistor stuck-off faults in two-sided transmis-sion gates Fig. 2) are also undetectable by 3-valuesimulation because the other transistor will conductand transmit the correct logic value, degraded by thethreshold voltage VT IV, which the 3-value algo-rithm does not model. Stuck-open faults in singlenMOS transmission gates are soft-detectable only be-cause they prevent circuit initialization, leaving thecircuit in the X-state (uninitializable faults). Boththese types of faults are recognized and removed be-fore simulation.

C

TCp

TBn

TCR

TAn

FIGURE 6 CMOS AND-OR-INVERT gate

If a group is n-dominant, stuck-on faults on its

pull-up transistors are undetectable because theirfault effect is masked by the stronger pull-down net-

work. Similarly, stuck-on faults in the pull-down net-

work of a p-dominant group will be undetectable. Forgroups having no dominance, all transistor stuck-onfaults will produce X’s and these faults may be clas-sified as soft-detectable. Therefore, dominance isused to deduce transistor fault detectability as well asto remove undetectable or soft-detectable transistorfaults prior to simulation, resulting in substantial sav-

ings in simulation time.Dominance can also be used for deducing fault

equivalence relations between node and transistorfaults. For example, if an inverter is n-dominant, thenMOS transistor stuck-on fault is equivalent to theinverter output node s-a-0 fault.

TABLE II Results of Circuit Compilation and Dominance Ex-traction

Compiled NotCircuit size Total Groups

n-dom not dom compiled

8431 transistors3432 nodes 1896 1480 7 409

5. DIFFERENTIAL FAULT SIMULATION ATTHE SWITCH-LEVEL

In differential fault simulation, the differences be-tween the next faulty circuit Fi+ and the previouslyconsidered faulty circuit F are simulated. These con-sist of the differences at their fault sites and, for se-

quential circuits, the state differences at the memoryelements between successive input vectors. This isbecause, for each input vector Dj, the good circuitand all faulty circuits are simulated successively inthe order Gj, F,j, F2, Fi,j, Fi+ l,j in the evaluationmatrix. To simulate Fi+ j after Fi,, we need the state

differences between Fi+ ,j_ and Fi,j_ . As opposedto concurrent fault simulation, which requires thevalue difference information on every circuit node,differential fault simulation requires the value differ-ence information on the state nodes only. In gate-level circuits, the number of state nodes is muchsmaller than the total number of nodes and this re-

suits in small memory requirements. However, thecircuit state elements must be known to the simulator.

In adapting the differential fault simulation algo-rithm to the switch-level, we first had to identify thestate nodes of the MOS circuit from its transistor-

level description. Unlike gate-level circuits whereonly static memory nodes can exist due to feedback,MOS circuits may also exhibit dynamic memory.Therefore, we must identify both static memorynodes (as in static feedback latches) and dynamicmemory nodes (as in dynamic latches) from the

Page 10: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

226 E. VANDRIS and G. SOBELMAN

transistor-level description. In addition, transistor

stuck-open faults can create sequential behavior, turn-

ing static combinational nodes into state nodes. Forstatic combinational groups, when a stuck-open faultisolates the output node (ie. when both the pull-downand pull-up are off) we consider this node to be an

additional state node. It is apparent that there aremore state nodes in a MOS circuit due to its dynamicmemory properties, than in the corresponding gate-level circuit, and therefore the advantages of differ-

ential fault simulation will be mitigated to a certainextent.

For comparison purposes we have also imple-mented the single fault propagation algorithm. Heresimulation proceeds in the order Gj, F1,j, F2, Fn,for each vector Dj. Single fault propagation simulatesthe differences of each faulty circuit from the goodcircuit. Therefore, the status of the good circuit must

be restored each time before simulation of the next

faulty circuit. This creates a performance overheadthat grows with the circuit size.

6. SIMULATION RESULTS

We tested the performance of our switch-level differ-

ential fault simulator using two benchmark circuits: a

combinational circuit (the 74181 ALU) and a sequen-

tial circuit (an accumulator). The 74181 4-bit ALUtogether with extended 8-bit and 16-bit versions ofthe same circuit were implemented in static CMOSfrom their gate-level description and were fully com-piled. Compilation times were short: 1.4 sec, 2.3 secand 4.2 sec respectively for the three circuits. The 12test patterns from [40] that give 100% gate-level fault

coverage for this circuit were used as stimuli forthese simulations. (For the 8-bit and 16-bit circuits,extended versions of the same test set were used thatexercised the circuit in a similar way.) The results are

shown in Table III. It can be seen that the test set thatachieves 100% gate-level fault coverage for the 4-bit

circuit detects only 51% of the transistor faults. How-ever, 25% of the transistor faults are found to be un-

detectable by the simulator during preprocessing. Theundetectable faults are pMOS transistor stuck-onfaults within n-dominant groups.

All CPU times reported in Table III are on a DECVAX 11/785 machine. Fault simulation times include

preprocessing times for identification of state nodesand identification of undetectable transistor faults intransistor fault simulation. Serial fault simulationtimeS, as estimated from good circuit simulation,show that a speedup of 100-200 times is obtained byusing single fault propagation (SFP) and 300-500times by using differential fault simulation (DIFF-SIM). Event counts for each algorithm are shown inTable IV. Although the event count favors single fault

propagation, differential fault simulation is 3-5 times

TABLE III CPU Times for Fault Simulation

Circuit Size # of FaultFaults Coverage

SFP

CPU Time (sec)

DIFF Serial

CPU timeratio

SFP/DIFF

4-bit ALU Nodes 177 354 100% 1.2Trans. 280 560 58% 2.2

8-bit ALU Nodes 350 700 91% 2.9Trans. 560 1120 53% 6.3

16-bit ALU Nodes 694 1388 88% 8.3Trans. 1120 2240 51% 15.4

4-bit Nodes 145 280 92% 3.2ACCUM Trans. 172 344 55% 4.38-bit Nodes 285 570 89% 6.5ACCUM Trans. 344 688 53% 8.416-bit Nodes 564 1128 86% 13.2ACCUM Trans. 688 1376 50% 17.3

0.4 910.7 4030.9 2481.6 11522.0 9683.6 46081.7 852.3 2083.1 1884.1 4147.1 4028.5 855

33.143.223.934.154.271.881.862.092.041.852.03

Page 11: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

SWITCH LEVEL FAULT SIMULATION 227

TABLE IV Event Count for Fault Simulation

# of Faults # of Events Event ratio

SFP DIFF SFP/DIFF

4-bit ALU Node Faults 354 3658 5469 0.67Trans. Faults 560 5122 6484 0.79

8-bit ALU Node Faults 700 7194 11604 0.62Trans. Faults 1120 9009 11405 0.79

16-bit ALU Node Faults 1388 13700 22834 0.60Trans. Faults 2240 20456 29777 0.69

4-bit Node Faults 280 4325 6455 0.67ACCUM Trans. Faults 344 5634 8165 0.698-bit Node Faults 570 7443 12004 0.62ACCUM Trans. Faults 688 9567 12110 0.7916-bit Node Faults 1128 14564 23490 0.62ACCUM Trans. Faults 1376 21265 30818 0.70

faster than single fault propagation in all cases, be-cause SFP incurs the overhead of restoring the goodcircuit state before each faulty circuit simulation-These observations agree with those in [23] for thecase of differential fault simulation of gate-level cir-cuits.

For the extended 8-bit and 16-bit circuits, the re-

quired CPU times scale up well with the increasingcircuit size, approximately linearly for node stuck-atfaults and superlinearly (but less than quadratically)for transistor faults. Here again, there is a large dis-

crepancy between the gate-level and transistor-levelfault coverage.The sequential circuit, a 4-bit CMOS accumulator,

was implemented using a precharged Manchestercarry adder and dynamic latches. All circuit groupswere compiled except for the group consisting of theManchester carry chain, which was simulated at theswitch-level because it did not match any of theavailable models. 19% of the transistor faults arefound to be undetectable before simulation and 4%are found to be soft-detectable because they are un-

initializable. Note that the CPU times in Table III are

both somewhat higher than the previous case of the

comparably sized 4-bit ALU circuit. The overheaddue to state difference information of the faulty cir-

cuits in the sequential circuit is not present in the

purely combinational ALU circuit. In addition, the

carry chain is being simulated by the switch-level

algorithm rather than with a compiled model. Thesame observations for the relative performance ofDIFFSIM and SFP can also be made for this circuit.

7. CONCLUSIONS

A fast switch-level fault simulation method has beenpresented, which combines compiled switch-levelsimulation and functional fault modeling of transistorfaults with the recently introduced algorithm of dif-ferential fault simulation.The channel-connected components of the MOS

circuit are compiled into functional models and are as-

signed a dominance attribute that abstracts relative

strength information of the pull-up and pull-down net-

works. The functional models can describe the behav-ior of the good circuit and the behavior of the circuit

under the effect of transistor faults, and they execute

much faster than an interpretive switch-level algo-rithm. Dominance is found during compilation, usingaccurate electrical current calculations that take into

account series-parallel connections of transistors as

well as the existence of multiple parallel paths that candetermine the value of the output node. This is moreaccurate than using artificial strength considerationsand considering only a single strongest path, as is

commonly done in the switch-level model. Domi-

nance is used during simulation to resolve the X-statedue to fighting pull-up and pull-down transistor paths.Therefore, we perform compiled switch-level faultsimulation using a more accurate electrical model thanwhat is found on other switch-level fault simulators.

Dominance information is also used to deduce tran-

sistor fault detectability and fault equivalencies priorto simulation. Since a large percentage of transistorfaults may be undetectable by logic monitoring, this

reduces the number of faults to be simulated andthereby saves simulation time. It would be possible to

develop a multi-valued switch-level algorithm thatwill resolve the X-state for the few cases where a cir-

cuit component has no dominance. Using dominanceinformation, we can determine at compile-time whichtransistor faults would produce X’s using the switch-

Page 12: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

228 E. VANDRIS and G. SOBELMAN

level model and simulate only those faults with themore complex and slower multi-valued algorithm.The differential fault simulation algorithm that had

originally been developed for gate-level circuits was

implemented at the switch-level and was shown to

offer high performance with minimum memory re-

quirements. These attributes are essential for practicalswitch-level fault simulation of large circuits becauseof the large number of switch-level faults.

Acknowledgements

We would like to thank T. G. Szymanski, H. Nham,R. A. Pedersen, J. R. Boddie, H. S. Moskowitz, R. N.Kershaw and L. Rigge of AT&T Bell Laboratories forproviding us with the switch-level simulator and fortheir continued support and encouragement.

References[1] R. Chandramouli and H. Sucar, "Defect Analysis and Fault

Modeling in MOS technology", International Test Confer-ence, pp. 313-321, 1985.

[2] E J. Ferguson and J. P. Shen, "A CMOS Fault Extractor forInductive Fault Analysis", IEEE Trans. on Computer-AidedDesign, vol. 7, No. 11, pp. 1181-1193, November 1988.

[3] J. Galiay, Y. Crouzet and M. Vergniault, "Physical versuslogical fault models in MOS LSI circuits: Impact on TheirTestability", IEEE Transactions on Computers, vol. C-29,pp. 527-531, June 1980.

[4] R. Wadsack, "Fault Modeling and Logic Simulation ofCMOS and MOS Integrated Circuits", Bell System Techni-cal Journal, Vol. 57, pp. 1449-1473, May-June 1978.

[5] Seshu, S. "On an Improved Diagnostic Program", IEEETrans. on Electronic Computers, vol. EC-12, no. 12, pp.76-79, 1965.

[6] Ulrich, E.G. and Baker, T., "The Concurrent Simulation ofNearly Identical Digital Networks", 10th Design Automa-tion Workshop, pp. 154-150, 1973.

[7] M. Abramovici, M. A. Breuer and K. Kumar, "Concurrentfault Simulation and Functional Level Modeling", 14th De-sign Automation Conference, pp. 128-137, 1977.

[8] R.E. Bryant and M. D. Shuster, "Fault Simulation of MOSDigital Circuits", VLSI Design, pp. 27-30, October 1983.

[9] M. D. Shuster and R. E. Bryant, "Performance Evaluationof FMOSSIM, a Concurrent Switch-Level Fault Simulator",22nd Design Automation Conference, pp. 715-719, July1985.

10] E. Ulrich, "Concurrent Simulation at the Switch, Gate andRegister Levels", International Test Conference, pp.703-709, November 1985.

[11] D. Machlin, D. Gross, S. Kadkade and E. Ulrich, "Switch-Level Concurrent Fault Simulation Based on a General Pur-pose List Traversal Mechanism", International Test Confer-ence, pp. 574-581, 1988.

[12] S. Gai, P. L. Montessoro and E Somenzi, "MOZART: AConcurrent Multilevel Simulator", IEEE Trans. onComputer-Aided Design, vol. 7, No. 9, pp. 1005-1016, Sep-tember 1988.

[13] K. Son, "Fault Simulation with the Parallel Value List Al-gorithm", VLSI Systems Design, pp. 36-43, December1985.

[14] I.N. Hajj and D. Saab, "Parallel-Concurrent Fault Simula-tion", Int’l Conference on Computer Design, pp. 298-301,1989.

[15] J. A. Waicukauski et al., "Fault Simulation for StructuredVLSI", VLSI Systems Design, pp. 20-32, December 1985.

[16] Z. Barzilai et al., "HSS-A High-Speed Simulator", IEEETrans. on Computer-Aided Design, vol. CAD-6, no. 4, pp.601-616, July 1987.

17] R.E. Bryant, "Data Parallel Switch-Level Simulation", Int’lConference on Computer-Aided Design, pp. 354-357, 1988.

[18] E Ozguner and R. Daoud, "Vectorized Fault Simulation onthe Cray X-MP Supercomputer", Int’l Conference onComputer-Aided Design, pp. 198-20, 1988.

[19] N. Ishiura et al., "High-Speed Fault Simulation Using Vec-tor Processors", Int’l Conference on Computer-Aided De-sign, pp. 10-13, 1987.

[20] P. Goel, C. Huang and R. Blath, "Application of ParallelProcessing to Fault Simulation", Int’l Conference on Paral-lel Processing, August, pp. 785-788, 1986.

[21] D.L. Ostapko, Z. Barzilai and G. M. Silberman, "Fast FaultSimulation in a Parallel Processing Environment", Int’l TestConference, pp. 293-298, 1987.

[22] S. Patil, P. Banerjee, "Fault Partitioning Issues in an Inte-grated Parallel Test Generation/Fault Simulation Environ-ment", Int’l Test Conference, pp. 718-726, 1989.

[23] W. T. Cheng and M-L. Yu, "Differential Fault Simula-tion--A Fast Method Using Minimal Memory", 26th De-sign Automation Conference, pp. 424-428, 1989.

[24] W.T. Cheng and M-L. Yu, "Differential Fault Simulation forSequential Circuits", Journal of Electronic Testing: Theoryand Applications", vol. 1, pp. 7-13, January 1990.

[25] R. E. Bryant, "A Switch-Level Model and Simulator forMOS Digital Systems", IEEE Trans. on Computers, vol.C-33, No. 2, pp. 160-177, February 1984.

[26] E. Cerny and J. Gecsei, "Simulation of MOS circuits bydecision diagrams", IEEE Trans. on Computer-Aided De-sign, vol. 4, No. 84, pp. 685-693, October 1985.

[27] G. Ditlow, W. Donath and A. Ruehli, "Logic Equations forMOSFET Circuits", Int’l Symposium on Circuits and Sys-tems, pp. 752-755, 1983.

[28] I.N. Hajj and D. Saab, "Fault Modeling and Logic Simula-tion of MOS VLSI Circuits based on Logic Extraction",Int’l Conference on Computer-Aided Design, pp. 99-100,September 1983.

[29] R. E. Bryant, "Boolean Analysis of MOS Circuits", IEEETrans. on Computer-Aided Design, pp. 634-649, July 1987.

[30] R. E. Bryant et al., "COSMOS: A Compiled Simulator forMOS Circuits", 24th Design Automation Conference, pp.9-16, 1987.

[31] S. L. Lusky and T. Shridhar, "Detectable CMOS Faults inSwitch-Level Simulation", International Test Conference,pp. 875-883, 1985.

[32] P. Banerjee and J. A. Abraham, "A Multivalued Algebra forModeling Physical Failures in MOS VLSI Circuits", IEEETransactions on Computer-Aided Design, vol. CAD-4, No.3, pp. 312-321, July 1985.

[33] J. P. Caisso and B. Courtois, "Fault Simulation and Test-Pattern Generation at the Multiple-Valued Switch-Level",International Test Conference, pp. 94-101, 1988.

Page 13: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

SWITCH LEVEL FAULT SIMULATION 229

[34]

[35]

[36]

[37]

[381

[39]

[40]

K. Cho and R. E. Bryant, "Test Pattern Generation for Se-quential MOS Circuits by Symbolic Fault Simulation", 26thDesign Automation Conference, pp. 418-423, 1989.T. G. Szymanski, S. H. Robinson and P. Agrawal, "Auto-matic Modeling of Switch-Level Networks Using PartialOrders", International Conference on Computer-Aided De-sign, pp. 350-353, 1988.L. E. Bays, C. E Chen, E. M. Fields, R. N. Gadenz, W. P.Hayes, H. S. Moskovitz, T. G. Szymanski, "Post-LayoutVerification of the WE DSP32 Digital Signal Processor",IEEE Design & Test of Computers, pp. 56-66, February1989.P. Agrawal and L. W. Norohna, "Modeling Circuits in theMARS Hardware Accelerator", Int’l Conference onComputer-Aided Design", pp. 492-495, 1987.P. Agrawal and V. D. Agrawal, "Can Logic Simulators han-dle Bidirectionality and Charge Sharing?", Int’l Symposiumon Circuits and Systems, pp. 411-414, 1990.V. J. Oklobdzija and P. G. Kovijanic, "On Testability ofCMOS-Domino Logic", 14th International Fault TolerantComputing Conference, pp. 50-55, 1984.S. B. Akers and B. Krishnamurthy, "Test Counting: A Toolfor VLSI Testing", IEEE Design & Test of Computers, pp.58-77, October 1989.

Authors’ Biographies

Evstratios Vandris received the B.S. degree in Elec-trical Engineering from the University of Sussex,Brighton, England in 1985 and the M.S. and Ph.D.degrees in Electrical Engineering from the Universityof Minnesota, Minneapolis, in 1988 and 1991, re-

spectively. During the summer of 1988 he was a sum-mer intern at AT&T Bell Laboratories in the DSP ICDesign Laboratory in Allentown, PA. In 1991 he

joined AT&T Bell Laboratories in Murray Hill, NJ,where he is currently a Member of Technical Staff at

the Computer-Aided Design Laboratory. His research

interests include circuit, timing and switch-level sim-ulation of VLSI circuits, fault simulation, test gener-ation and design for testability, and design verifica-tion of full-custom VLSI circuits.

Gerald E. Sobelman received a B.S. in physics,summa cum laude, from the University of California,Los Angeles in 1974. He was awarded M.A. andPh.D. degrees in physics from Harvard University in1976 and 1979, respectively. Subsequently, he be-came a Research Associate at The Rockefeller Uni-

versity, where he worked on computational problemsin theoretical physics.He joined Sperry Corporation in 1981 as a Senior

Electrical Engineer, where he worked in the area ofdevice modeling for circuit simulation. He was later

appointed Manager of MOS Device Development,and directed the effort to design and optimize devices

for scaled CMOS technologies.In 1984, he joined the Advanced ECAD depart-

ment of Control Data Corporation as a Senior Tech-

nical Consultant. He received the Technical Excel-lence award from CDC for his research on silicon

compilation.Since 1986, he has been an Associate Professor of

Electrical Engineering at the University of Minne-

sota. His current research interests are in the areas ofVLSI design, digital signal processing and CAD. Heis the co-author of Advanced C: Techniques and Ap-plications.

Page 14: Differential of MOS VLSI Circuitsdownloads.hindawi.com/archive/1996/034084.pdf · 2019-08-01 · 218 E. VANDRISandG. SOBELMAN Fault simulators based on a gate level model can model

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttp://www.hindawi.com Volume 2010

RoboticsJournal of

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Hindawi Publishing Corporation http://www.hindawi.com

Journal ofEngineeringVolume 2014

Submit your manuscripts athttp://www.hindawi.com

VLSI Design

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation http://www.hindawi.com

Volume 2014

The Scientific World JournalHindawi Publishing Corporation http://www.hindawi.com Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Modelling & Simulation in EngineeringHindawi Publishing Corporation http://www.hindawi.com Volume 2014

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

DistributedSensor Networks

International Journal of