Transcript
Page 1: [IEEE 2014 IEEE 29th International Conference on Microelectronics (MIEL) - Belgrade, Serbia (2014.5.12-2014.5.14)] 2014 29th International Conference on Microelectronics Proceedings

209978-1-4799-5296-0/14/$31.00 © 2014 IEEE

PROC. 29th INTERNATIONAL CONFERENCE ON MICROELECTRONICS (MIEL 2014), BELGRADE, SERBIA, 12-14 MAY, 2014

Modeling of Silicon Photonics Devices with Verilog-A

P. Martin, F. Gays, E. Grellier, A. Myko and S. Menezo

Abstract - Passive and active silicon photonics devices are modeled using the Verilog-A language. The notion of bidirectional optical bus connecting devices is introduced. Models are implemented in SPICE electrical simulators and in standard EDA tools already used for CMOS circuit design.

I. INTRODUCTION

Fabrication of Photonics Integrated Circuits (PICs) will bring substantial breakthroughs in very high speed data communications, telecommunications and supercomputing. Among other photonics integration technologies, silicon photonics is probably one of the most serious industrial candidates due to its potential large-scale and low-cost production capability in existing CMOS foundries [1-2]. To duplicate the success story of integrated circuits to PICs, the industry must fully adapt the technology platform to address photonics-specific requirements. One of the key challenges at the design level is to develop an Electronic Design Automation (EDA) flow fully compatible with standard CMOS methodology and tools. Efficient CAD tools are required all along the design process, in particular during modeling of silicon photonics devices and circuits [3].

Models developed in the Verilog-A behavioral language have the advantage of allowing the device to be used in commercial SPICE circuit simulators. However there are relatively few publications on modeling of photonics devices using Verilog-A and their incorporation in a standard design environment. An introduction to multi-disciplines modeling in photonics was given by Pêcheux et al. [4]. They show that in photonics, three disciplines, electrical, optical and thermal disciplines, must be used. Mekis et al. [5] give the description of different electro-optical Verilog-A modules, such as a simplified optical source, a waveguide (WG), a photodetector (PD), a variable optical attenuator (VOA) and a phase modulator. A Verilog-A model of a pn junction photodiode is given by Brinson [6]. An opto-electronic behavioral model for simulating Single-Photon Avalanche Diodes (SPADs) is presented in [7]. A distributed Bragg reflector (DBR) microlaser model is presented in [8] and an optical link consisting of a vertical-cavity surface-emitting laser

(VCSEL), a waveguide, a photodetector and a transimpedance amplifier (TIA) that converts photodiode current to voltage is described by O’Connor et al. [8].

In this paper we present our Verilog-A modeling approach and the introduction of models of photonics devices in standard electronic design automation (EDA) tools.

II. MODELING OF PHOTONICS DEVICES A. Optical bus

All the authors previously cited use only two quantities to represent the optical signal: Power (expressed in Watt) and Phase (in radian). However, to deal with future photonics devices in which light could be polarized along two axes, we define an optical bus consisting of 9 different lines. The first five lines are:

0: Re (AX), the real part of the complex amplitude of the

optical field for X-axis polarization (normalized in W1/2),

1: Im (AX), the imaginary part of the complex amplitude

of the optical field for X-axis polarization (normalized in W1/2),

2: Re (AY), the real part of the complex amplitude of the

optical field for Y-axis polarization (normalized in W1/2),

3: Im (AY), the imaginary part of the complex amplitude

of the optical field for Y-axis polarization (normalized in W1/2).

4: Wavelength (m).

To account for the bidirectional character of some

devices, lines 5-8 are added, representing the same quantities than lines 0-3, respectively, but for light propagating in the opposite direction. The bus description, which is commonly used in electrical busses such as IEEE-488, allows an important simplification in the schematics view of photonic devices (Figs. 1-2).

Figure 1 gives a Mach Zehnder Interferometer Modulator (MZIM) schematic view. The MZIM has two optical bus inputs (OPT_IN1 and OPT_IN2) and two optical bus outputs (OPT_OUT1 and OPT_OUT2). Referring to Fig. 2, the active sections WG1a and WG2a are driven by VMOD1 and VMOD2, respectively.

P. Martin and F. Gays are with the Silicon Components Division, E. Grellier, A. Myko and S. Menezo are with the Optronics Division. All authors are with CEA, Leti, Minatec Campus, 38054 Grenoble Cedex 9, France, E-mail: [email protected]

Page 2: [IEEE 2014 IEEE 29th International Conference on Microelectronics (MIEL) - Belgrade, Serbia (2014.5.12-2014.5.14)] 2014 29th International Conference on Microelectronics Proceedings

210

Fig. 1. Schematic view of a Mach-Zehnder Interferometer Modulator. VMOD is the voltage used to modulate the refractive index (n) and absorption (α) of silicon in a reversed-biased junction of active length Lj (see Fig. 2).

Fig. 2. Modeling of a Mach-Zehnder Interferometer Modulator. Using complex notation where amplitude Ai of the

optical signal with phase Φi is given by:

√ ( ) with i = X or Y (1)

The optical power Pi (in Watt) of the polarization i is:

( ) ( ) (2) And the total optical power is:

(3) The optical phase (in Radian) of the polarization i is

given by: ( ( ) ( ( )⁄ ( )) (4)

Some optical devices, as photodetectors, are sensitive

to the optical power but not the phase. By using the complex notation, we insure that the optical phase is

always comprised between – π and + π. We have to mention that in Verilog-A, a user-defined function for arctg(u/v) must be defined as the standard ATAN(u/v) function is not well calculated when v is negative.

B. Photonics devices

In this work we have developed different models of photonic devices designed on a Silicon On Insulator (SOI) platform. There are:

- purely passive devices such as straight waveguide (WG), WG crossing, multimode interferometer (MMI) coupler, beam splitter, combiner, etc.,

- or active devices, optical sources (OS), Mach-Zehnder interferometer modulators (MZIM), without or with a heater, and germanium-on-silicon photodiodes (p-i-n diodes).

In order to monitor optical power or phase, a virtual power and phase meter (PPM) is used. Fig. 3 presents some available devices in our SPICE toolbox.

Fig. 3. Toolbox for SPICE photonics devices.

III. EXAMPLE: MACH-ZEHNDER MODULATOR MODELING

The modeling of a Mach-Zehnder modulator relies on

splitting the incident light power into two arms, modulating the phase of the optical field in each (or one single) arms and then recombining the two optical fields at the output of the MZIM (single or dual output) as shown in Fig. 2.

Two coupling coefficients, Kin and Kout, are defined. Each arm is composed of a silicon p-n depleted region of length Lj where the refractive index nj and the absorption αj are modulated by the voltage VMOD. We use a linear model to emulate the modulation:

( ) (5)

( ) (6)

Laser (optical source) MMI 1x2, 2x1, 2x2 Mach-Zehnder Mod.

Power & Phase Meter Lossless coupler

Si waveguide MZIM + Heater Ge photodiode

Page 3: [IEEE 2014 IEEE 29th International Conference on Microelectronics (MIEL) - Belgrade, Serbia (2014.5.12-2014.5.14)] 2014 29th International Conference on Microelectronics Proceedings

211

The coefficient knj=π/(VπLπ) is obtained by a

measurement of the commonly used VπLπ (product of voltage and length that correspond to a phase shift of π). The coefficient kαj is deduced from knj using the equations of Soref et al. [9]. The zero bias attenuation αj is measured, and the index nj(0) is obtained numerically. The index is wavelength- and temperature- dependent. We use data from Chauveau et al. [10] to emulate the temperature effect.

Larm is the total length of the arm. The refractive index

and the absorption are not modulated in the regions WG1b and WG2b of length L.

A second version of the MZIM module includes a

heater consisting in an electrical resistance Rheat (in Ω). The device temperature increase is calculated from the dissipated electrical power by:

(7)

Where Rth is the MZIM thermal resistance (in K/W)

and Vheat the voltage applied to the heater. Optical parameters are then actuated at the actual temperature T:

(8)

TEMP is the SPICE circuit temperature expressed in

in degrees Celsius. Furthermore, in order to account for extrinsic elements

such as metal interconnects and the presence of the High-Resistive Silicon-On-Insulator (HR-SOI) substrate used in the technology (RSH = 750 Ω.cm), macro models are built for high frequency operation (Fig. 4) of the MZIM modulator. Rmetal, Lmetal and Cmetal are the metallization resistance, inductance and capacitance, respectively. Cjunction and Raccess are the pn junction capacitance and access or series resistance, respectively. Cslab and Rslab are the capacitance and resistance of a slab, respectively. Cbox and Cbox2 are capacitances due to the buried-oxide. Rsub and Csub are the resistance and capacitance of the HR silicon substrate, respectively.

All extrinsic resistances, capacitances and inductances

are parameterized inside a sub-circuit. They depend on the following technology layer thicknesses: metal thickness: Hmetal (1.3 µm), buried oxide thickness: HBOX (2 µm), substrate thickness: Hsub (400 µm), thickness of a rib: Hrib (220 nm), and on layout dimensions, such as widths WGS and WS, as shown in Fig. 5, and length of each arm: Larm and of each junction: Lj.

Fig. 4. Cross-section (top) and SPICE equivalent circuit (bottom)

of a pn junction made on a SOI substrate including extrinsic elements.

Fig. 5. Cross-section of the pn junction of a Mach Zehnder modulator

IV. INTEGRATION IN EDA TOOLS

To create photonics integrated circuits, these models

are then incorporated in a Process Design Kit (PDK) which is a process specific library designed to work with the Cadence Design Systems environment. Our photonic PDK is in line with a standard CMOS flow (Fig. 6). Each stage uses well known EDA tools: Virtuoso platform (Cadence) for Schematic and layout (with or without Parameterized Cells), Eldo and Calibre (Mentor Graphics) for SPICE simulation and verification (DRC and LVS). Each component is described in the PDK by a symbol and a Pcell. For both representation, there use Component Description Format (CDF) that checked parameters entered by the designer.

Fig. 6. PDK and photonics design flow.

Page 4: [IEEE 2014 IEEE 29th International Conference on Microelectronics (MIEL) - Belgrade, Serbia (2014.5.12-2014.5.14)] 2014 29th International Conference on Microelectronics Proceedings

212

As Eldo from Mentor Graphics, ADS from Agilent could be used as well for SPICE simulation of ASPICS (Application Specific PhotonIC’s).

V. CIRCUIT SIMULATION

As an example, Figs. 7-8 present transient simulation

of an optical link consisting of a pulsed monochromatic optical source (OS), a silicon waveguide (WG) and a germanium-on-silicon photodetector (PD). Graph A corresponds to the voltage applied on the anode of the OS. Optical power is monitored after OS (graph B) and after WG (graph C) using two PPM meters. Current flowing through the cathode of the photodiode is plotted in graph D.

Fig. 7. Schematics for transient simulation of an optical link with

ADS.

Fig. 8. Transient analysis with Eldo of the optical link shown in Fig. 7.

VI. CONCLUSION

In this paper we present our Verilog-A modeling

approach and the concept of optical bus for simulation of photonics devices in a standard EDA flow.

Other photonics devices, such as ring modulators, will be developed and integrated in the Leti photonics PDK. Design of photonics circuits would greatly benefit from standardization for passive and active basic optical components as it is the case during the development of SPICE models for purely electrical devices.

ACKNOWLEDGEMENT

This work was funded thanks to the French national

program “Programme d’Investissements d’Avenir, IRT Nanoelec”, ANR-10-AIRT-05.

REFERENCES

[1] L. Fulbert and J.-M. Fedeli, “Photonics - Electronics

Integration on CMOS”, in Proceedings of the European Solid-State Device Research Conference ESSDERC, 2011, Helsinki, pp. 13–18.

[2] M. Zuffada, “The industrialization of the Silicon Photonics: technology road map and applications”, in Proceedings of the ESSDERC 2013 conference, Bordeaux, 2013, pp. 7-13.

[3] W. Bogaerts, “Design Challenges in Large-Scale Silicon Photonics”, in Proc. 13th Int. Conference on Numerical Simulation of Optoelectronic Devices NUSOD 2013, Vancouver, 2013, pp. 63-64.

[4] F. Pêcheux, C. Lallement and A. Vachoux, “VHDL-AMS and Verilog-AMS as Alternative Hardware Description Languages for Efficient Modeling of Multidiscipline Systems”, IEEE Trans. on Computer-Aided Design, vol. 24, pp. 204-225, 2005.

[5] A. Mekis, D. Kucharski, G. Masini and T. Pinguet, “Computer-Aided Design for CMOS photonics”, in Silicon Photonics for Telecommunications and Biomedicine, S. Fathpour and B. Jalali, Eds., chap. 12, CRC Press, 2012.

[6] M. Brinson, Photodiode in Qucs (Quite Universal Circuit Simulator). http://qucs.sourceforge.net/docs/photodiode.pdf

[7] G. Giustolisi, R. Mita and G. Palumbo, “Verilog-A modeling of SPAD statistical phenomena”, in Proc. IEEE International Symposium on Circuits and Systems (ISCAS 2011), Rio de Janeiro, 2011, pp. 773 - 776.

[8] I. O’Connor, F. Tissafi-Drissi, D. Stroobandt, J. Dambre and M. De Wilde, PICMOS (photonic interconnect layer on CMOS by waferscale integration) deliverable 1.2, (2006). http://picmos.intec.ugent.be/fileadmin/projectdata/deliverables/D12_draft.pdf

[9] R. A. Soref and B. R. Bennett, "Electrooptical Effects in Silicon", IEEE Journal of Quantum Electronics, vol. QE-23, pp. 123-129, 1987.

[10] C. Chauveau, P. Labeye, J.-M. Fedeli, J. Hazart, S. Blaize and G. Lerondel, "Validation of an Analytical Model of Si-ring Resonators for Designing a 1×8 Multiplexer in SCISSOR Configuration", in Proc. ICO International Conf. on Information Photonics (IP), Ottawa, 2011, pp. 1-2.


Top Related