extreme-ultraviolet light source development to enable … source... · extreme-ultraviolet light...

11
Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew M. Besen Paul A. Blackborow Ron Collins Deborah Gustafson Stephen F. Horne Donald K. Smith

Upload: phamxuyen

Post on 19-Apr-2018

248 views

Category:

Documents


4 download

TRANSCRIPT

Page 1: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

Extreme-ultraviolet light sourcedevelopment to enable pre-productionmask inspection

Matthew J. PartlowMatthew M. BesenPaul A. BlackborowRon CollinsDeborah GustafsonStephen F. HorneDonald K. Smith

Page 2: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

Extreme-ultraviolet light source development to enablepre-production mask inspection

Matthew J. PartlowMatthew M. BesenPaul A. BlackborowRon CollinsDeborah GustafsonStephen F. HorneDonald K. SmithEnergetiq Technology, Inc.7 Constitution WayWoburn, Massachusetts 01801E-mail: [email protected]

Abstract. As extreme-ultraviolet (EUV) lithography moves into pre-production, the requirement for commercially available mask metrologytools becomes more urgent. A key to developing a successful tool is areliable, high-brightness EUV light source. The Energetiq EQ-10 is acommercially available EUV light source, with an installed base of over15 sources in the field. The source relies on an electrodeless Z -pinch™to produce greater than 10 Watts∕2π of 13.5 nm 2% bandwidth light. Inorder to meet brightness and stability requirements of mask metrologytools, we have investigated modifications to the original design of theEQ-10. The result of these modifications has roughly doubled the sourceoutput power, and has achieved brightness greater than 8 Watts∕mm2∕sr,without sacrificing the spatial and pulse-to-pulse stability of the originaldesign. This level of performance is sufficient for initial mask blank andimaging inspection tools. © 2012 Society of Photo-Optical Instrumentation Engineers(SPIE). [DOI: 10.1117/1.JMM.11.2.021105]

Subject terms: Z-pinch; electrodeless; extreme-ultraviolet; mask metrology; maskinspection; discharge produced plasma.

Paper 11104SSP received Aug. 1, 2011; revised manuscript received Nov. 7, 2011;accepted for publication Jan. 13, 2012; published online May 21, 2012.

1 IntroductionThe lack of mask inspection tools for extreme-ultraviolet(EUV) lithography has been identified as a remaining stum-bling block that threatens the future of EUV amongst thecompeting technologies. Key characteristics of a successfulmetrology light source include high brightness, excellent spa-tial stability, good pulse-to-pulse stability, clean photons, andhigh overall system reliability. The EQ-101 is already a highlyreliable systemwith proven spatial and pulse stability. It is cur-rently being used as a light source for laboratory based actinicfull-field mask blank inspection.2–4 A range of requirementshave been identified by the major tool inspection and metrol-ogymanufacturers outlining brightness needs to ensure a highthroughput, high sensitivity tool required by the fabs.5 Byenhancing the performance of Energetiq’s standard EQ-10source, the brightness required for the first generation ofthese tools has been substantially achieved. Further enhance-ments will be needed for subsequent generations.

In this paper we first review key components and operatingprinciples of the EQ-10 electrodeless Z-pinch light source.We then describe the recent design modifications, whichinclude increased system power handling and electrical pulsereproducibility, and report on the impact of this redesign onsource performance. Last, we discuss the potential forscaling the EQ-10 light source to higher brightness.

2 Electrodeless Z -Pinch Source ConceptThe so-called Z-pinch is a well known method for creatinghot plasmas to produce energetic photons.6,7 The uniquedesign of the EQ-10 discharge plasma Z-pinch source relieson induction via a transformer core to generate the Z-pinchcurrent, whereas traditional Z-pinch sources rely on conduc-tion via electrodes. In the basic concept, the transformer

primary circuit consists of two copper plates, electricallyconnected at their centers by a conductive tube or bore.The primary current flows radially in on one plate, axiallythrough the central connection, and radially outward throughthe second plate. This structure is shown in cross-section inFig. 1(b). The induced secondary currents flow in a Xenonplasma through three electrically parallel paths which piercethe primary structure in three places, and then combine in thecentral bore [plasma loops are shown in blue in Fig. 1(c)].The structure is surrounded by a vacuum vessel, in which axenon pressure in the 100-mTorr range in maintained.

Sandwiched between the plates are two magnetic cores[non-central gray structures in Fig. 1(b)]. The inner core,closely surrounding the central bore, provides the magneticflux linkage between the primary current path through thecopper, and the three parallel secondary currents flowingin the plasma (which combine in the bore to generate themagnetic Z-pinch). The second core is near the outer radiusof the device, and surrounds the three plasma return holes.This saturable core functions as a magnetic switch/currentpulse compressor with a specific volt-second capacity.

In operation, a capacitor bank [shown schematically inthe “Source” of Fig. 1(d)] is connected across the copperplates—symmetrically, with connections to each of the sixfacets of the plates. A pulse forming system, termed the mod-ulator [as shown in Fig. 1(a), and schematically in the“Power Modulator” of Fig. 1(d)] generates a fast electricalpulse that is applied to the plates, causing the capacitorsto begin to charge. In parallel, a current flows through thecopper structure—in one plate, through the bore, and outthe other plate. The magnetic switch acts as a high impe-dance (effectively, a series inductance) during this chargingprocess, allowing only a small leakage current to flow.The electromagnetic flow (EMF) produced by this leakagecurrent is sufficient to break down and maintain ionizedplasma. When the switch core saturates, the core becomes0091-3286/2012/$25.00 © 2012 SPIE

J. Micro/Nanolith. MEMS MOEMS 021105-1 Apr–Jun 2012/Vol. 11(2)

J. Micro/Nanolith. MEMS MOEMS 11(2), 021105 (Apr–Jun 2012)

Page 3: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

essentially zero inductance, allowing the now charged capa-citor bank (containing typically 1 to 3 J) to appear across theinner induction core. The current and magnetic field in thecore ramps, generating an EMF which drives current in theplasma through the bore and plasma return holes. The plasmacurrent (a half sine wave, typically of several thousandamperes, of duration 500 ns, depending on conditions) flow-ing through the bore of the device generates its own localmagnetic field, which acts to compress the plasma currentchannel. When this field becomes intense enough, a dynamicpinch (Z-pinch) occurs, which collapses the current channelto less than 1 mm diameter in a few tens of nanoseconds—thus compressing and heating the plasma to the pointwhere EUV emission occurs. The formation of theZ-pinch is illustrated in Fig. 2.

The geometric design of the system deserves mention.The objective, of course, is to ramp the plasma current

as quickly aspossible. This current is linked to theprimary cur-rent by the mutual inductance of the inner core. Allother sources of stray inductance must be minimized. Onthe primary side of the circuit, the symmetric parallel platedesign accomplishes this goal. On the plasma side, spreadingthe current among three paths significantly reduces the plasmaself-inductance; the large diameter of the return holes (com-pared to the bore) further reduces the inductance.

Since the plasma current flows in closed loops and doesnot terminate in metal electrodes, there is no electrode debrisproduced and no need for frequent replacement of sourcecomponents. The magnetic confinement of the plasma loopsaway from source surfaces results in greater spatial stabilityand further reduces debris.

The commercial system is relatively simple to operate,with the user controlling source operating parameters througha touch screen interface. It requires minimal routine mainte-nance (bore replacement approximately every billion pulses,or six days of continuous operation). A photo of a completesource system is shown in Fig. 3.

3 Improved EQ-10 SourceRecently, several key components of the EQ-10 sourcehave been re-designed, with the goals of increasing sourceradiance, power, and stability, as well as improving systemreliability.

3.1 Central Bore Design

The central bore of the EQ-10 source has been redesigned.This is the component inside which the Z-pinch occurs andthat also electrically connects the two source plates, asdescribed in Sec. 2. The original EQ-10 bore design isillustrated in Fig. 4. Here, the electrical connection is madeprimarily by clamping together adjacent metal surfaces.Also, the inner section of one of the permanent copper plates(the upper plate in Fig. 4) is exposed to the pinch plasma, andsome eventual wear may occur here.

The new bore design is shown in Fig. 5. Now the replace-able component extends through from the face of one plate tothe other. All surfaces near the Z-pinch are now removed atthe time of a regularly scheduled bore exchange. Moreover,electrical conduction between the plates has been improved

Fig. 1 Illustrations (a)–(c) and schematic (d) demonstrating the key structures of the Xenon electrodeless Z -pinch extreme ultraviolet (EUV)light source.

Fig. 2 Illustration of the operating principle of the electrodelessZ -pinch light source.

J. Micro/Nanolith. MEMS MOEMS 021105-2 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 4: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

by a high current capacity contact insert (as seen on the upperleft of the bore in Fig. 5). The thickness of copper surround-ing the silicon carbide bore insert has increased for improvedcooling of the insert. The outer sections of the bore have beentapered to help improve plasma flow and gas fueling to thepinch. Other components of the source plates were reworkedto accommodate these changes.

3.2 Improved Power Handling and Pulse-to-PulseStability

We have also modified the system to handle more power.This required upgrades both to the modulator (to deliver

the power), and to the source itself (to manage the increasedthermal loads). Modifications to the source head itself in-cluded adding additional internal cooling flow circuits whichincreased the operating power limits while substantially low-ering the operating temperatures of key components. Thisalso reduced the water inlet pressure requirements by half.

The modulator itself was redesigned to accept a higherDC drive voltage. This upgrade had implications for theswitch shown at the left in Fig. 1(d), since a reflecting pulsefrom an unmatched load could place up to twice the DCapplied voltage across the switch. To ameliorate this condi-tion, a snubber circuit, located at the primary of the step-uptransformer in Fig. 1(d), was installed to absorb the energy ofa reflected pulse.

One can identify, in Fig. 1(d), a drive system (DC chargingsupply, switch, capacitor bank and transformer) coupled to anon-linear transmission line with two sections, which in turndrives a non-linear load. Inconsistent pulse-to-pulse perfor-mance can be caused by a reflected pulse from the unmatched,non-linear load coupling back through the transmission line tothe charging system. In particular, the charge on the first capa-citor bank (which initiates the pulse) will depend on the stateof the preceding pulse. Thus, bimodal and even more complexbehavior is possible. There is a significant literature on “dyna-mical” chaos in power delivery systems8–11 but what we havehere is even more complex, because the plasma itself can alsobe a source of chaotic behavior.12,13 It was speculated that themodification of adding a snubber circuit would change thepulse-to-pulse stability behavior; this turned out to be thecase as will be shown in Sec. 4.2.

4 EQ-10 Output PerformanceThe performance of the standard EQ-10 source has been wellcharacterized.14,15 Here we will review several key aspectsthat are pertinent for a metrology source, namely stability,and brightness. First, it is worthwhile to describe the specificmetrology tools used to measure EUV power and size.

Fig. 3 Photograph of the Energetiq EQ-10 Electrodeless extremeultraviolet (EUV) light source.

Fig. 4 Bore design of original standard EQ-10 source. (a) Replace-able bore (b) SiC bore insert (c) “upper plate”, and (d) electricalconnection between bore and “upper plate”.

Fig. 5 Redesign of bore for new version of EQ-10 source. (a) Replace-able bore (b) SiC bore insert (c) “upper plate” (d) bore extends through“upper plate”, and (e) electrical connections between bore and upperplate.

J. Micro/Nanolith. MEMS MOEMS 021105-3 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 5: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

4.1 Metrology Tools

Since brightness drives the throughput of any metrology tool,precise, calibrated measurements of source power and sizeare necessary. Power and imaging measurements allow pre-cise calculation of source brightness. The same tools allowmeasurement of source stability, both in pulse-to-pulse energystability and in position and size.

4.1.1 Power

There are various methods of measuring the EUV outputpower of the source, several examples of which have alreadybeen described in past publications.14 A typical arrangementis shown in Fig. 6. The integral components of the powerdiagnostic are a silicon diode (AXUV100G) and a ±1%bandwidth (BW) custom16 85 deg, near-normal incidence,Mo-Si multilayer mirror. Two Zirconium foils are used toenable in situ foil transmission calibration, and a capacitancemanometer is used to monitor the pressure of Xenon inthe beamline to correct for gas absorption. In practice, themounted mirror and photodiode are calibrated at theSURF facility at NIST, Gaithersburg, MD. The calibrationof other power diagnostics are then checked against theNIST calibrated monitor. In addition, we adjust the measure-ment by taking into account the convolution of the sourcespectrum and reflectivity of the mirror around 13.5 nm.(This adjustment is on the order of 1%).17

4.1.2 Size/Position

To image the EQ-10 source, we use an x-ray pinhole cameracoupled to an Andor DO434-BN CCD. The apparatus hasbeen described in previous publications;14 some aspects spe-cific to this paper are reviewed here. With a pinhole size of75 microns, the diffraction limited resolution is on the orderof 50 microns,18 also, due to geometric optics considerations,the imaged size of a point source would be on the order of100 microns. We obtain an EUV in-band image with the useof a thin Zr foil and an optically flat multilayer mirror(approximately 4% BW) acting as spectral filters. With1 μm thick Zr foils, the necessary exposure time is typically0.2 to 1.6 s, depending on chosen source operating para-meters. Thus, acquired images are the average of 100’s to1000’s of individual pinches. The imaging system can becontrolled by computer and is fully automated, so as to cap-ture sequential images over a long time scale of continuous

source operation. In order to ensure mechanical stability overthese long term tests, special care was taken in mounting theimaging beamline to the source. A rigid framework was con-structed that rigidly held the beamline and CCD in place inrelation to the source plates, which reduces possible motionaldegrees of freedom. A sample image and one-dimensional(1-D) profile slice can be seen in Fig. 7.

For recent brightness measurements, a ‘dual beamline’was developed. The nature of the design of the source doesnot allow for a wide range of viewing angles. Previously, toobtain the necessary measurements (power and size) to deter-mine source brightness the diagnostic vacuum beamline hadto be switched, requiring turning off the source and ventingthe vacuum chamber. With two separate beamlines, powerand size were measured at different times with differentaccumulated pulses on the bore (source power and size shiftslightly, at a given operating condition, as the bore ages).With the new beamline, we are able to move the imaging45-deg mirror into and out of the beamline while undervacuum and with the source operating. Otherwise, the diag-nostics are exactly the same as described above. This newbeamline allows acquisition of both power and imagingdata at the same operating condition nearly simultaneously.This allows for a higher accuracy of the brightness of theEQ-10 source, and for optimizing brightness in near realtime. Power, size, and brightness data presented later in thispaper were all acquired with this ‘dual beamline.’

4.2 Improved Pulse-to-Pulse Stability

Understanding the noise in the source by characterization ofpulse-to-pulse stability is critical for metrology tools in orderto differentiate defects on the mask from defects in the lightpulses. Here, pulse-to-pulse, or dose, stability is measuredwith the in-band EUV power monitor shown in Fig. 6.Data for pulse-to-pulse statistics is acquired using a gatedintegrator to capture the energy of each individual pulse.Acquisition is triggered at source operating frequency(approximately 2 kHz). Typical results for an hour of con-tinuous operation at optimal conditions for stability are aratio of standard deviation to mean pulse energy σ∕μ <2% (see, for example, first two values in Table 1), withoutany data averaging. We note that the source operates open-loop, with no feedback on EUV power.

We compared the pulse-to-pulse reproducibility in detailfor several combinations of DC voltage, pulse rate, and

Fig. 6 Standard beamline for power metrology using 85 deg,narrow band mirror.

Fig. 7 Sample extreme ultraviolet (EUV) time-integrated pinch imageand cross-sectional profile. (False color)

J. Micro/Nanolith. MEMS MOEMS 021105-4 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 6: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

xenon pressure. We use the DC voltage as a convenientproxy for the input pulse energy, which scales as the squareof the DC voltage. The data were acquired by capturing,integrating and digitizing each pulse, over a period ofmany minutes to an hour; typically over one-million pulses.Each pulse train was then statistically analyzed. The resultsfor four interesting cases are shown in Fig. 8. Cases A and Bare identical plasma conditions, with/without the modulatorupgrade, at high chamber pressure; Cases C and D, similarlyat low chamber pressure. Table 1 lists the results of severalconditions, giving the DC voltage, pulse rate, and chamberpressure in millitorr.

Without the modulator modification, the best stability(least standard deviation in the pulse train) occurred at thelowest pressures; the worst, at the highest pressures. Withthe new modulator, this trend is reversed. Comparing similarconditions, the new modulator has better stability—ninetimes better at high pressure, but only a marginal improve-ment at the lowest pressure. The implication is that with theold modulator, the high-pressure instability was caused bythe mismatched load; at low pressure, the load was bettermatched, and the remaining instability was due to plasmaeffects. The modifications substantially improved stabilitydue to load mismatch, without (of course) affecting thelow-pressure plasma effects. The improved performanceobserved with the upgraded modulator is significant sincethe optimum conditions for high brightness are at higherpressure.

4.3 Brightness

Source brightness, or radiance, is determined from a combi-nation of measuring source power into 2π and imaging thesource spatial profile. Typical units used by metrology toolmakers for source brightness is watts per square millimeterper steradian [W∕mm2∕sr]. EUV power and size data for themodified EQ-10 are shown in Figs. 9 and 10 for a variety ofstandard source operating conditions. Voltages shown are the

DC input voltage to the modulator driving the source, fre-quency is the pulse repetition rate, and pressure is theXenon gas pressure inside the source chamber. The inputenergy per pulse scales as the square of the DC input voltage.For any given combination of pulse rate and input pulseenergy, there exist unique pressures such that EUV poweris maximized and plasma size is minimized, althoughthese may not occur at the same pressure. The original,pre-modified EQ-10 was limited to sustained input poweraround 4.5 kW.

The power performance is improved by operating at 7 kWas shown by comparing Figs. 9 to 11. Minimum pinch sizeremains relatively the same (<400 μm) going to 7 kW asshown in Fig. 10. For this data, power (Figs. 9 and 11)and size are measured almost simultaneously, by use ofthe ‘dual beamline’ diagnostic described in Sec. 4.1.2.

A ‘back-of-the-envelope’ method of calculating thesource brightness from this data would be to simply takethe power into 2π sr and divide by the area given by thefull width at half maximum (FWHM). However, this over-estimates the source brightness as it does not properlyaccount for the distribution of emission both inside and out-side the FWHM. The exact plasma intensity profile is criticalfor estimating peak brightness from integrated power andimaging. For example, consider two different sources thatare roughly similar, one with a Gaussian profile and onewith a Lorentzian profile, each with identical integratedpower and FWHM. Due to the difference in profile, the Lor-entzian distribution will have a peak brightness significantlyless than that of the Gaussian.5 To estimate the average radi-ance from within the FWHM, one could subtract from thetotal power the fraction of the power that is emanatingfrom outside the FWHM, and use the average power ema-nating from within for the calculation.

We choose a more explicit representation of sourcebrightness. By angular integration of the entire image as afunction of distance from the center of the pinch, thelocal brightness at specific radius can be calculated. Herebrightness is expressed in units of Watts∕mm2∕sr, whereby ‘Watts’ is meant the power in 13.5 nm 2% BW. Ascan be seen in Fig. 12, source brightness is improvedwith the new design, with peak radiance >8 Watts∕mm2∕sr. Note that Fig. 12 represents the brightness at aspecific radius ‘r’ from the center of the pinch, and notthe average radiance within radius ‘r’. Figure 13 showsthe peak brightness for several 7 kW operating conditions.There seems to be little dependence on pulse rate or voltage,as long as input power is held constant.

Peak brightness and etendue are only the starting point foran analysis of source requirements for mask inspection.Detailed specifications for source size, uniformity, collectionangle, length, and angular uniformity of emission dependdelicately on the specific design envisioned for the illumina-tion optics. The plasma length for operating conditions andbore geometry reported here is ∼8–10 mm FWHM, and theavailable collection half angle is typically 8 deg. Other boregeometries have been developed to meet other etenduerequirements.

4.4 Spatial Stability

Characterized spatial stability, like pulse-to-pulse energystability, is critical for interpreting metrology signals. Also,

Table 1 Statistics for the four cases displayed as histograms in Fig. 8appear in the top and bottom row. We also display the ratio of stan-dard deviation (old/new).

Conditions: Standard deviation: Ratio:

V_Hz_mT New Old Old/new

280_2200_100 A 0.0179 B 0.1651 9.20

280_1900_90 0.0190 0.0756 3.97

280_1900_70 0.0215 0.0804 3.74

280_1900_110 0.0223 0.1221 5.47

280_2200_80 0.0268 0.1009 3.76

300_2200_80 0.0359 0.0534 1.49

320_1900_100 0.0371 0.0773 2.08

320_1900_80 0.0402 0.0568 1.41

320_1900_60 0.0667 0.0876 1.31

300_2200_60 C 0.0705 D 0.0752 1.07

J. Micro/Nanolith. MEMS MOEMS 021105-5 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 7: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

spatial instability leads to a reduced average brightness ofthe source. Figure 14 summarizes results of long-term spatialstability measurements. We imaged the source for 44 h of con-tinuous operation at 2 kHz pulse rate, with 4.5 kW input

power, capturing an image once every hour (without any feed-back to source operating conditions). The size of a pixel on theCCD, referenced to the source plane, was approximately 13microns (pinhole imaging was arranged to be ∼1:1).

Fig. 8 Histograms showing statistics of consecutive pulses, under different conditions. Left side—with upgraded modulator; right—without.

0

5

10

15

20

25

50 60 70 80 90 100 110 120

Pressure (mT)

Pow

er [W

atts

/2π

at 1

3.5n

m 2

%B

W]

280V 1900 Hz290V 1900Hz300V 1900 Hz280V 2200 Hz290V 2200 Hz300V 2200 Hz

Fig. 9 In-band extreme ultraviolet (EUV) output power into 2π with the redesigned EQ-10. Dashed circle shows standard operating point forpre-modified source, with ∼4.5 kW DC input power.

J. Micro/Nanolith. MEMS MOEMS 021105-6 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 8: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

Two statistics were extracted from the data: source sizeand source position. For size, defined by the FWHM ofthe pinch, the variation over the total 44 h was σ ¼ 5microns, with an average FWHM of 400 microns, or about1% variation. Source position is defined by the centroid

of the image. We observed an average deviation from themean position of approximately 8 microns, as can be seenin the scatter plot of Fig. 14. It should be noted that theobserved deviations for both FWHM and position arenear the resolution limit of the imaging system. Furthermore,

300

400

500

600

700

800

900

50 60 70 80 90 100 110 120 130 140

Source Pressure (mT)

FW

HM

(µm

)

280V, 1900Hz, 3.8 kW input280V, 2200Hz, 4.4 kW input300V, 2200Hz, 5.8 kW input300V, 2570Hz, 7 kW input320V, 2200Hz, 7 kW input340V, 1930Hz, 7 kW input

Fig. 10 FWHM Source size (13.5 nm ∼ 4%BW) of the redesigned EQ-10 source for various operating parameters, including 7 kW inputpower (solid lines), and selected lower-power conditions (dashed lines). Output powers for the two sets of conditions are shown in Figs. 11and 9, respectively.

7 kW Input Power Operation

0

5

10

15

20

25

30

50 60 70 80 90 100 110 120 130

Pressure (mT)

Pow

er [W

atts

/2π

at 1

3.5n

m 2

%B

W] 300V, 2570 Hz

320V, 2200Hz340V 1930Hz

Fig. 11 In-band extreme ultraviolet (EUV) output power into 2π with the redesigned EQ-10. Here with operating conditions with 7 kW input power.

J. Micro/Nanolith. MEMS MOEMS 021105-7 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 9: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

over the course of the 44-h test, positional deviation waslargely effected by the stability of the imaging beamlineitself, as the 44-h test was performed before developmentof a mechanically rigid beamline. Excellent positionalstability is to be expected in this type of source as thecurrent producing the pinch, flowing in the plasma loops,

is magnetically confined and makes no contact with sourcesurfaces.

5 Scaling to Higher BrightnessTo scale the basic electrodeless pinch technology to higherbrightness or power, the most obvious route is through

0

1

2

3

4

5

6

7

8

9

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

radius [mm]

bri

gh

tnes

s [W

/mm

2 /sr]

Fig. 12 Local brightness at a given distance ‘r ’ from the center of the pinch, for the redesigned EQ-10 source, for operating conditions 300 V,2570 Hz, and 120 mT.

0

1

2

3

4

5

6

7

8

9

50 60 70 80 90 100 110 120 130

Pressure (mT)

Brig

htne

ss a

t 13.

5nm

2%

BW

[Wat

ts/m

m2 /s

r] 300V, 2570 Hz320V, 2200Hz340V 1930Hz

Fig. 13 In-band extreme ultraviolet (EUV) peak brightness for the 7 kW DC input operating conditions shown in Fig. 11.

J. Micro/Nanolith. MEMS MOEMS 021105-8 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 10: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

frequency. That is, if the emitted EUV per pulse remainedconstant, then doubling the pulse frequency would doublethe output. We have explored this approach in some detail.Two independent limits have been found.

First, there is a limit imposed by the pulsed power system.The saturable cores used as magnetic switches [see Fig. 1(b)and 1(d)] dissipate energy in the range of a quarter J perswitch. Thus, at our nominal 2 kHz operation frequency,the switch cores dissipate of order 500 Weach. As frequencyis raised, cooling these components becomes more and morechallenging. We have built a 10 kHz version of the source foruse in an optics lifetime testing application;19 however, theengineering tradeoffs required to operate at this frequency(primarily, the electrical energy delivered per pulsehad to be reduced) gave an operating point at a lowerpower and brightness than our standard configuration. Inprincipal, this problem can be overcome with sufficiently cle-ver thermal and electrical engineering; however, there seemsto be another more restrictive limit to source performance.

The pinch requires fueling with xenon gas at a specificdensity. As the average input power to the plasma is raised(either through frequency, or by raising the energy per pulse),the ambient xenon gas temperature increases, especiallyin the location where the pinch forms. The pressure rise inthe chamber during plasma initiation is about a factor of two,implying a volume-average temperature increase to about600 K. However, there are certainly large temperature gra-dients in the gas, implying that the local temperature nearthe pinch will be higher. At fixed pressure, increasing thetemperature decreases the xenon density near the pinch.As power is raised, at some point this effect preventsadequate xenon from reaching the pinch region. Raisingthe ambient xenon pressure can compensate only up to apoint, as increased gas density between the pinch and thesource-to-beamline output port causes more and moreabsorption of the 13.5 nm light we wish to collect. This effectalso occurs if we raise the applied electrical energy per pulse,at fixed frequency. This effect can be seen clearly in Fig. 9,

where the ambient pressure required to achieve maximumEUV power moves to higher values as input power is in-creased. Thus, pinch fueling can become a limit to achievinghigher power. Novel methods of pinch fueling have beenexplored.20

An important point is requirements for metrology demandhigher brightness, not power. The plasma size delivered bythe EQ-10 significantly overfills the etendue of a typicalmetrology design. If plasma size can be reduced at fixedpower, brightness will be increased. We have modeledusing the EQ-10 plasma as a target for a pulsed laser.21

By optimizing EQ-10 for high plasma density at lowerelectron temperature, a very spatially and temporally stable,pre-ionized target can be created. By bringing the laser toa 20 to 50 micron spot we estimate a brightness of order100 W∕mm2∕sr could be achieved, depending on laserpower and the ultimate size of the region heated by thelaser focus. This approach has the obvious advantage overtin laser-produced plasmas (LPP)22 of inherent cleanliness—no tin supply or mitigation would be required. While thephysics seems straightforward,23–25 a successful proof-of-principal demonstration would be required to motivate thesignificant engineering effort required to bring this technol-ogy to market.

6 ConclusionsThe EQ-10 has excellent spatial and temporal stability as aresult of the electrode-less design. We have reported hereon further development of the EQ-10 to increase powerand brightness, and to further improve reliability. The mod-ifications to the source and power delivery systems haveroughly doubled the source output from 10 to 20 W, andhave increased source brightness to >8 W∕mm2∕sr—alevel that is adequate for initial actinic aerial imagingmask inspection tools at the 16 nm hp node. Enhancementsbased on laser heating of the electrodeless Z-pinch could leadto suitable sources for production mask inspection tools.

-200

-150

-100

-50

0

50

100

150

200

-200 -100 0 100 200

X position [microns]

Y p

ositi

on [m

icro

ns]

-20

-10

0

10

20

-20 -10 0 10 20

FWHM ~ 400 µm

Fig. 14 Scatter plot showing source position over 44 h of continuous operation (∼300 million pulses). The source FWHM is shown in left plotat ∼400 microns. At the right is a blow-up showing data points in detail. This measurement is limited by the stability of the mechanical alignmentof the diagnostic beamline.

J. Micro/Nanolith. MEMS MOEMS 021105-9 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :

Page 11: Extreme-ultraviolet light source development to enable … source... · Extreme-ultraviolet light source development to enable pre-production mask inspection Matthew J. Partlow Matthew

References

1. D. Smith et al., “Inductively-driven plasma light source,” U.S. PatentNo. 7,307,375 (2007).

2. T. Terasawa et al., “Development of actinic full-field EUV maskblank inspection tool at MIRAI-Selete,” Proc. SPIE 7271, 727122(2009).

3. T. Terasawa et al., “Actinic phase defect detection and printability ana-lysis for patterned EUVL mask,” Proc. SPIE 7636, 763602 (2010).

4. T. Kamo et al., “Evaluation of EUVmask defect using blank inspection,patterned mask inspection, and wafer inspection,” Proc. SPIE 7969,79690J (2011).

5. D. Hellweg et al., “AIMS EUV: the actinic aerial image review platformfor EUV masks,” Proc. SPIE 7969, 79690H (2011).

6. S. Sheshadri, Fundamentals of Plasma Physics, American ElsevierPublishing Company, New York (1973).

7. K. A. Munson, U. Shumlak, and B. A. Nelson, “Extreme ultravioletlight production from a ZaP flow Z-pinch xenon plasma,” J. Micro/Nanolithogr. MEMS MOEMS 7(1), 013003 (2008).

8. J. R. Wood, “Chaos: a real phenomenon in power electronics,” IEEE 4thProc. Appl. Power Electron. Conf. Exposition, 115–124 (1989).

9. A. A. Balyakin and N. M. Ryskin, “Chaotic oscillations in a nonlinearlumped-parameter transmission line,” Radiophys. Quant. Electron.44(8), 637–644 (2001).

10. J. H. B. Deane, “Modeling the dynamics of nonlinear inductor circuits,”IEEE Trans. Magn. 30(5), 2795–2801 (1994).

11. G. K. Vinogradov, “Transmission line balanced inductive plasmasources,” Plasma Sources Sci. Technol. 9(3), 400–412 (2000).

12. T. Braun et al., “Observation of deterministic chaos in electricaldischarges in gases,” Phys. Rev. Lett. 59(6), 613–616 (1987).

13. P. Y. Cheung and A. Y. Wong, “Chaotic behavior and period doubling inplasmas,” Phys. Rev. Lett. 59(5), 551–554 (1987).

14. S. F. Horne et al., “Application of a high-brightness electrodelessZ-pinch EUV source for metrology, inspection, and resist develop-ment,” Proc. SPIE 6151, 61510P (2006).

15. P. A. Blackborow et al., “EUV Source Development at Energetiq,” Proc.SPIE 6921(1), 692121 (2008).

16. E. Gullickson, Lawrence Berkeley Lab, Berkeley, CA (2004), Personalcommunication.

17. S. Horne et al., “EUV Source Development at Energetiq Technology,” in2007 International Extreme Ultraviolet Lithography (EUVL) Sympo-sium, SEMATECH, Sapporo, Japan (2007).

18. K. Mielenz, “On the diffraction limit for lensless imaging,” J. Res. Natl.Inst. Stand. Technol. 104(5), 479–485 (1999).

19. S. F. Horne et al., “Development of a high-pulse-rate EUV source,”Proc. SPIE 7271, 72713A (2009).

20. D. Smith et al., “Inductively-driven plasma light source,” U.S. PatentNo. 7,948,185 (2011).

21. S. F. Horne et al., “High brightness electrodeless Z-pinch™ EUV sourcefor mask inspection tools,” in 2011 International Extreme UltravioletLithography (EUVL) Symposium, SEMATECH, Miami, Florida,USA (2011).

22. V. Bakshi, “EUV “Sources for Lithography,” SPIE, SPIE PressMonograph, Bellingham, Washington, USA, Vol. PM149 (2006).

23. S. Wieneke, S. Bruckner, and W. Viol, “Laser assisted heating ofextreme ultraviolet-emitting Z-pinch plasmas,” Phys. Plasmas 15(12),122508 (2008).

24. J. E. Tucker and R. M. Gilgenbach, “Soft x-ray emission from aCO2 laser-heated z-pinch plasma,” Plasma Chem. Plasma Process7(3), 365–376 (1987).

25. E. S. Wyndham et al., “An observation of a high heat flux in a laser-heated plasma,” J. Phys. D: Appl. Phys. 15(9), 1683–1688 (1982).

Matthew J. Partlow completed his undergraduate work at St.Lawrence University in 1997 with a double major in physics andmathematics. He then earned his PhD in experimental atomic phy-sics, with a thesis on strong coherent optical forces, at StonyBrook University in 2004. This was followed by a postdoctoral fellow-ship in ultracold atomic physics at the University of Toronto. He joinedEnergetiq Technology in 2007 as a scientist working on EUV lightsources. He is currently principal scientist at Energetiq, splitting histime between EUV light source and laser-driven plasma researchand development.

Biographies and photographs of the other author are not available.

J. Micro/Nanolith. MEMS MOEMS 021105-10 Apr–Jun 2012/Vol. 11(2)

Partlow et al.: Extreme-ultraviolet light source development to enable pre-production : : :