アサインメント・エディタ - intel...表1–1. assignment editorのバーの説明...

24
Altera Corporation 1–1 2007 10 暫定サポート この資料は英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。こちらの日本語版は参考用としてご利用 ください。設計の際には、最新の英語版で内容をご確認ください。 1. アサインメント・エディタ はじめに 今日の FPGA のデザインは、増加の一途を辿る現行の FPGA の集積度お よび関連ピン数によってますます複雑になっています。最新世代の FPGA への複雑なデザインの実装を成功させるために、ピン配置および I/O 規格など、多数のピン・アサインメントが必要です。 これらのアサインメントの入力プロセスを容易にするために、アルテラ はアサインメント・エディタと呼ばれる直感的なスプレッドシート・イ ンタフェースを開発しました。アサインメント・エディタは、数多くの アサインメントを作成、変更、管理するプロセスを簡略化するために設 計されています。 この章では、以下の項目について説明します。 「アサインメント・エディタの使用」 1–8 ページの「アサインメント・エディタの機能」 1–15 ページの「アサインメント・エディタを使用したピン位置の割 り当て」 1–15 ページの「アサインメント・エディタを使用したタイミング制 約の作成」 1–16 ページの「アサインメントのエクスポートおよびインポート」 アサインメン ト・エディタ の使用 デザイン・サイクル全体を通して、アサインメント・エディタを使用で きます。ボード・レイアウトを開始する前に、アサインメント・エディ タでピンのアサインメントを作成できます。デザイン・サイクル全体で アサインメント・エディタを使用して、タイミングアサインメントを作 成することにより、デザイン性能要件を達成するのを支援します。また、 アサインメント・エディタを使用して、ノード名またはアサインメント・ タイプに基づいて、アサインメントの表示、フィルタリング、およびソー トを実行することもできます。 Assignment Editor は、サイズ変更が可能なウィンドウです。この拡張 性により、デザイン・ファイルに隣接するアサインメントを容易に表示 または編集することができます。アサインメント・エディタを開くには、 ツールバーの Assignment Editor ア イ コ ン を ク リ ッ ク す る か、 Assignments メニューの Assignment Editor をクリックします。 Ctrl+Shift+A を押して、アサインメント・エディタを起動する こともできます。 QII52001-7.2.0

Upload: others

Post on 15-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–12007年 10月 暫定サポート

この資料は英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。こちらの日本語版は参考用としてご利用ください。設計の際には、最新の英語版で内容をご確認ください。

1. アサインメント・エディタ

はじめに 今日の FPGAのデザインは、増加の一途を辿る現行の FPGAの集積度および関連ピン数によってますます複雑になっています。最新世代のFPGA への複雑なデザインの実装を成功させるために、ピン配置およびI/O規格など、多数のピン・アサインメントが必要です。

これらのアサインメントの入力プロセスを容易にするために、アルテラはアサインメント・エディタと呼ばれる直感的なスプレッドシート・インタフェースを開発しました。アサインメント・エディタは、数多くのアサインメントを作成、変更、管理するプロセスを簡略化するために設計されています。

この章では、以下の項目について説明します。

■ 「アサインメント・エディタの使用」■ 1–8ページの「アサインメント・エディタの機能」■ 1–15ページの「アサインメント・エディタを使用したピン位置の割り当て」

■ 1–15ページの「アサインメント・エディタを使用したタイミング制約の作成」

■ 1–16ページの「アサインメントのエクスポートおよびインポート」

アサインメント・エディタの使用

デザイン・サイクル全体を通して、アサインメント・エディタを使用できます。ボード・レイアウトを開始する前に、アサインメント・エディタでピンのアサインメントを作成できます。デザイン・サイクル全体でアサインメント・エディタを使用して、タイミングアサインメントを作成することにより、デザイン性能要件を達成するのを支援します。また、アサインメント・エディタを使用して、ノード名またはアサインメント・タイプに基づいて、アサインメントの表示、フィルタリング、およびソートを実行することもできます。

Assignment Editor は、サイズ変更が可能なウィンドウです。この拡張性により、デザイン・ファイルに隣接するアサインメントを容易に表示または編集することができます。アサインメント・エディタを開くには、ツールバーの Assignment Editorアイコンをクリックするか、Assignmentsメニューの Assignment Editorをクリックします。

Ctrl+Shift+Aを押して、アサインメント・エディタを起動することもできます。

QII52001-7.2.0

Page 2: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–2 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

Category、Node Filter、Informationおよび Editバーアサインメント・エディタのウィンドウは、4つのバーと 1つのスプレッドシートに分割されます (図 1–1)。

図 1–1. Assignment Editorウィンドウ

必要に応じて表示メニューの 4つのバーすべてを非表示にし、Category、Node Filter、および Information バーを折りたたむことができます。表 1–1は、各バーを説明しています。

Categoryバー

Categoryバーは、選択したデバイスで使用可能なすべてのアサインメントのカテゴリを示します。Categoryを使用して特定のアサインメントのタイプを選択し、その他のすべてのアサインメントをフィルタします。Categoryリストからアサインメントのカテゴリを選択して、適用可能な

表 1–1. Assignment Editorのバーの説明

バーの名称 説明Category 使用可能なアサインメントのタイプを示します。Node Filter 表示または割り当てるデザイン・ノードの選択を示します。Information 現在選択されているセルの説明を表示します。Edit 現在選択されているセル内のテキストを編集できます。

Page 3: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–32007年 10月 暫定サポート

アサインメント・エディタの使用

オプションと値のみ表示するようスプレッドシートを変更します。特定のタイプのアサインメントを検索するには、Category バーを使用して、他のすべてのアサインメントをフィルタします。

プロジェクト内のすべてのtSUアサインメントを検索するには、Categoryリスト (図 1–2)の tsuを選択します。

図 1–2. tSUが選択された Categoryリスト

Categoryバー (図 1–3)で Allを選択した場合、Assignment Editorはすべてのアサインメントを表示します。

図 1–3.すべて選択された Categoryリスト

Page 4: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–4 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

Categoryバーを折りたたむと、プリセット・カテゴリから選択することができる 4つのショートカット・ボタンが表示されます(図 1–4)。

図 1–4. Categoryバー

Pinカテゴリを使用して、ピン位置のアサインメントを作成します。Pinカテゴリは、I/O バンク番号、VREF グループ番号、対応するパッド番号、プライマリおよびセカンダリ機能など、各 FPGAピンに関する追加情報を表示します。

あるピン情報を入力すると、アサインメント・エディタは自動的にそのピン番号を完成します。例えば、Pin_AA3 と入力する代わりに、AA3と入力すると、アサインメント・エディタは自動的にピン番号をPin_AA3として完成します。位置カラムのセルをダブル・クリックして、ピン・リストからピン位置を選択することもできます。使用されているピン位置はすべて斜体で表示されます。

Node Filterバー

Show assignments for specific nodes がオンになっている場合、スプレッドシートは選択したノード名フィルタと一致するノードのアサインメントのみをNode Filterバーに表示します。Node Filterバーに表示されている各ノード名フィルタを選択してイネーブルにすることで、フィルタ表示を切り替えることができます。Node Finder を使いリストしたノード名をNode Filter欄にドラッグ & ドロップするか、新しいノード名フィルタを入力して新しいノード名フィルタを作成できます。アサインメント・エディタは、自動的にスプレッドシートにロウを挿入し、Toフィールドにはノード名フィルタに対応したノード名が自動配置されます。アサインメントを新しいロウに入力して、一致するノードに簡単に

Page 5: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–52007年 10月 暫定サポート

アサインメント・エディタの使用

追加できます。未完成のアサインメントのロウはダークレッドで示されます。 Fileメニューで Save を選択したとき、未完成のアサインメントが存在する場合は未完成のアサインメントの保存および破棄、または保存の取り消しのいずれかを選択するよう求められます。

図 1–5 に示すように、d 入力バスのすべてのビットが Node Filter バーでイネーブルされる場合、関係のないすべてのアサインメントがフィルタされます。

Node Filter バーで、d 入力バスを選択すると、ロウのみハイライト表示されます。バスをイネーブルにする場合は、バスをオンにする必要があります。

図 1–5.アサインメント・エディタでの Node Filterバーの使用

Page 6: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–6 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

Informationバー

Information バーは、選択されているセルとセルに入力する情報について簡単な説明を表示します。例えば、Information バーにはセルにノード名または数値を入力すべきかどうかの説明が表示されます。選択したセルがロジック・オプションの場合、Informationバーにはそのオプションの説明が表示されます。

ロジック・オプションについて詳しくは、Quartus® II Help を参照してください。

Editバー

Editバーを使用すると、スプレッドシートの 1つまたは複数のセルに値を効率的に入力できます。

複数のセルの内容を同時に変更するには、スプレッドシート内のセルを選択し(図 1–6)、Edit バーの Edit ボックスに新しい値を入力して、Accept をクリックします(図 1–7)。

図 1–6. Editバーの選択

Page 7: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–72007年 10月 暫定サポート

アサインメント・エディタの使用

図 1–7. Editバーの変更

アサインメント・エディタでのアサインメントの表示および保存アサインメント・エディタはアサインメントを入力および変更するための最も一般的な方法ですが、他の方法を使ってアサインメントを作成および編集することもできます。このため、Assignment Editor の外部でアサインメントを追加、削除、または変更した後でアサインメント・エディタをリフレッシュできます。

デフォルトでは、Quartus II ソフトウェアで作成されたすべてのアサインメントは、最初にメモリに保存され、次に処理タスクの開始後またはプロジェクトを保存または閉じる場合に、ディスクの Quartus II 設定ファイル(.qsf)に保存されます。アサインメントをメモリに保存すると、ディスク・ドライブの読み書きを回避でき、ソフトウェアの性能が向上します。

アサインメント・エディタでアサインメントを作成した後、Fileメニューの Save をクリックしてアサインメントを保存し、アサインメント・エディタの外部で Quartus II設定ファイルを更新します。

Quartus II ソフトウェア・バージョン 5.1 からは、すべてのアサインメントをディスク・ドライブに書き込むようにすることができます。これは Tools メニューの Options 設定ダイアログ・ボックスの Processingページの Update assignments to disk during design processing onlyをオフにして実行されます。

Quartus IIソフトウェアのQuartus II Settings Fileへの書き込みについて詳しくは、「Quartus IIハンドブック Volume 2」の「Quartus IIプロジェクトの管理」の章を参照してください。

Page 8: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–8 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

Viewメニューの Refreshをクリックして、Assignment Editorウィンドウをリフレッシュできます。Tcl コンソールまたは Pin Planner など、Quartus II ソフトウェアでアサインメントを作成する場合、アサインメント・エディタはメモリから新しいアサインメントをリロードします。アサインメント・エディタの外部で Quartus II設定ファイル を直接変更する場合は、 ViewメニューのRefreshをクリックしてアサインメントを表示します。

プロジェクトが開いた状態で Quartus II Settings File を編集する場合、Fileメニューの Save Projectをクリックして、最新のQuartus II Settings Fileを編集していることを確認します。

アサインメント・エディタがリフレッシュされるたびに、メッセージ・ウィンドウに以下のメッセージが表示されます。

Info:Assignments reloaded -- assignments updated outside Assignment Editor

アサインメント・エディタの機能

Assignment Editor は、テキスト・エディタ、Node Finder、タイミング・クロージャ・フロアプラン、Pin Planner、コンパイル・レポート、およびメッセージ・ウィンドウなど Quartus IIソフトウェアの多くの場所から開くことができます。例えば、デザイン・ファイルのノード名をハイライト表示し、ノード名を指定してアサインメント・エディタを開くことができます。

Assignment Editor から他のウィンドウを開くこともできます。アサインメント・エディタ・スプレッドシートにリストされるノードから、PinPlanner、タイミング・クロージャ・フロアプラン、Chip Planner、ブロック・エディタ、またはテキスト・エディタの任意のウィンドウのノードを見つけることができます。

エンハンスト・スプレッドシート・インタフェースの使用アサインメント・エディタの主な機能の 1つがスプレッドシート・インタフェースです。スプレッドシート・インタフェースを使用して、カラムのソート、プルダウン・リスト・ボックス、および複数のセルのアサインメント・エディタへのコピー・アンド・ペーストを実行できます。アサインメントを入力すると、ロウのフォントの色が変わり、アサインメントの状態を示します。詳しくは、1–10ページの「ダイナミック構文チェック」を参照してください。

Node Finder、Node Filterバー、Editバー、またはノード名をスプレッドシートのセルに直接入力するなど、ノードを選択またはスプレッドシートに入力する方法は多数あります。ノードのタイプを表すアイコンが各ノード名およびタイプを識別するノード名フィルタの隣に表示されます。ノードのタイプを表すアイコンはエントリを入力、出力、双方向

Page 9: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–92007年 10月 暫定サポート

アサインメント・エディタの機能

ピン、レジスタ、組み合わせロジック、またはアサインメント・グループとして識別します(図 1–8)。ノードのタイプを表すアイコンは、ワイルドカード文字(*または ?)を使用するノード名およびノード名フィルタのアスタリスクとして表示されます。

図 1–8.スプレッドシートの各ノード名の隣に表示されるノード・タイプ・アイコン

アサインメント・エディタは、以下のタイプのアサインメントでワイルドカードをサポートします。

■ すべてのタイミング・アサインメント■ ポイント・ツー・ポイント・グローバル信号アサインメント(Stratix® IIおよび Stratixデバイスに適用可能)

■ ポイント・ツー・ポイントまたはパッド・ツー・コア遅延チェイン・アサインメント

■ ワイルドカードをサポートするすべてのアサインメントは、アサインメント・エディタで、隣に 「(Accepts wildcards/groups)」と表示された Assignment Name カラムの下のドロップ・リストに表示されます。

スプレッドシートは、カラムの表示、非表示、および編成を可能にするカスタマイズ可能なカラムもサポートします。詳細については、1–13ページの「カスタマイズ可能なカラム」を参照してください。

ピン位置アサインメントを作成する場合、セルの背景色は Pin Planner(図 1–9)に表示される I/Oバンクの色に合わせたものになります。

Page 10: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–10 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

図 1–9. I/Oバンクの色に合わせたセル

ダイナミック構文チェックアサインメントを入力すると、アサインメント・エディタは単純な正当性および構文チェックを実行します。このチェックはコンパイル時に実行されるチェックほど厳密なものではありませんが、誤った設定を拒否します。例えば、アサインメント・エディタでは非接続ピンにピン名のアサインメントを実行できません。この場合、アサインメントは受け入れられないため、別のピン位置を入力する必要があります。

各ロウ内のテキストの色は、アサインメントが未完了かどうか、不正かどうか、ディセーブルかどうかを示します(表 1–2)。アサインメント・エディタで色をカスタマイズするには、Tools メニューの Options をクリックします。

表 1–2.スプレッドシートのテキストの色の説明

テキストの色 説明

グリーン 作成可能な新規のアサインメント

イエロー 不明ノード名などの警告を含むアサインメント

ダーク・レッド 未完成のアサインメント

ブライト・レッド 不正値などのエラーがあるアサインメント

ライト・グレイ ディセーブルされたアサインメント

Page 11: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–112007年 10月 暫定サポート

アサインメント・エディタの機能

Node FilterバーNode Filterバーを使用して、柔軟に設定の表示および作成を行うことができます。Node Filterバーにはノード・フィルタのリストがあります。新しいエントリを作成するには、Node Finder を使用するか、または手動でノード名を入力します。Node Filterリストの空白のロウをダブル・クリックしてから矢印をクリックし、Node Finder(図 1–10)をクリックしてNode Finderダイアログ・ボックスを開きます。

図 1–10. Node Finderオプション

Page 12: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–12 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

Node Filterバーで各フィルタをオンまたはオフにできます。Node Filterバーをオフにするには、Show assignments for specific nodesをオフにします。ワイルドカード(* and ?)は、Node Filterに 1つのエントリが存在する状態で、すべてのデザイン・ノードの選択をフィルタするのに使用します。例えば、Node Filterリストに d*と入力して、d[0]、d[1]、d[2]、およびd[3]のすべてのアサインメントを表示できます(図 1–11)。

図 1–11. Node Filterバーでのワイルドカードの使用

Assignment Groupsの使用アサインメント・グループはデザイン・ノードを 1つに集めたものであり、その集合に対してアサインメントを作成するために、1 つの単位として表されます。アサインメント・エディタでアサインメント・グループを使用すると、多数のノードに複雑なフィッティングやタイミング・アサインメントを作成するのに必要な柔軟性が得られます。

アサインメント・グループを作成するには、Assignments メニューのAssignment (Time) Groupsをクリックして、Assignment Groupsダイアログ・ボックスを表示します。 Node Finder のワイルドカードを使用して、各アサインメント・グループのメンバーを追加または削除できます(図 1–12)。

Assignment Groups を使用したタイミング解析について詳しくは、「Quartus II ハンドブック Volume 3」の「Quartus II クラシック・タイミング・アナライザ」の章を参照してください。

Page 13: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–132007年 10月 暫定サポート

アサインメント・エディタの機能

図 1–12. Assignment Groupsダイアログ・ボックス

ワイルドカードに、類似ノード名を持つ多数のノードを選択するだけの柔軟性がない場合があります。アサインメント・グループを使用してワイルドカードを組み合わせることにより、多数のノードを選択し、例外を使用して選択しないノードを削除することができます。ワイルドカードまたはアサインメント・グループが存在する場合、設定が正しく表示されない場合がありますが、フィッタはデザインのコンパイル時にワイルドカードおよびアサインメント・グループで作成したアサインメントを常に認識します。

カスタマイズ可能なカラムスプレッドシートの情報を表示する際の操作性を高めるために、アサインメント・エディタはカスタマイズ可能なカラムをサポートしています。

カラムの移動、昇順または降順でのソート、個々のカラムの表示または非表示、読みやすくするためのカラム内容の左揃え、中央揃え、右揃えが可能です。

Quartus II ソフトウェアが最初に起動すると、選択済みのカラム・セットが表示されます。例えば、Quartus II ソフトウェアが最初に起動したとき、Comment カラムは非表示の状態です。使用可能な任意のカラムを表示または非表示にするには、Viewメニューで Customize Columnsをクリックします。Quartus II ソフトウェアを再起動しても、カラムの設定は維持されます。

Page 14: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–14 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

選択したカテゴリに応じて、多数の非表示カラムを表示することができます。例えば、Pinカテゴリを選択した場合、VREFグループ、パッド番号、出力ピン負荷、トグル・レート、タイミング要求値、および高速入出力レジスタ・オプションなど、デフォルトでは表示されないカラムが多数あります。

Commentsカラムを使用して、ピンの使用目的を文書化したり、タイミングまたはロジック制約を適用する理由を説明します。Enabled カラムを使用して、アサインメントを削除しないでディセーブルにすることができます。この機能は、異なるタイミング制約またはロジック最適化を使用して、複数のコンパイルを実行するのに役立ちます。

Pin カテゴリを選択して、多数のピン関連アサインメントを作成できますが、Enabled カラムを使用してロウをディセーブルすると、ピン位置アサインメントのみディセーブルされます。

Tclインタフェースデザイン・アサインメントは、アサインメント・エディタまたは別の機能のいずれで作成しても、Tclファイルにエクスポートできます。次に、Tclファイルを使用して設定を再適用するか、またはアサインメントをアーカイブできます。File メニューで Exportをクリックして、(アサインメント・エディタのスプレッドシートに表示されている)アサインメントを Tclスクリプトにエクスポートします。

Projectメニューで Generate TCL File for Project をクリックして、デザインをセットアップし、すべてのアサインメントを適用する Tclスクリプト・ファイルを生成します。

さらに、アサインメント・エディタを使用してアサインメントを入力する場合、対応する Tclコマンドが System Messageウィンドウに表示されます。これらの Tclコマンドを参照して、カスタマイズした Tclスクリプトを作成できます(図 1–13)。TclコマンドをMessagesウィンドウからコピーするには、メッセージを右クリックし、Copyをクリックします。

図 1–13.ウィンドウに表示される対応する Tclコマンド

Page 15: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–152007年 10月 暫定サポート

アサインメント・エディタを使用したピン位置の割り当て

Quartus IIソフトウェアによる Tclスクリプトについて詳しくは、「Quartus IIハンドブック Volume 2」の「Tclスクリプト」の章を参照してください。

アサインメント・エディタを使用したピン位置の割り当て

アサインメント・エディタでピン・アサインメントを作成する方法は 2つあります。1 つは各デバイス・ピン配置にデザイン・ノード名を選択する方法です。デザイン・ノードを位置に割り当てる前に、FPGA デバイスの各ピンのプロパティを理解することが重要です。例えば、ピン配置のガイドラインに従う場合、各ピンがどの I/Oバンクまたは VREFグループに属するかを把握する必要があります。

Assignments メニューの Assignment Editor をクリックします。ターゲットとなるパッケージのすべてのピン番号を表示するには、Pin カテゴリをクリックします。View メニューで、Show All Assignable PinNumbers をクリックします。アサインメント・エディタに表示されるカラムをカスタマイズして、パッド番号、1次機能および 2次機能など、各ピンのプロパティ情報を表示できます。

ピン配置ガイドラインについて詳しくは、該当するデバイス・ハンドブックの「Selectable I/O Standards」の章を参照してください。

2つ目の方法は、デザインの各ピンの位置を選択することです。ターゲットとなるパッケージのすべてのピン番号を表示するには、AssignmentEditorを開いて Pinカテゴリをクリックし、Viewメニューで Show AllKnown Pin Namesをクリックします。ピン名ごとにピン位置を選択します。

ピン・アサインメントの作成について詳しくは、「Quartus IIハンドブック Volume 2」の「I/O Management」の章を参照してください。

アサインメント・エディタを使用したタイミング制約の作成

正確なタイミング制約は、Quartus II ソフトウェアの配置配線エンジンをガイドし、デザインを FPGAに最適化するのに役立ちます。配置配線が完了したら、Quartus II クラシック・タイミング・アナライザを使用してスタティック・タイミング解析を実行するか、または Quartus IITimeQuestタイミング・アナライザを使用してデザインのスラックおよびクリティカル・パスを解析します。

Quartus II クラシック・タイミング・アナライザを使用する場合は、アサインメント・エディタを使用してタイミング制約を作成します。AssignmentsメニューのAssignment Editorをクリックします。Categoryリストで Timing を選択して、アサインメント・エディタのスプレッドシート・セクションでタイミング・アサインメントを作成します。

Page 16: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–16 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

Quartus II クラシック・タイミング・アナライザについて詳しくは、「Quartus II ハンドブック Volume 3」の「Quartus II クラシック・タイミング・アナライザ」の章を参照してください。

Quartus II TimeQuestタイミング・アナライザを使用する場合、TimeQuestタイミング・アナライザは Synopsys Design Constraint (.sdc)ファイルからのタイミング・アサインメントを使用します。

Quartus設定ファイルからSynopsys Design Constraintへのタイミング・アサインメントの変換について詳しくは、「Quartus IIハンドブックVolume 3」の「Switching to the Quartus II TimeQuest Timing Analyzer」の章を参照してください。

アサインメントのエクスポートおよびインポート

LogicLock™ の階層デザイン手法を使用するデザインでは、ImportAssignment コマンドを使用して、アサインメントを現在のプロジェクトにインポートします。Export Assignmentsコマンドを使用して、プロジェクト内のすべてのアサインメントをファイルに保存しアーカイブに使用したり、アサインメントをあるプロジェクトから別のプロジェクトに転送することもできます。

Assignments メニューで、Export Assignments または ImportAssignmentsをクリックして、以下を実行します。

■ Quartus IIアサインメントをQuartus II Settings Fileにエクスポートします。

■ アサインメントを Quartus II エンティティ設定ファイル(.esf)、MAX+PLUS® II アサインメントおよびコンフィギュレーション・ファイル(.acf)またはカンマ区切り値(.csv)ファイルからインポートします。

Export Assignmentsおよび Import Assignmentsダイアログ・ボックスの他に、Fileメニューの Exportコマンドにより、アサインメントを Tclスクリプト(.tcl)ファイルにエクスポートすることもできます。

該当する場合は、Export コマンドで、Quartus IIソフトウェアのアクティブ・ウィンドウの内容を別のファイル・フォーマットにエクスポートします。

これらのファイル・フォーマットをプロジェクトのさまざまな局面で使用できます。例えば、カンマ区切り値ファイルを文書化に使用したり、ピンに関連する情報をボード・レイアウト・ツールに転送することができます。Tclファイルによりアサインメントを簡単にスクリプト化されたデザイン・フローに適用できます。LogicLock デザイン・フローでは、Quartus II設定ファイルを使用して LogicLock領域設定を転送します。

Page 17: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–172007年 10月 暫定サポート

アサインメントのエクスポートおよびインポート

アサインメントのエクスポートExport Assignmentsダイアログ・ボックスを使用して、Quartus IIソフトウェア・アサインメントを Quartus II設定ファイルにエクスポートし、ノード・レベルのネットリスト・ファイルを作成しバックアノテートされた配線情報を Routing Constraints File (.rcf) としてエクスポートします(図 1–14)。

図 1–14. Export Assignmentsダイアログ・ボックス

Assignments メニューの Export Assignments をクリックして ExportAssignments ダイアログ・ボックスを開きます。LogicLock デザイン・フローもこのダイアログ・ボックスを使用して、LogicLock 領域をエクスポートします。

Export Assignments ダイアログ・ボックスを使用した LogicLock 領域のエクスポートについて詳しくは、Quartus II ハンドブック、volume 2の「LogicLockのデザイン手法」の章を参照してください。

Fileメニューで Export をクリックして、すべてのアサインメントを Tclファイルにエクスポートするか、アサインメントのセットをカンマ区切り値 ファイルにエクスポートします。アサインメントを Tclファイルにエクスポートする場合、ユーザが作成したアサインメントのみ Tcl スクリプト・ファイルに書き込まれ、デフォルトのアサインメントはエクスポートされません。

アサインメントをカンマ区切り値 ファイルにエクスポートする場合、アサインメント・エディタに現在表示されているアサインメントのみエクスポートされます。

Page 18: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–18 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

ピン・アサインメントのエクスポートピン・アサインメントをカンマ区切り値ファイルにエクスポートするには、Assignment Editorを開いて、Categoryバーから Pinを選択します。Pin カテゴリには、ピン名およびピン番号のほかに、デバイス・ピン配置ファイル内にあるような、各ピンの詳細なプロパティが表示されます。FileメニューのExportをクリックして、Save as type リストからCommaSeparated Value File を選択します。

カンマ区切り値 ファイルの最初のコメントのないロウは、アサインメント・エディタに表示されるカンマで区切られたカラムの見出しのリストです。ヘッダ・ロウの下の各ロウは、アサインメント・エディタのスプレッドシート内のロウを表します(図 1–15)。ViewメニューでCustomizeColumnsをクリックして、カラムを追加したり、スプレッドシートに表示されているカラムを削除します。カンマ区切り値ファイルを Excel またはその他のスプレッドシート・ツールで表示および編集することができます。カンマ区切り値ファイルを Quartus IIソフトウェアにインポートする場合、カラムの見出しは編集せずに、順序も維持する必要があります。

ピン・アサインメントのエクスポートについて詳しくは、「Quartus IIハンドブック Volume 2」の「I/O Management」の章を参照してください。

図 1–15. Categoryを Pinに設定したアサインメント・エディタ

Page 19: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–192007年 10月 暫定サポート

アサインメントのエクスポートおよびインポート

以下のコードは、アサインメント・エディタからエクスポートしたカンマ区切り値ファイルの例です。

# Note: The column header names should not be changed if you wish to import this .csv file # into the Quartus II software.

To,Location,I/O Bank,I/O Standard,General Function,Special Function,Reserved,Enabledclk,PIN_N20,1,LVTTL,Dedicated Clock,"CLK3p, Input",,Yesclkx2,PIN_M21,2,LVTTL,Dedicated Clock,"CLK1p, Input",,Yesd[0],PIN_E9,4,LVTTL,Column I/O,DQSn5T,,Yesd[1],PIN_D8,4,LVTTL,Column I/O,DQS5T/DQ0T,,Yesd[2],PIN_G9,4,LVTTL,Column I/O,,,Yesd[3],PIN_E8,4,LVTTL,Column I/O,DQ5T,,Yesd[4],PIN_F2,5,LVTTL,Row I/O,DIFFIO_RX22n,,Yesd[5],PIN_G4,5,LVTTL,Row I/O,DIFFIO_TX22n,,Yesd[6],PIN_D1,5,LVTTL,Row I/O,DIFFIO_RX20p,,Yesd[7],PIN_F8,4,LVTTL,Column I/O,,,Yes

アサインメントのインポートImport Assignmentsダイアログ・ボックスで、 Quartus II設定ファイル、Quartus IIエンティティ設定ファイル、 MAX+PLUS IIアサインメント・コンフィギュレーション・ファイル、またはカンマ区切り値からQuartus IIアサインメントをインポートします(図 1–16)。

サポートされている任意のアサインメント・ファイルからアサインメントをインポートするには、以下のステップを実行します。

1. Assignments メニューから Import Assignments をクリックして、Import Assignmentsダイアログ・ボックスを表示します(図 1–16)。

図 1–16. Import Assignmentsダイアログ・ボックス

2. File nameテキスト入力ボックスで、ファイル名を入力するか アサインメント・ファイルを参照します。Select Fileダイアログ・ボックスが表示されます。

Page 20: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–20 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

3. Select File ダイアログ・ボックスでファイルを選択して、Open をクリックします。

4. OKをクリックします。

カンマ区切り値ファイルをインポートする場合、ファイルの最初のコメントのないロウは、エクスポート時と正確に同じフォーマットでなければなりません。

LogicLock フロー手法を使用して、アサインメントをインポートする場合は、以下のステップを実行します。

1. Assignments メニューから Import Assignments をクリックして、Import Assignmentsダイアログ・ボックスを表示します(図 1–16)。

2. Use LogicLock Import File Assignmentsをオンにして、LogicLockImport File Assignmentsをクリックします。

3. LogicLock Import File Assignments ダイアログ・ボックスが開いたら、インポートするアサインメントを選択して、OK をクリックします。

Import Assignments ダイアログ・ボックスを使用した LogicLock 領域のインポートについて詳しくは、「Quartus IIハンドブック Volume 2」の「LogicLockデザイン手法」の章を参照してください。

新しいアサインメントをインポートする前に、Copy existingassignments into <revision name>.qsf.bak before importingオプションをオンにして、アサインメントのバックアップ・コピーを作成できます。

ファイルからアサインメントをインポートする場合、以下のステップによりインポートするアサインメントのカテゴリを選択できます。

1. Import Assignmentsダイアログ・ボックスの Categoriesをクリックします。

2. Assignment categories リストからインポートするカテゴリをオンにします(図 1–17)。

インポートする特定のタイプのアサインメントを選択するには、ImportAssignments ダイアログ・ボックスで、Advanced をクリックします。Advanced Import Settingsダイアログ・ボックスが表示されます。インスタンス、エンティティ、またはグローバル・アサインメントをインポートし、インポートする各種アサインメントのタイプを選択できます。

Page 21: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–212007年 10月 暫定サポート

まとめ

これらのオプションについて詳しくは、Quartus II Helpを参照してください。

図 1–17. Assignment Categoriesダイアログ・ボックス

まとめ FPGA の集積度とピン数が増加するにつれ、デザイン・アサインメントの迅速な作成および表示が不可欠となります。アサインメント・エディタは、直感的および効果的なアサインメント作成方法を提供します。スプレッドシート・インタフェースおよび Category、Node Filter、Information、および Edit バーにより、アサインメント・エディタはFPGA 設計者向けの効率的なアサインメント・エントリ・ソリューションを提供します。

参考資料 この章では以下のドキュメントを参照しています。

■ 「Quartus IIハンドブック Volume 2」の「I/O管理」の章■ 「Quartus IIハンドブックVolume 2」の「LogicLock Design Methodology」の章

■ 「Quartus II ハンドブック Volume 2」の「Managing Quartus IIProjects」の章

■ 「Quartus IIハンドブック Volume 3」の「Quartus II Classic TimingAnalyzer」の章

■ 該当するデバイスのハンドブックの「Selectable I/O Standards」の章■ 「Quartus II ハンドブック Volume 3」の「Quartus II TimeQuest

Timing Analyzer」の章■ 「Quartus IIハンドブック Volume 2」の「Tcl Scripting」の章

Page 22: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–22 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2

改訂履歴 表 1–3に、本資料の改訂履歴を示します。

表 1–3.改訂履歴 (1 /2)

日付 &ドキュメント・バージョン

変更内容 概要

2007年 10月v7.2.0

1–21ページの「参考資料」を再編成。 Quartus IIソフトウェア・バージョン 7.2のための更新。

2007年 5月v7.1.0

参考資料の項を追加。 参考資料の「Managing Quartus II Projects」のタイトルを「Quartus II Project Management」に変更。

2007年 3月v7.0.0

Quartus II ソフトウェア 7.0 のリビジョンおよび日付のみ更新。その他の変更はありません。

2006年 11月v6.1.0

改訂履歴を追加。 —

2006年 5月v6.0.0

Quartus IIソフトウェア・バージョン 6.0.0のためのマイナー・アップデート● Quartus IIクラシック・タイミング・アナライザおよび Quartus II TimeQuest タイミング・アナライザの情報を追加。

2005年 10月v5.1.0

Quartus IIソフトウェア・バージョン 5.1.0のための更新

2005年 5月v5.0.0

● Quartus IIソフトウェア・バージョン5.0.0のための更新

● フォーマットおよび書式の更新。● Quartus IIソフトウェア・バージョン5.0.0の変更を反映するために 2 つの図およびリファレンスを更新。

Page 23: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

Altera Corporation 1–232007年 10月 暫定サポート

改訂履歴

2004年 12月v2.1

● Quartus IIソフトウェア・バージョン4.2のための更新。

● フォーマットおよび書式の更新。● アサインメント・エディタのリフレッシュに関する情報を更新。

● 図を更新。● Assignment Editorウィンドウの選択方法の情報を追加。

● Time Groupsに関する言及を追加。● カスタマイズ可能なカラムの説明を更新。● アサインメント・エディタを使用したピン位置の割り当ての項を追加。

● アサインメントのエクスポートおよびインポートに関する説明を追加。

2004年 6月v2.0

● 表および図を更新。● Quartus IIソフトウェア・バージョン4.1の新機能の情報を追加。

2004年 2月v1.0

初版 —

表 1–3.改訂履歴 (2 /2)

日付 &ドキュメント・バージョン

変更内容 概要

Page 24: アサインメント・エディタ - Intel...表1–1. Assignment Editorのバーの説明 バーの名称 説明 Category 使用可能なアサインメントのタイプを示します。Node

1–24 Altera Corporation暫定サポート 2007年 10月

Quartus II ハンドブック Volume 2