final report project #28 optimization of bulk

47
Aalto University ELEC-E8002 Project work course Year 2016 Final Report Project #28 Optimization of Bulk Micromachined Sidewalls for MEMS Applications Date: 18.12.2016 Haverinen Enni Rehman Abdul Ukkonen Markus

Upload: others

Post on 26-Jan-2022

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Final Report Project #28 Optimization of Bulk

Aalto University

ELEC-E8002 Project work course

Year 2016

Final Report

Project #28

Optimization of Bulk Micromachined Sidewalls for MEMS Applications

Date: 18.12.2016

Haverinen Enni

Rehman Abdul

Ukkonen Markus

Page 2: Final Report Project #28 Optimization of Bulk

1

Information page

Students

Haverinen Enni

Rehman Abdul

Ukkonen Markus

Project manager

Haverinen Enni

Official instructor

Österlund Elmeri

Starting date

11.1.2016

Approval

The instructor has accepted the final version of this document

Date: 16.12.2016

Page 3: Final Report Project #28 Optimization of Bulk

2

Table of contents

Preface

1 Theory and pre-laboratory investigations ................................................................. 4

1.1 Etching Methods: ............................................................................................... 4 1.1.1 Wet etching ................................................................................................. 6 1.1.2 Dry etching ....................................................................................................... 7 1.1.2 Comparison of wet and dry etching methods ............................................ 17

1.2 List of etching parameters and concepts .......................................................... 18

2 Characterization of Etching Results: ....................................................................... 19 2.1 Characterization methods ................................................................................. 19

2.1.1 Characterization methods used in this project .......................................... 19

2.1.2 Other characterization methods................................................................. 24 2.2 Comparison of characterization methods ......................................................... 25

3 Detailed schedule of experimental part and test plan .............................................. 25 3.1 Selected etching parameters ............................................................................. 26

4 Experiments, sample fabrication and characterization............................................ 26

4.1 Lithography ...................................................................................................... 26 4.2 Etching .............................................................................................................. 27 4.3 Wafer preparations before characterization...................................................... 33

4.3.1 Dicing ........................................................................................................ 33 4.3.2 Molding ..................................................................................................... 33

4.3.3 Grinding .................................................................................................... 33 4.3.4 Polishing .................................................................................................... 33

4.4 Characterization results .................................................................................... 34

4.4.1 Selected method and equipment ............................................................... 34

4.4.2 Measurements ........................................................................................... 35 4.4.3 Smoothness analysis ................................................................................. 37

5 Discussion and conclusions .................................................................................... 39

References

Appendix

Page 4: Final Report Project #28 Optimization of Bulk

3

Preface

When a combination of integrated circuit manufacturing and micro machining processes, in which

material is formed by etching away micro layers is used to produce electrical systems implanted

with micro mechanical devices, it results in the formation of Micro Electro Mechanical System

(MEMS). MEMS consists of mechanical elements, sensors, actuators, and electrical devices on a

substrate. Silicon is the most common material used in MEMS owing to its semiconductor,

physical and commercial properties. [1] MEMS have numerous applications in the fields of aerospace, automotive and biomedical

engineering as well as wireless and optical communications. Micro-mirrors, gear trains, optical

scanners and pressure sensors are various types of MEMS devices. MEMS can consist of a

combination of components in various scales such as Nano, Micro, and Milli. Pressure, chemical

and inertial sensors (accelerometers, gyroscopes) are the most commonly used sensors in MEMS.

These sensors require actuation in order to perform their desired function. Electrostatic, thermal

and magnetic methods are the most frequently used actuation methods while for the last few years

piezoelectric actuation methods are on the rise. Piezoelectric actuation offers many advantages

over other methods but currently its implementation is quite challenging. [2] This project work contained two parts: theoretical and experimental. In the theoretical part,

various actuation methods using different sources such as books, papers and patents were

investigated and compared. The performance of the methods, different structures and their

fabrication techniques were the key points that were studied. Afterwards different micro-

fabrication etching methods were investigated that were possible to use in the fabrication of an

in-plane piezoelectric actuator. At the end, different key parameters were considered that could

be used to characterize the etching results.

The main goal of the project was to find a suitable etching method that can be used to reduce the

roughness of the material side-walls. In the experimental part, various etching methods such as

Reactive Ion Etching (RIE) and Deep Reactive Ion Etching (DRIE) which were studied and

compared in detail were checked in terms of their practical feasibility. Different parameters such

as the gas flow rate, pressure, operating temperature and power were taken into account to find

the most suitable method. By keeping in view the available facilities RIE was selected as the

method to be done in the laboratory. RIE was used in the preparation of the wafer, afterwards

different parameters were varied and results were measured to check the nature of the material

side-walls.

The experimental paper consists of five chapters. Chapter 1 introduces the different types of

etching methods such as wet and dry etching. Chapter 2 gives a detailed explanation about the

parameters that can be used for the characterization of the etching results. Detailed schedule of

test plan and experimental part are presented in Chapter 3. Chapter 4 details the results of

experiments carried out in the laboratory. At last, the conclusions based on results are summarized

in Chapter 5.

Page 5: Final Report Project #28 Optimization of Bulk

4

1 Theory and pre-laboratory investigations

1.1 Etching Methods:

In microfabrication, materials on the surface of the wafer are eroded and attacked chemically

and/or physically by etchants during the manufacturing. The etching process might have many

etching steps. The material which is not want to be etched is protected by a mask which prevent

etchant from affecting in unwanted areas. Often the mask is made from photoresist material and

it is patterned by photolithography. In harsh conditions, where normal masks are not suitable,

more resist masks are used (so called hard masks) or etching is done without masks. In photolithography (or optical lithography or UV lithography) photomask and UV light

(produced by UV lamps or lasers) is used to expose the wafer with photosensitive film (also

known as photoresist). By UV-light it is possible to form a photoresist patterns and open areas on

the underlying material which can be then for example etched away. [3] Photolithography has the following steps: Surface is prepared for lithography by baking and priming (or so called adhesion promotion). In

baking step absorbed water is removed and in priming step wafer comes hydrophobic so it protects

the wafer from the cleanroom humidity variations. After preparations, the resist is applied on the wafer by spin coating. The resist can be positive or

negative resist. First a few milliliters of resist are applied on the wafer and then wafer is rotated

so that resist is spreaded over the wafer. Typical resist thickness on the wafer is 1 um. The spin-

coated resist is then usually baked in an oven or hotplate in carefully selected temperature. In

Figure 1 below is shown the spin coating process:

Figure 1: Spin coating process in lithography [3] The resist-covered mask is inserted into the tool, which works as a mask aligner. This tool is also

used to exposure the photoresist by UV-light. If the wafer and the photomask has intimate contact,

the photolithography is so called contact lithography. If there is a gap (for example size of 3 - 50

um) between wafer and mask, lithography is called proximity lithography. [3]

Page 6: Final Report Project #28 Optimization of Bulk

5

After UV-light exposure, the wafer is ready for etching. After the etching process, the rest of

photosensitive film is removed from the wafer. In the following figure 2 can be seen

photolithography steps:

Figure 2: Photolithography process. [3] Etching methods can be divided roughly in two categories: wet etching and plasma etching (or so

called dry etching). Both etching methods have a same procedure: first the etchants are transported

to the surface by diffusion or flow and then etchant reacts with the surface. Finally, the product

species are removed by diffusion or flow. The basic reactions in wet and plasma etching can be presented by the following formulas: Wet etching: liquid etchant + solid → soluble products

Plasma etching: gaseous etchant + solid → volatile products

Both etching methods, plasma and wet etching, can be also divided into isotropic and anisotropic

etching. In isotropic etching the etchant etches into the surface of the substrate horizontally and

vertically. Anisotropic etching profile is more vertical or totally vertical. Most of the wet etchants

results in an isotropic profile, accept potassium hydroxide (KOH), which is anisotropic wet

Page 7: Final Report Project #28 Optimization of Bulk

6

etchant for silicon. That’ is why the wet etchants are not usually used when the directionality is

important. In plasma etching, the profile can be isotropic or anisotropic, depending on used

etching parameters Anisotropic etching can be used to create fine structure fabrication. Isotropic

etching can’t be used in fine structure fabrication, because the isotropic etching results

undercutting in the material below the mask. This is sometimes desirable but often it is not. This

phenomenon can be prevented by using the larger mask than desired width. [3] In the following chapter, wet and dry etching methods are presented more specifically. In this

project, the main goal is to results anisotropic and smooth sidewalls in silicon. Both dry and wet

etching can be used in this purpose. However, in this project we focus on dry etching, due to

available time and its easiness compared with wet etching. During the wet etching, large number

of chemicals are used and it requires better knowledge of chemistry and safety. For that reason,

wet etching was dropped out in this project.

1.1.1 Wet etching

In wet etching liquid etchants are used to remove material on the surface of the substrate. Almost

every material can be etched by wet etching except for example Gallium nitride (GaN) or

diamond. Wet etching can be divided into two categories: metal etching and insulator etching.

Metal etching is based on electron transfer and insulator etching on acid-based reaction. Wet

etching is done in tank, where is also temperature and heating controls. This tank is filled with

water and certain etchants. Wafers are immersed in that tank for a certain etching time. After

immersion, the wafers are transferred to rinsing. Wet etching can be also done by the spray tool

without immersing the wafer in liquid. The disadvantage of this method is that only one wafer

can be etched at once compared with immersion, where multiple wafers can be etched at the same

time. Advantage of spray method is that the needed number of chemicals is lower than in

immersion. Isotropic and anisotropic etching are both possible with wet etching. Typical anisotropic wet

etchants in silicon and silicon dioxide etching are potassium hydroxide (KOH),

tetramethylammonium hydroxide (TMAH) and ethylenediamine pyrocatechol (EDP). In

anisotropic etching the etch rates depends on different silicon crystal planes. For example, KOH

etches 200 times faster silicon (100) crystal planes than silicon (111) planes. Wet etching can be

done with or without the mask and the etchants are selected based on this. [3, 4] The comparison between KOH, TMAH and EDP etchants can be seen in the table below.

Tetramethylammonium hydroxide (TMAH) and ethylenediamine pyrocatechol (EDP) are weak

anisotropic etchants. This can be seen from etch rate ratios for example between (100) and (111)

orientations. Potassium hydroxide (KOH) is strongly anisotropic. In this project the selectivity of

(110)/(111) orientations needs to be very high. From table 1 can be seen that KOH has the highest

etch rate ratio (600), which means high selectivity.

Page 8: Final Report Project #28 Optimization of Bulk

7

Table 1: Typical anisotropic wet etchants and their etch rates ratios, etch rates, disadvantages and

advantages. [5]

Most common isotropic wet etchants for silicon are a mixture of different acids, for example

mixtures of nitric acid (for example Hydrofluoric Nitric Acetic (HNA), used with silicon),

hydrofluoric acid (HF, usually used with silicon dioxide) and acetic acid. Etch rates can be

controlled by using different concentrations of etchants. In the following Table 2 advantages and disadvantages of anisotropic and isotropic wet etching

can be seen: Table 2: Advantages and disadvantages of isotropic and anisotropic etching [6]

Isotropic Wet Etching Anisotropic Wet Etching

Advantages High selectivity Simple Low cost

Orientation specific etching (can be also

disadvantage, depending on application) Etch rates can be controlled Specific and smaller etch patterns Low cost

Disadvantages Contamination Pollution Extremely dangerous

(especially Hydrofluoric Acid

HF) Etch rates varies highly by

etchant concentration and

temperature

Etch rates varies by etchant concentration

and temperature Undercutting Contamination

1.1.2 Dry etching

In dry etching, the material on the surface of substrate material is etched by the etchant gases or

plasmas instead of liquid etchants. The etching reactions are based on chemical reactions

(chemical dry etching, vapor phase etching) and/or high kinetic energy of the particle, like the

electron, photon or ion beams (physical dry etching, ion bombardment). With dry (anisotropic)

etching it is possible to etch devices with high packaging density. The most common dry etching methods are plasma based methods like Reactive Ion Etching

(RIE) and Deep Reactive Ion Etching (DRIE). In this work, RIE will most likely be used. Other

dry etching methods are for example ion beam etching (IBE), chemically assisted ion-beam

etching (CAIBE), reactive ion-beam etching (RIBE), magnetically enhanced ion etching (MIE),

Page 9: Final Report Project #28 Optimization of Bulk

8

magnetically enhanced reactive ion etching (MERIE), plasma etching (PE) and barrel etching.

These methods are not included in this experimental paper. [3,7]

1.1.1.1 Reactive Ion Etching (RIE)

Reactive Ion etching (RIE) is a dry directional etching process which utilizes ion bombardment

to remove material from the surface. RIE method is mostly preferred for etching in the vertical

direction as it provides a much stronger etch, while giving the flexibility for directional etching.

RIE is a combination of chemical (reactive) and physical (bombardment) processes. It uses

chemically reactive plasma to remove material deposited on wafers. Plasma is initiated in the system by applying a strong RF electromagnetic field to the wafer platter.

In each cycle, the electrons are accelerated by a strong electrical field between the electrodes. The electrons are accelerated upwards and downwards in the chamber, as a result of which the

electrons occasionally collide with the walls of the chamber and the wafer plate. During these

collisions, many large ions move very little in response to the RF electric field. The electrons that

flow in the upward direction are absorbed by the chamber walls and are released out of the ground.

While, the electrons that are deposited on the wafer plate builds up a negative charge on the plate

due to the DC isolation. Due to the presence of large number of positive ions compared with free

electrons, the plasma develops a slightly positive charge. Because of the large voltage difference,

the positive ions are attracted towards the wafer plate, where they collide with the samples to be

etched. The ions react chemically with the materials on the surface of the samples, resulting in

the etching of the material. Masks are used to cover the parts of the sample that do not need

etching. Various process parameters, like pressure, gas flows, and RF power effects the RIE

process. [8] There are different etching gases that suit for different situations. Silicon is easily etched by

halogens: fluorines, chlorines and bromines. [3,9] Highly anisotropic, high resolution and

enhanced structure are few advantages of the RIE process while low etch rate, low level of

selectivity and surface damages are the few disadvantages.

Figure 3: RIE setup. [9]

Page 10: Final Report Project #28 Optimization of Bulk

9

RIE processes make etching independent of the crystal orientation possible. The etch rates

achieved in RIE processes are also higher than those of the most wet chemical etchants. RIE is

mainly used for surface processing, whereas the ICP is fitted with a deep silicon trench etch

process. The DRIE process is high rate anisotropic silicon etch process using fluorine based gases. It is

dry etch micromachining method, where high density plasma (HDP) and inductively couple

plasma (ICP) are used. Deep trenches of high aspect ratio and 90° sidewall angle can be achieved

using a low-pressure, high density plasma source. Vertical sidewalls, fine resolution and high

aspect ratio are few advantages of the DRIE while the use of high plasma power, single wafer at

a time and specialized hardware are the few disadvantages. [10] DRIE can be mainly divided into two categories: Bosch process: This process was developed by German company - Robert Bosch in 1994. Cycling two-steps

process occurs between deposition and etch steps. [10] First step is the standard isotropic plasma

etch process while the second step consists of passivation layer deposition. In this process, a

fluorine based plasma chemistry combined with a fluorocarbon plasma process is used to etch

silicon and to provide sidewall passivation and improved selectivity to masking materials. A

complete etch process travels between etch and deposition steps many times to achieve deep

vertical etch profiles. The process depends upon the source gases being broken down in a high-

density plasma region before reaching the wafer, which has a small but controlled voltage drop

from the plasma. This technique cannot be performed in reactive ion etch systems (RIE), as these

have the wrong balance of ions to free radical species. Fast pumping, fast response mass flow

controllers, separation between wafer and ICP region, short mixed gas line and high efficiency

wafer cooling are the fundamentals of a good Bosch etching system. [11] Cryogenic process: It is a single step process in which etch gas and passivation gas are released at the same time. This

process is done at cryogenic temperature i.e. <-110°C [10]. This process uses SF6 to provide

fluorine radicals for silicon etching. The silicon is removed in the form of SiF4. The main

difference between Bosch and Cryogenic process is mask protection and in the mechanism of

sidewall passivation. Rather than using a fluorocarbon polymer, this process relies on forming a

blocking layer of oxide/fluoride (SiOxFy) on the sidewalls together with cryogenic temperatures.

The low temperature operation also assists in reducing the etch rate of the mask material, which

is normally either photoresist or silicon dioxide. [12]

1.1.1.2 Photoresist and lithography

Photoresist mask is the most common mask and can be used for RIE. However, during etching,

the photoresist mask is slowly consumed. If the etching deepness is under 100 µm, 1 µm

photoresist mask should be enough. [13] DRIE, on the other hand, has some problems with photoresist masks. Photoresist masks have poor

selectivity compared to hard masks which means deep etching needs thick photoresist mask.

However, thick photoresist masks have some cracking issues: >1.5 µm photoresists are prone to

Page 11: Final Report Project #28 Optimization of Bulk

10

cracking. Table 3 shows the cracking of five different photoresists during cryogenic DRIE. [13,

14] Table 3. Cracking of photoresist during cryogenic DRIE. [13]

Hard masks do not have cracking issues because their coefficients of thermal expansion are close

to silicon’s coefficient of thermal expansion. Three hard masks were tested in study [13]: silicon

oxide (SiO2), aluminum (Al) and aluminum oxide (Al2O3). None of them suffered cracking.

However, mask material did effect on surface quality. The aluminum mask caused micromasking

and formation of silicon “grass” under highly anisotropic etching conditions at the bottom of the

etched surface while Al2O3 led to smooth results (Figure 4). Silicon oxide also leads to excellent

quality. Selectivity of SiO2 is about 150:1 which is high in comparison with the photoresist mask.

Selectivity of Al2O3 is 32:1 for pure SF6 inductively coupled plasma but the study achieved the

selectivity of 66 000:1 with SF6/O2 plasma. Conclusion: For RIE, photoresist mask is fine. For DRIE, if the etching is shallow enough (<100

µm), a thin (<1 µm) photoresist mask should be enough. If we need to etch deeper, hard mask

should be chosen. Both silicon oxide and aluminum oxide are viable materials but silicon oxide

has lower selectivity which means a thicker mask is needed. .

Figure 4. Effect of masking material on the quality of etched surfaces. a) Al, b) Al2O3 [14]

Page 12: Final Report Project #28 Optimization of Bulk

11

1.1.1.3 Parameters in RIE and DRIE and how they affect to etching

results

In Micronova, there are two different RIE etchers: inductively coupled plasma etcher Oxford

Plasmalab 100 and reactive ion etcher Oxford Plasmalab 80. Both etchers can be used to etch

silicon. In the following table 4 is presented the key specifications of these two etchers. Table 4: The key specifications of Oxford Plasmalab 100 and 80. [15, 16, 17]

Inductively Coupled Plasma

Etcher Plasmalab 100 Reactive Ion Etcher Plasmalab 80

Power sources Two sources: - ICP source 2 kW - CCP source 300 W

RF power: 20 - 250 W

Etch and process gases - BCl3, Cl2, SiCl4, SF6, H2 and

O2/N2/Ar SF6, CF4, O2, Ar and CHF3

Operating Temperature From - 150 °C to + 400 °C -

Typical etch rate for silicon

/ maximum etch rate Typ. 2 - 3 μm/min / max. 8 μm/min 300 nm/min

Substrate size Max. 100 mm wafer Up to 240 mm, optimized

for 100 mm

Pressure range 1 - 100 mtorr 5 - 250 mTorr

In this project work, there is a need for suitable etching parameters which can be used in the

process. In this chapter, the main processes parameters, for example etch gases and their flow

rates, temperatures, pressures and power of sources are briefly explained. Etching gases, gas flow rate and gas compositions Reactive ion etching process is an anisotropic process due to directional ion bombardment and it

is not dependent on crystalline structure. For silicon, suitable etching gases are fluorines, chlorines

and bromines (halogens). Fluorine is more reactive gas on silicon than chlorine and bromine. This

is due to fact that fluorine radicals react strongly with silicon while chlorine and bromine radicals

don’t etch silicon spontaneously. When fluorine etchant gases (for example SF6 and CF4) are used,

fluorine radicals might etch also sidewalls and make the etch profile less anisotropic. This can be

prevented by using less reactive etchants or passivation layer, which are protecting the sidewalls.

Advantage of more reactive etchant gases is that the etching rate is higher. [13] In deep reactive ion etching processes (cryo and Bosch) inductively coupled fluorine based

plasmas are used. To achieve anisotropy, passivation layers are used. In Bosch process passivation

is separate process step while in cryo-process passivation and etching occurs at the same time. By

cryogenic-process it is possible to produce smooth sidewalls. [13]

Page 13: Final Report Project #28 Optimization of Bulk

12

Typical etchant gases are presented in the following table 5. Under the table are also brief

description of used gases in etching process for silicon. Also, effects of gas flow rates,

temperature, system power and pressure are briefly explained. Table 5: Examples of different solids and etch gases and their products. [18]

Sulfur hexafluoride (SF6): The most typical feed gas in generation of active species which is

used in silicon etching. Sulfur hexafluoride forms positive and negative ions (SF5+ and F-) and

neutral fluorine radicals (F*). Oxygen (O2): Oxygen is used in cleaning in the plasma ashing, which is removing the photoresist

(carbon based) from an etched wafer. Oxygen also removes organic matter and the contaminant

from the wafer. It can be also used as an etchant in combination with other etchant gases. In this

case, oxygen can be used for example with sulfur hexafluoride (SF6) or carbon tetrafluoride (CF4).

The mixture of these gases results a silicon oxyfluoride (SiOxFy) passivation layer. By adding or

removing the amount of oxygen (more specifically oxygen flow rate) it is possible to effect on

the etch rate. In the other hand, using too much oxidation might result over passivation. [19] Argon (Ar): While oxygen is capable of surface modification, argon is used only in surface

cleaning. Because oxygen oxidizes some materials (silver, copper etc.), argon is used instead of

it. [19] Carbon hydro trifluoride (CHF3): can be used to produce passivation layer when fluorine

contain plasma is used. Hydrogen is used to catalyze for example CF, which is polymeric

precursor and it forms HF with fluorine radicals, which decreases reactions with sidewalls. [13] Etchant gas flow effects on the etch rate of silicon. For example, in case of SF6, higher SF6 flow

rate and power of plasma produces more fluorine radicals and thus silicon etch rate increases.

Especially in Bosch process where higher temperatures and separate passivation and etching steps

are used, extremely high speed mass flow controllers are required. [13] Temperature is the one of the main parameters in the etching process and it affects in many ways

to the etching results, for example, selectivity, the etch rate and the profile are all dependent on

substrate temperature. Temperature also affects the reactions during the etching, for example the

probability of radicals to react with sidewalls is temperature dependent. Temperature also affect

on production of the passivation layer and its quality in case of using the

tetrafluoromethane/oxygen (CF4/O2) gas mixture. The passivation layer is more stable in

cryogenic temperatures (T < - 100 °C), but lower temperature can cause also over passivation

Page 14: Final Report Project #28 Optimization of Bulk

13

with the high oxygen flow rate and a low bias voltage. Higher temperatures produce more

isotropic etching profile. One option to enhance the anisotropic etching is to use helium backside

cooling which prevents radicals to react with sidewalls. [20] Power of plasma and substrate: affects highly to etch rate of silicon. [13] Plasma pressure affects to selectivity of silicon oxide and silicon, anisotropy and etch rate. [21] Figure 5 contains process parameters and how they effect on etch rate, profile, selectivity and

sidewall roughness. In our project, it is important to result in the anisotropic etching profile with

smooth vertical sidewalls. From Figure 5 it can be seen that to achieve smooth sidewalls, etch

rate needs to be small (less etch gas) and pressure and etch coil power need to be low.

Figure 5: General process trends for controlling process results. [18]

1.1.2.4. RIE etching parameters examples

The etching parameters which were used was selected based on a few found studies, which are

presented in this chapter. The main criteria for the parameters was that they are suitable for Oxford

Instruments PlasmaLab 80 RIE etcher (see table 4 in page 10 above). Used etcher limited also

available power and temperature range selection, for example cryogenic temperatures were not

available in our project. It was also known that PlasmaLab 80 RIE etcher was not optimal to etch

deep structures. Micronova has another etcher, PlasmaLab 100, which is inductively coupled

plasma etcher (ICP-RIE etcher) and more suitable for etching deep structures. Unfortunately,

during this etching process the etcher was out of use due to malfunction.

Process parameter study example 1 This process parameter example is based on article “Anisotropic Si deep beam etching with

profile control using SF6/O2 Plasma” (Zou, H) [22]. In this study, a single crystal silicon etching

was done by traditional reactive ion etcher by using SF6 and O2 as process gases. Also, PlasmaLab

80+ was used in this case. Study was focus on how oxygen concentration and system pressure

Page 15: Final Report Project #28 Optimization of Bulk

14

effect on the etch profile and rate. Table 6 contains parameters, which were used in this study.

Presented parameters were suitable for our case too, because parameters are available to use in

PlasmaLab 80 which is etcher used in this project. These parameters are also resulting in the

anisotropic etching, with is desirable in our case. Table 6: Parameters used in study example 1. [22]

Process parameter study example 2 This example is based on Pierpaolo Spinelli’s doctoral dissertation “Light trapping in solar cells

using resonant nanostructures” [21]. In this study silicon was etched by using SF6 and CHF3 as a

process gas. Also, PlasmaLab 80+ plasma etcher was used in this study. First the variation between pressure and power in the system was studied while gas (CHF3, SF6

and O2) concentrations were constant (case 1). Secondly, the variation of SF6 and O2

concentrations was studied while CHF3 concentration was constant (case 2). The main

conclusions of this study were that plasma pressure affects to SiO2 and Si selectivity, anisotropy

and the etch rate. Also, system power has an impact on the etch rate. In this study, it was also

noticed that presence of CHF3 gas yields smoother surfaces after etching but also it decreases

selectivity of Si and SiO2. Also, presence of SF6 increases etch depth and presence of O2 creates

a passivation layer on the vertical Si surface, which prevents plasmafrom etching along the

horizontal directions. In Tables 7 and 8 below is presented used parameters in this study. Some of the parameters are

not suitable in our case, for example in table x power 350 W due to our equipment own power

limits (maximum power is 250 W). Table 7: Case 1 parameters

CHF6 17 sccm

SF6 20 sccm

O2 14 sccm

Plasma Pressure 20, 60 and 100 mTorr

Power 150, 250 and 350 W

Page 16: Final Report Project #28 Optimization of Bulk

15

Table 8: Case 2 parameters

CHF6 25 sccm

SF6 15 sccm and 25 sccm

O2 No O2 and O2 with 10 sccm flow rate

Plasma Pressure 7 mTorr

Power 150 W

Process parameter study example 3 This study example is based on study “Anisotropic Reactive Ion Etching Using SF6/O2/CHF3 Gas

Mixtures” (Jansen, H et al.) [23]. In this study gas (SF6, O2 and CHF3) concentrations, pressure

and power varied and their effect on anisotropy and surface smoothness was studied. From the following tables, can be seen different test runs and used parameters. In Table 9 is

presented variable settings which were used in this study. In Table 10 is shown used variables in

each test runs and in Table 11 the test results. In our project, the main goal is etching smooth and

anisotropic (when A is close to 1, etching results in vertical sidewalls) etching profiles. In Tables

10 and 11 test runs which are suitable for this project are marked with red boxes.

Table 9: Variable settings in study example 3. [23]

Page 17: Final Report Project #28 Optimization of Bulk

16

Table 10: Test runs and used variables in study example 3. Suitable test runs for this project are

marked with red boxes. [23]

Table 11: Test results in study example 3. Suitable test runs for this project are marked with red

boxes. [23]

Page 18: Final Report Project #28 Optimization of Bulk

17

As a summary, in table 12 is shown usable parameter variables in our project. Also, selectivity,

etch rate, surface smoothness and anisotropy is shown in the same table. Table 12: Summary of suitable parameter variables based on study example 3.

Run

no. SF6

[sccm] O2

[sccm] CHF3

[sccm] Pressure

[mTorr] Power

[w] Etch rate

[um/min] Anisotropy Surface

7 20 14 17 60 140 0.42 0.980 Smooth

8 40 14 17 60 60 0.31 0.960 Smooth

16 40 14 17 140 140 0.58 0.940 Smooth

27-

32 30 10 12 100 100 0.43 -

0.45 0.94 -0.97 Smooth

In a project meeting we decided to use process parameters which was used in example 3 with a

few modifications. The main criteria for the selected parameters was that they produce highly

anisotropic and smooth vertical sidewalls. The selected parameters are explained more in chapter

“3.1 Selected lithography and etching parameters”.

1.1.2 Comparison of wet and dry etching methods

From the following Table 13, can be seen the comparison between wet and dry etching. Table 13: Wet and Dry Etching [7]

Parameter Wet Etching Dry Etching

Materials that can be etched Almost all materials Only certain materials

Etch rate Fast (typical 1 um/min) Slow (0.1 um/min)

Linewidth control Poor Very good

Selectivity Can be very high Poor

Radiation damage No damage Might be severe

Chemical cost High Low

Equipment cost Inexpensive Expensive

Page 19: Final Report Project #28 Optimization of Bulk

18

1.2 List of etching parameters and concepts

Selectivity: It is the ratio of etch rates in two materials. When selectivity is high, underlying

material stays unharmed during the etching and when it is poor, etch removes both materials: the

top layer and underlying material. For example, when two similar materials are etched, selectivity

can be very poor and etchant works similarly in both materials. When selectivity is very high,

underlying material works as an etch stop: etching stops entirely when material is reached. High

selectivity is often very important in etching processes. Etch bias: Etched depth in underlying material, for example, isotropic etchant has a large etch

bias. Etch rate and time: Etch rate = Etched depth / etch time (e.g. µm/min) Linewidth (or Critical Dimensions; CD): Deviation in etching results compared with designed etching results [%]. Especially critical in the

integrated circuits. Profile: The profile of the etching result, measured from a top view of the object by SEM or optical

measurements. Temperature and etchant concentration in wet etching: Temperature and etchant concentration are the main parameters during the etching process. By

heating and higher concentration, it’s possible to enhance the etching. Used temperature can also

limit usage of photoresist materials as a mask. Passivation layer: In dry plasma etching, passivation layer is used to enhance process anisotropy

because it enhances directionality of the etching. Isotropic and anisotropic etching:

Figure 6: Isotropic and anisotropic etching profiles. [4] The anisotropy A can be defined by the following formula:

𝐴 = 1 − 𝑉

𝐻 (1)

Where H is denoted as the etch depth and V as a maximal undercut of the mask or lateral extension

of the sidewall. When A is one, no mask undercut occurs and sidewalls are perfectly vertical. [23]

Page 20: Final Report Project #28 Optimization of Bulk

19

2 Characterization of Etching Results:

2.1 Characterization methods

In this work, the quality of the etched sidewalls is important and it needs to be characterized.

There are different characterization methods that can be used to find out the crystal quality of an

etched surface. Some of the most common methods are discussed in this chapter.

2.1.1 Characterization methods used in this project

In this project, the main usable characterization methods are scanning electron microscopy

(SEM), transmission electron microscopy (TEM) and atomic force microscopy (AFM). These

methods are practical and easy to use, except TEM, which requires more preparation before the

characterization. For that reason and the lack of time TEM and AFM were dropped out from our

project, but these methods are presented in this chapter with SEM. Also, other characterization

methods are presented briefly although they are not so suitable for our purposes.

Scanning electron microscopy (SEM) Scanning electron microscopy (SEM) is a basic electron microscopy technique. Instead of light,

SEM is based on the electron beam which is used to scan a sample to produce an image of the

sample. With this technique, it is possible to get information about microstructural or chemical

composition of the sample. SEM is used widely for example in nanotechnology, because it has

much better resolution (around 1 nm at its best) than traditional light based microscopes. This is

due to the shorter wavelengths of electrons compared with light wavelengths. [24] Structure of scanning electron microscope is shown in Figure 7. It consists of an electron gun,

anode(s), a series of electromagnetic lenses, coils for scanning and apertures. Samples are placed

in sample stage which in inside of the vacuum chamber. In the vacuum chamber, there is also X-

ray and scattered electron -detectors. Microscope has electronics for signal processing which is

used to display graphs and images on a monitor. [24]

Figure 7: Structure of scanning electron microscope

Page 21: Final Report Project #28 Optimization of Bulk

20

In SEM system electron gun is used to produce a high current and small spot size electron beam.

Electron guns are divided typically in two main types which are thermionic and field-emission

electron guns. Thermionic electron gun is based on a heated filament, which emits electrons which

are then accelerated toward the anode. The field-emission electron gun is based on very sharp and

single-crystal tungsten tip, which is used to extract electrons. In this type of electron gun, two

anodes are used. First anode is used to regulate field strength and emission current at the tip and

another anode is used to accelerate the electrons to their final operating voltage. With the field-

emission electron gun it is possible to produce much higher light source and lower energy

dispersion than wit thermionic electron gun, but the thermionic gun can be used without ultra-

high vacuum in contrast to field-emission gun where high-vacuum is required. The electromagnetic lenses are used to focus and demagnify the electron beam. These lenses

consist of soft-iron shell whose inside contains copper coils, which generates a magnetic field.

By changing a field strength, it is possible to change focal point and change spot size. To get high

resolution it is very important to reduce the diameter of the electron beam. In addition to lenses,

apertures are used to exclude scattered electrons and control spherical aberrations. Electron beam

scanning is produced by scan coils, which are used to move the electron beam along the x- and y-

axis over the surface of the sample. Therefore, with scan coils and detectors it is possible to create

a point-to-point image of the sample. After the electron beam is produced by the electron gun, lenses and apertures, the beam is

interacting with the sample, which is inserted in the sample stage in the vacuum. In this

interaction, mainly two processes are involved: inelastic scattering and elastic scattering (Figure

8 a). In Figure 8 b) the different zones of signal emissions are shown. [24]

Figure 8: a) Elastic and inelastic scattering and [25] b) different zones of signal emissions [24]. In elastic scattering the beam electron hits a sample’s outer-shell electrons or atomic nucleus. In

this collision, the electron has negligible energy loss, but the directionality of the electron changes.

These electrons are called the backscattered electrons (BSE) if the directional change is more than

90 ° and they produce a useful imaging signal. Number of backscattered electrons are dependent

on atomic-number of sample atoms: if the atom is high atomic-number, the collision produces

more backscattered electrons and hence higher signal. That is why BSEs are used to detect

different chemical compositions.

Page 22: Final Report Project #28 Optimization of Bulk

21

In inelastic scattering the most of the energy is transferred to a sample electron. In this case, low

energy secondary electrons (SE) are ejected from the sample when the electron beam hit the

sample. The atom is ionized when the secondary electron is ejected from inner orbital. This results

in a vacancy, which is filled by the electron from outer-shell and after this X-ray photon or another

electron (so called Auger electron) is emitted. Auger electron and X-ray photon which are emitted

and then detected, can give chemical information about the sample. The number of emitted

secondary electrons are independent of the atomic-number. Even though SEM is the most useful characterization tool in nanotechnology it also has

limitations. The main limitations of SEM are lens aberrations, imaging of the insulating samples,

radiation damage and contamination. Lens aberrations might cause problems in focusing the beam and it might affect on the diameter

of the beam. This affects also directly to resolution. These aberrations can be so called spherical

and chromatic aberrations or astigmatism. The spherical aberration has occurred when the

electrons are focused differently depending on where they entered in the lens. This can be

prevented by using apertures. The chromatic aberration means an energy spread of the electrons

in the primary beam. This can be also prevented by a smaller aperture. Asymmetries in the

magnetic field and contamination on lenses or apertures might cause astigmatism, which effect

on the focal lengths and the beam diameter. This can be fixed by using the stigmators. When the sample is bombardment by high-energy electrons, insulating the sample comes quickly

negatively charged, which makes sample imaging difficult. This can be prevented by sputter-

coating with a conductive material on the sample, which provides a ground plane for the electrical

field. The coating materials are usually platinum, palladium or gold. The bombardment of high-energy electrons might also cause radiation damage (for example

burning) on the surface of the sample. The damage will be worse if the beam is scanned over the

sample. This usually creates problems in scanning very soft materials. This can be avoided by

adjusting the strength of the beam. Also, contaminations can affect on the imaging results. Main sources of contamination come for

example from atmospheric particles and finger marks of the user. This needs to be taken into

account while using SEM. [24]

Transmission Electron Microscopy (TEM) Transmission electron microscopy (TEM) is based on accelerated electrons which are interacting

with the sample under the examination, so it is a very similar technique as scanning electron

microscopy (SEM). The main difference between these microscopy technologies is that in TEM

imaging signals are obtained from electrons which are transmitted through the sample whereas in

SEM signals are obtained from scattered electrons. TEM is used excessively in nanotechnology,

because by TEM it is possible achieve 0.2 nm resolution and study chemical information of the

sample. TEM can be also used to study the composition, morphology and internal structure (for

example symmetry of crystals) of the sample. Similar to SEM, TEM also consists of almost the same components: the electron gun,

electromagnetic lenses, apertures sample stage and vacuum. Electrons which, are produced by the

electron gun, are accelerated in a vacuum. Used acceleration voltages are typically 80 - 300 kV.

After this electron beam is focused on the sample by apertures and electromagnetic lenses. The

Page 23: Final Report Project #28 Optimization of Bulk

22

most of the electrons don’t lose energy or change direction when they transmit through the

sample. The final image is usually detected by a sensor (for example charge-coupled device,

CCD) and displayed fluorescent viewing screen. Sample the need to insert into sample stage,

which is also sealed in the vacuum. There are few different type of TEM techniques. One of them is conventional TEM (CTEM),

which is an original form of TEM. It uses a stationary beam, which can be parallel or convergent.

The scanning transmission electron microscopy (STEM) differs from CTEM so that the electron

beam is used to scan over the sample. Scanning can be done for example by scanning coils as in

the case of SEM. Other modifications of TEM are for example low-voltage electron microscope

(LVEM) which uses lower acceleration voltages and cryo-microscopy where samples are imaged

in cryogenic temperatures. [24] Before using TEM, samples need to be prepared thin enough (about 20 - 100 nm), because sample

needs to be transparent for electrons. Depending on the sample, preparation can be made by

different ways as electropolishing (metals and alloys), mechanical polishing, ion beam thinning

or samples can be dispersed in a solution. Sample preparation can be destructive so it is need to

be done with care. The preparation can also take a lot of time, which is one disadvantage of using

TEM. [26] TEM has some limitations, which some of them are similar in case of SEM. The electron beam

can cause severe damage to the sample, which can make imaging difficult. Also, vacuum

environment differs from normal environment, which need to be taken into account in some

samples (mainly in biological samples). With TEM it is only possible to produce 2D-pictures (in

contrast to SEM, which can produce 3D-pictures) and the area which can be studied at once is

very small. This might cause problems and missed information from local points if it is assumed

that the small imaged part represents the whole sample. [24] In Table 14, the main differences of SEM and TEM are presented. Table 14: Differences of SEM and TEM. [24]

Scanning electron

microscopy (SEM)

Transmission electron

microscopy (TEM)

Detected electrons Scattered electrons Transmitted electrons

Imaged areas Surface of a sample Internal composition

Dimensions of picture 3D 2D

Number of samples which can be

analysed at once

Large Small

Resolution 4 nm 0.2 nm

Page 24: Final Report Project #28 Optimization of Bulk

23

Atomic Force Microscopy (AFM) Atomic force microscopy (AFM) is a very-high-resolution type of scanning probe microscope

that can reach a resolution of up to one tenth of nanometer. The operation principle is based on

scanning the surface of the sample with a flexible cantilever tip. While the tip moves across the

surface, the interatomic potentials force the cantilever to bounce up and down depending on the

surface. The motion of the cantilever is then measured. Figure 9 shows the basic setup of an AFM

system. [27]

Figure 9. AFM system. [27] AFM has three different scanning modes: contact mode, non-contact mode and the tapping mode.

In contact mode the probe is dragged across the surface and the up-down movement of the tip is

measured. This mode can damage the surface of the sample or even the tip itself but the resolution

is better than in non-contact mode. In non-contact mode the cantilever is vibrated close to its resonance frequency and the tip

oscillates just above the surface of the sample. Its resonance frequency and amplitude depend on

the distance between the tip and the surface. Either the resonance frequency or the amplitude is

kept constant via a feedback loop. The shape of the surface can then be measured by measuring

the change of the other non-constant parameter. This mode has a slow scan speed and the lateral

resolution is lower than in contact or the tapping mode but it does not damage the sample. In the tapping mode, the cantilever is also vibrated close to its resonance frequency but the

amplitude of the oscillation is 20-200 nm greater than in non-contact mode. However, in this

mode the tip makes contact with the surface in every oscillation cycle. The resolution is similar

to contact mode but does not damage the sample the same way contact mode does.

Page 25: Final Report Project #28 Optimization of Bulk

24

Sample preparation is rather easy in AFM when compared with SEM and TEM: scanning of non-

conductors (polymers) requires no special preparation. The possibility of radiation damage of the

sample is also non-existent because the scanning is based on molecular interactions. AFM produces direct 3D images of the surface of the sample with a lateral resolution of a few

nanometers and vertical resolution of less than 1 nm. AFM can also differentiate the type of

materials at the polymer surface. However, AFM is complex and susceptible to outside influences

like contamination of the surfaces and instrumentation control. [27]

2.1.2 Other characterization methods

Other characterization methods are listed below. These methods are not so practical in

this project and they might be more difficult to use.

Surface-enhanced Raman scattering (SERS)

● The sample is illuminated by laser beam and electromagnetic radiation is collected from

the spot with a lens and sent through a monochromator to CCD.

● Surface of the object is treated so that Raman scattering is enhanced.

● Allows the analyzation of the composition of a body

● Resolution: nanoscale, can even detect single molecules

● Non-destructive [28]

Ultraviolet-visible spectroscopy (UV-VIS)

● The sample is illuminated by UV-light which excites electrons into higher energy

levels. When electrons return back to lower energy states, light with different

wavelength is emitted and measured.

● Resolution: 1 nm

● Non-destructive [29]

X-ray diffraction (XRD)

● Based on illuminating the sample with X-rays and measuring the reflection.

● Suitable for crystal structures.

● Provides following information:

o Lattice parameters

o Misorientation of the lattice respect to the substrate

o Other lattice defects

o Lattice densities

o Strain, composition and thickness of the film

o Non-destructive

● Not so useful in this project. [30]

Page 26: Final Report Project #28 Optimization of Bulk

25

2.2 Comparison of characterization methods

From table 15 can be seen characterization method comparison where SEM, AFM and

TEM techniques are compared.

Table 15: Characterization methods comparison.

Technique Features Radiation

damage Best

resolution Sample preparation

SEM Surface topography Rarely

serious 4 nm Easy

AFM Surface topography None 0,3 nm Easiest

TEM Internal morphology, lamellar

and crystalline structures Severe 0,2 nm Difficult and time-

consuming

3 Detailed schedule of experimental part and test plan In Table 16, schedule of laboratory work for this autumn is shown. In September, our team is

focusing on theoretical part of experimental paper and selection of etching and characterization

methods. Also, the main parameters of etching and characterization are defined. At the beginning of the October, the training for the laboratory working starts. In this phase, we

will be trained to learn how to act in clean room and how we are using the machine which is used

in reactive ion etching. In our project, we are focusing on only in RI-etching due to lack of time.

The wet etching requires a large amount of chemicals and therefore it also requires more

experience of chemistry. For safety reasons, it is dropped out from our project. At the end of

experimental part, we get familiar with SEM which is selected as a characterization tool. TEM

and AFM are excluded from our project due to lack of time. At the end of October, we start

etching in clean room. This phase takes three weeks. After etching, characterization part starts on

November. This phase is planned to take four weeks. This phase includes sample preparations

and analysis of characterization results (for example SEM pictures). Table 16: Laboratory schedule in autumn 2016.

Page 27: Final Report Project #28 Optimization of Bulk

26

3.1 Selected etching parameters

In this project, five silicon wafers were etched. Wafers 1 - 4 had a silicon oxide layer on top of

the Si wafer as a mask. In Table 17 information is presented about wafers 1 - 4. Wafer 5 was a

dummy wafer, which didn’t have a SiO2 layer on top of the wafer. In table 18 below is shown

selected etching parameters, which are used in reactive ion etching. This table is based on study

example 3 which is presented in chapter 1.1.2.3 “Parameters in RIE and DRIE and how they affect

etching results”. In contrast to study example 3 some of the parameters were decided to keep

constant while SF6 flow rate and system pressure vary. Table 17: Properties of wafers 1 - 4, which will be RI-etched.

Properties of Wafers 1 - 4

Diameter 100 mm

Type n-doped

Orientation <100>

Resistivity 5 - 10 Ω-cm

Thickness of Si 525 μm

Thickness of SiO2 302 nm

Table 18: Selected parameters and their variations for reactive ion etching.

Run No. SF6 [sccm] O2 [sccm] CHF3 [sccm] Pressure p [mTorr] Power P [w]

1 20 14 17 60 140 W

2 20 14 17 140 140 W

3 40 14 17 60 140 W

4 40 14 17 140 140 W

4 Experiments, sample fabrication and characterization

4.1 Lithography

Wafer etching started first from lithography, which has several phases. First wafers were in

HMDS priming for 5 minutes at 145 °C temperature. Liquid Photoresist A2 5214E was used to

produce resist layers on top of the wafers. First wafer was inserted into the spinner (Spinner BLE

2F0817). A drop of photoresist was dropped on top of the wafer and spinning started (30 seconds

Page 28: Final Report Project #28 Optimization of Bulk

27

at 4 000 rpm). Nominal thickness of photoresist was 1.4 μm. After spinning there is also

possibility of unwanted air bubbles and particles, which might appear on the surface of the

photoresist. In this case, the resist needs to be taken care of by acetone and spinning needs to be

done once again. After spinning, residues of photoresist are cleaned from equipment with acetone.

Cleaning is the important phase, because dried photoresist flakes and particles can cause problems

to spinner users afterwards. After the photoresist was spread, the wafer was inserted into the hot plate (Unitemp GmbH HP-

220). Between the hot plate and wafer was set a dummy wafer to protect hot plate from chemicals.

The purpose of the hot plate was to make resist solid. The wafer was on the hot plate for 50

seconds. Soft baking can be also used to make resist to solid form, but it takes more time (about

one hour). After photoresist spinning, the wafer is ready for actual lithography. In our case wafers and mask

was inserted in Süss Microtec MA6/BA6 manual mask aligner. Used mask was made from plastic,

which has a lower resolution than the glass mask, but it is less expensive mask material. Vacuum

was used to hold the mask in its place. After the mask was set at its place, wafers were inserted

into the mask aligner. Microscope was used to check the right alignment of the wafer. Vacuum

was also used to hold the wafer in its place during the process.After alignment, the wafer was set

in contact with the mask. After this ultraviolet light (UV-light)) exposure started. The exposure

lasted a few seconds. After UV exposure, the wafers were transferred to the lithography development process which

finalizes the photoresist removal from UV-exposed areas. First wafers were set to Teflon coated

cassette and after this wafers were immersed in AZ 351B and DI-water dilution (1:5, 1400 ml of

AZ 351B and 7000 ml DI-water) for one minute. Afterwards wafers were rinsed in DI-water tank.

The rinse can be also done by DI-water gun. After rinse wafers was flushed by nitrogen gas.

Finally, wafers were set to rinse drier (Sitek) for a couple of minutes. Rinse drier also measures

the resistivity of water during the drying process. Resistivity of water tells amount of chemicals

which have left the wafer. After development process wafers were first set at their original cassette and then transferred to

hard baking, which was done in hot plate (Unitemp GmbH HP-220). Temperature of hot plate

was set to 120 °C and wafer was on the hot plate for 50 seconds. Hard baking was used in this

case, because in RIE there is a need for harder resist.

4.2 Etching

After lithography wafers were checked by profilometer (Dektak /XT Bruker) to check that

lithography was successful. Profilometers working principle is based on the tip, which scans the

surface of the wafer from selected areas. First the depth of UV-exposed areas was measured from

all wafers. In Table 19, below the measurement results are shown. The 5th wafer was measured

five times to be sure about the selectivity of resist.

Page 29: Final Report Project #28 Optimization of Bulk

28

Table 19: Measured depths of UV-exposed areas.

Wafer No. Measured depth [nm]

1 1265

1 1285

1 1262

2 1344

3 1273

4 1342

5 1342

5 1325

5 1317

5 1331

5 1339

After initial measurements, 5th wafer was etched by Oxford Instruments Plasmalab 80 RIE etcher.

In this etching case, the 5th wafer worked as a test wafer and etching parameters were selected

according to the worst selectivity case (test case no. 1 in page 24). Selected step time was 5 min,

temperature 15 °C, DC bias 304 V and valve position 33 °. After etching, 5th wafer was measured

again by profilometer. In table 20 below is shown measurement results. Table 20: Wafer no. 5, selectivity check. Process parameters according to the test case no. 1 in

page 24 (CHF3 = 17 sccm, SF6 = 20 sccm, O2 = 14 sccm, Power = 140 W, pressure = 60 mTorr).

Measurement No. Measured Depth [nm]

Silicon + photoresist

1 1945

2 1844

3 1832

4 1871

5 1990

From measurement results, can be seen that etch rate is smaller than expected, but it seems that

wafer was etched enough. Smaller etch rate might be also explained by the lower power level

(140 W), which was used during the etching.

Page 30: Final Report Project #28 Optimization of Bulk

29

When the 5th wafer was measured by profilometer, the wafer was transferred to the wet bench to

remove resist from the top of the wafer. First the wafer was inserted into UV-assisted tank with

100 % acetone. Ultrasound was used shake wafers in acetone. Usually, wafers are in this acetone

tank for ten minutes but in this case wafer was hold in a tank a little bit shorter time. After

ultrasound-assisted acetone tank wafer was transferred to another acetone (100%) bath and finally

to the final bath of 100 % isopropanol (IPA). After this wafer was also flushed with DI-water

gun and dried with nitrogen. After resist removal, the 5th wafer was measured with profilometer

to see how much the actual silicon was etched from the wafer. In Table 21 is shown the measured

depths of etched silicon. Table 21: Measured depths of etched silicon on wafer no. 5

Measurement No. Measured depth [nm] Silicon

1 945

2 953

3 1063

From table, can be seen that the silicon on the wafer were etched about 1 μm, which is below

from target etch depth (3 μm). Selected etching parameters was etching also a photoresist quite

much but overall the measurement results were quite good in this case. After the test wafer, no 5 was etched and measured, wafer no 1 was inserted into PlasmaLab 80

RIE etcher for a silicon dioxide etching, which is used as a mask on top of the silicon. Wafer no.1

was etched by following etching parameters:

● Step time: 9 minutes ● CHF3: 25 sccm ● Ar: 25 sccm ● Power: 200 W ● Pressure = 30 mTorr.

After wafer no. 1, the silicon oxide layers of wafers 2 - 4 were etched also with the same etching

parameters. After etching the wafers were transferred to profilometer. From the measurement

results, can be seen that silicon oxide layer was removed. In Table 22 and in Figure 10 below it

can be seen that the measured depths of wafers 2 - 4. The nominal thickness of SiO2 was 302 nm.

Page 31: Final Report Project #28 Optimization of Bulk

30

Table 22: Measured depths of wafer no. 1 - 4 after silicon oxide (SiO2) etching.

Measurement

No.

Measured Depth

[nm]

Wafer no. 1

Measured Depth

[nm]

Wafer no. 2

Measured Depth

[nm]

Wafer no. 3

Measured Depth

[nm]

Wafer no. 4

1 1566 1466 1489 844

2 1543 1568 1414 1329

3 1550 1561 1448 1536

4 x 1568 1430 1547

5 x 1568 1493 1152

Average 1553 1546,2 1454,8 1281,6

Stdev 11,79 44,94 35,20 293,98

Figure 10: A graphical illustration of measured etch depths after silicon oxide RIE etching. After silicon oxide etching and profilometer measurements the wafers no. 2 -4 were etched with

PlasmaLab 80 RIE etcher to etch silicon. Used etching parameters are listed in table 23 below.

Oxygen and CHF3 flow rates, system power and step time were kept constant in every etching

case. The step time was relatively high due to suspicion of low etch rate during the etching. The

SF6 flow rate and system pressure varied. After the etching wafers were clean with acetone and

isopropanol baths and measured with profilometer. Measured etch depths are shown in table 24

and in Figure 11 below. Figure 12 shows the profile of wafers in different profilometer

measurements.

Page 32: Final Report Project #28 Optimization of Bulk

31

Table 23: Etching parameters of wafers 1 - 4 used in Si etching.

Wafer

no.

O2

[sccm]

CHF3

[sccm]

SF6

[sccm]

Power

[W]

Pressure

[mTorr]

Step

time

[min]

Etch

rate

[μm/

min]

Selectivity

1 14 17 20 140 60 25 0.42 6.3

2 14 17 20 140 140 25 0.19 12

3 14 17 40 140 60 25 0.31 10

4 14 17 40 140 140 25 0.58 10

Table 24: Measured etch depths of wafers 1 - 4 after Si etching.

Measurement

No.

Measured Depth

[nm]

Wafer no. 1

Measured Depth

[nm]

Wafer no. 2

Measured Depth

[nm]

Wafer no. 3

Measured Depth

[nm]

Wafer no. 4

1 8576 12795 7221 19263

2 8847 12040 7546 18926

3 9140 12086 7368 19040

4 9003 12502 7401 20051

5 9052 13473 7398 19966

Average 8923,6 12579,2 7386,8 19449,2

Stdev 221,54 588,51 115,67 525,62

Page 33: Final Report Project #28 Optimization of Bulk

32

Figure 11: A graphical illustration of measured etch depths after silicon RIE etching.

Figure 12: Wafer profiles in different profilometry measurement phases after UV-exposure, SiO2

RIE and Si RIE and measured depths with profilometer (averages). From the measurement results, it can be seen that system pressure has the highest impact on the

etch rate. This can be seen from wafers 2 and 4 which has deeper etch depth and system pressure

140 mTorr than in wafers 1 and 3 and system pressure 60 mTorr. The flow rate of SF6 didn’t have

so high impact than pressure on measured etch depths after silicon RIE etching. The anisotropy,

selectivity and surface smoothness of etched wafers can be determined after characterization

which is done with SEM.

Page 34: Final Report Project #28 Optimization of Bulk

33

4.3 Wafer preparations before characterization

4.3.1 Dicing

In order to prepare the wafer for characterization, the first step was to dice the wafer. The dicing

was done by using the dicing saw machine Micro Ace 3, Series 2. Vertical and horizontal dicing

was done on all the 5 wafer samples. After taking out the wafers from the dicing machine they

were put into the oven for the duration of 5 -10 minutes in order to vacuum so that if there were

any particles present that would get removed.

4.3.2 Molding

After dicing the wafers, they were molded so that they can be used for grinding afterwards. For

the molding process, silicon spray with Epofix was used. The chips cut out from the wafer were

placed in holders and a concentration made by mixing (2x) Epofix resin with (15x) Epofix

hardener along with the silicon spray was poured into the holders. Then the holders were put for

vacuuming purposes in order to remove any remaining bubbles from it. It took around a day for

the chips to hardened into the holder after which they became ready for grinding.

4.3.3 Grinding

For grinding the chips, Struers LaboPol-21 grinding machine was used. LaboPol-21 can be used

for both manual grinding or automatic grinding. We did the manual grinding of the chips, at the

beginning grinding paper of 300 grits was placed on the roller of the machine and water was

sprayed on it to make it a little moist. Afterwards the chips were grinded slowly from the sides

initially and then from the center. The 300-grit paper was a rough one for grinding purposes but

it made the initial grinding process somewhat quicker. In order to get a smooth surface for the

chips the grinding paper was varied several times during the whole process. Different grinding

papers with various grits like 400, 600, 1000, 1200 and 1400 were used respectively to remove

the roughness from the grinded part of the chips.

4.3.4 Polishing

After the grinding of the wafer polishing was done. Struers Rotopol 22 was used for

polishing purposes, diamond particles of various sizes along with a lubricant were utilized during

the process. For the first run, the force was set at 30 N, lubricant and 9μm diamond particles (the

roughest polisher) were sprayed on the machine and the chips were placed on the machine for 5

minutes. Then for the second run, the force remained at 30 N while 6μm diamond particles

alongside the lubricant were sprayed on the machine and the chips were placed on the machine

for 5 minutes. For the third run, 3μm diamond particles with the lubricant were used while the

force and time were maintained constant at 30 N and 5 minutes respectively. For the final run,

1μm diamond particles (the smoothest polisher) were used for 5 minutes with 5N force to polish the chips.

Page 35: Final Report Project #28 Optimization of Bulk

34

4.4 Characterization results

4.4.1 Selected method and equipment

Characterization was done using a field emission scanning electron microscope JEOL JSM

6330F. Energy-dispersive X-ray spectroscopy (EDX) was also tried out but the results were not

good so they are not discussed here. Each wafer had five samples of cross section profile and four samples of sidewall profile. The

samples had a thin chromium layer on top of them and copper tape was used to earth them. The

voltage for SEM was 15 kV and the current was about 12 µA. Pictures of the setup and samples

below.

Figure 13. JEOL JSM 6330F scanning electron microscope.

Page 36: Final Report Project #28 Optimization of Bulk

35

Figure 14. Cross section samples (left) and sidewall samples (right).

4.4.2 Measurements

Cross section samples were analyzed by measuring top width, bottom width and depth of the

etching. These values were used to calculate lateral extension and anisotropy. Figure 15 shows an

example of a SEM image and explains said terms.

Figure 15. Example of a SEM image.

However, there is a problem with the depth measurements: it is practically impossible to

distinguish Si from SiO2 in SEM images. As explained in Chapter 4.1, SiO2 was also etched even

Page 37: Final Report Project #28 Optimization of Bulk

36

though the selectivity Si:SiO2 was quite high in silicon etching. This means that the depths

measured with SEM do not take into account on how much of the SiO2 was etched and what the

real etching depth is. Thus, depth results gained by profilometry in Chapter 4.1 will be used in

this analysis even though SEM results are quite close to profilometry values. The full SEM

measurements are located in Appendix I. Table 25 shows the average measurements for each

wafer (depths come from profilometry). Table 25. Measurements of the samples (average).

Pressure

(mTorr) SF6

flow

(sccm)

Width

top,

SEM

(µm)

Width

bottom

, SEM

(µm)

Depth

H,

profilo

metry

(µm)

Lateral

extension

V, SEM

(µm)

Anisotropy

1-V/H (µm) Etch rate,

profilome

try

(µm/min)

Wafer 1 60 20 149,86 141,10 8,641 4,378 0,493 0,346

Wafer 2 140 20 148,98 135,52 12,377 6,732 0,456 0,495

Wafer 3 60 40 146,16 138,98 7,205 3,592 0,501 0,288

Wafer 4 140 40 157,61 134,56 19,510 11,526 0,409 0,780

Etch rate behaves strangely. Higher pressure increases etch rate in both cases. However, with

lower pressure (60 mTorr), increasing SF6 flow decreases etch rate but with higher pressure (140

mTorr), increasing SF6 flow increases etch rate. Anisotropy seems to be slightly better with higher etch speeds. However, the calculated

anisotropy does not really tell much about the real anisotropy because the etch depth was quite

low and the bottom corners seemed to round similarly in every wafer. So basically, the deeper the

etching, the more anisotropic it would be with this formula. RIE is usually quite anisotropic so it

is fair to assume that with deeper etching this method should be quite anisotropic. There could be

a slope, meaning that the sidewall wouldn’t be exactly 90° but it is not possible to analyze this

better with our results. Figure 16 shows some SEM images of cross section samples.

Page 38: Final Report Project #28 Optimization of Bulk

37

Figure 16: Cross section samples.

4.4.3 Smoothness analysis

Smoothness can be analyzed from both cross section and sidewall profile samples. Close-up

images of cross section and sidewall samples are shown in Figures 16 and 17, respectively. Both

cross section and sidewall profile images show that the wafer 4 (combination of high SF6 flow

rate and high pressure) has really rough sidewalls. Wafer 3 seems to have the smoothest sidewalls. This wafer was etched with high SF6 flow rate

and low pressure. Also, the etch rate was slowest in this wafer. Wafer 1 seems to be the second

smoothest wafer according to sidewall profile images, and wafer 2 is the third smoothest wafer.

Sidewall profiles of wafer 2 and 4 have some bubbles which could’ve been caused by higher

pressure or higher etch rate.

Page 39: Final Report Project #28 Optimization of Bulk

38

Figure 16: Close-up of cross section samples.

Figure 17: Close-up of sidewall samples.

Page 40: Final Report Project #28 Optimization of Bulk

39

It looks like the etch rate correlates with the smoothness of the sidewall. The lower the etch rate,

the smoother the sidewall. Pressure correlates with both the etch rate and the smoothness so it is

difficult to say if pressure affects the smoothness directly or indirectly. More parameters would’ve

been needed to obtain better information on this issue. Table 26 summarizes the smoothness

analysis. Table 26. Summary of the smoothness analysis.

Pressure

(mTorr) SF6 flow

(sccm) Etch rate,

profilometry

(µm/min)

Smoothness (1 = smoothest, 4 = roughest)

Wafer 1 60 20 0,346 2

Wafer 2 140 20 0,495 3

Wafer 3 60 40 0,288 1

Wafer 4 140 40 0,780 4

5 Discussion and conclusions In this project, RIE was done on five wafers in order to see its impact on the roughness of the

material sidewalls. Parameters of different kind such as the gas flow rate, temperature, pressure

and power were used to characterize the etching results. In our wafer samples, among these

parameters, we kept the power and flow of oxygen constant while the flow rate of SF6 and pressure

were varied. The scanning electron microscope was used for characterization purposes, by

looking at the results it can be concluded that wafer 3 which had the highest SF6 flow rate with

low etch rate and low pressure had the smoothest surface at the sidewalls. On the contrary, wafer

4 which had high SF6 flow rate and high pressure had the roughest sidewalls. In short, it can be said that the increase in pressure generally increases the etch rate unless the rate

of flow of SF6 is taken into account as with high SF6 and high pressure, the etch rate increases

while for high SF6 and low pressure, the etch rate decreases. Overall, the project went pretty well according to the original project plan. In spring, we slightly

underestimated the amount of work in the theoretical part: it took more time to find out different

studies and publications which were suitable for our project. But with hard work and committed

project members we were able to manage to cope with this issue. During the project, two people

left from our group one of them being the project manager in the spring part of the project, which

resulted in increased workload and caused some changes in our project plan as well as project

roles. This issue was also listed as a project risk and fortunately for us it did not have a huge

impact in our project. Regular meetings played a key part in our project. We had meetings at least once a week with the

core team. We also communicated with instant messaging tools, email and cloud services. Thus,

Page 41: Final Report Project #28 Optimization of Bulk

40

communication was fast and it worked well. We also had meetings with our instructor

approximately 2-3 times a month. Clear agendas for the meetings helped us to remained motivated

also each member had their own tasks before each meeting. With regular meetings, the project

members were able to see the progress of the project. Meetings were also documented and project

memos were sent to cloud service so that every member was able to remember what we had done

in previous meetings. Some of our group members had no prior studies or experience related to this specific project. So,

at the beginning, it felt nearly impossible to finish this project but with the passage of time and

our willingness to learn new things, good attitude and hard work we achieved the project goals.

Page 42: Final Report Project #28 Optimization of Bulk

41

References

[1] EE Herald. Introduction and application areas for MEMS. [accessed 4.12.2016]. Available

at: http://www.eeherald.com/section/design-guide/mems_application_introduction.html.

[2] MEMS & Nanotechnology Exchange. MEMS and Nanotechnology Applications. [accessed

4.12.2016]. Available at: https://www.mems-exchange.org/MEMS/applications.html. [3] Franssila, S. 2010. Introduction to Microfabrication. Second edition. John Wiley & Sons,

Ltd. 505 p. ISBN: 978-0-470-74983-8. [4] Avinash, P, et al. Wet and Dry Etching. University of California, Davis. California.

[accessed 4.12.2016]. Available at:

http://web.ece.ucdavis.edu/~anayakpr/Papers/Wet%20and%20Dry%20Etching_submitted.pdf. [5] MicroChemicals. 2013. Wet-Chemical Etching of Silicon. [accessed 4.12.2016]. Available

at: http://www.microchemicals.eu/technical_information/silicon_etching.pdf. [6] Dennis K. and Kubaryk S. 2007. Wet isotropic and anisotropic etching. [accessed

4.12.2016]. Available at:

https://www.ece.umd.edu/class/enee416.F2007/GroupActivities/Presentation3.pdf. [7] Madou, M. 2011. Fundamentals of microfabrication and nanotechnology, Manufacturing

techniques for microfabrication and nanotechnology. Third edition. Taylor & Francis Group,

LLC. 670 p. ISBN: 978-1-4398-9530-6. [8] Wang, Q. ME 2080 Introduction to MEMS. University of Pittsburgh. [accessed 4.12.2016].

Available at: http://www.pitt.edu/~qiw4/Academic/ME2080/Lecture1.pdf. [9] Brigham Young University. Reactive Ion Etching (RIE) Etching Basics. [accessed

4.12.2016]. Available at: http://www.cleanroom.byu.edu/rie_etching.phtml [10] Janaskie, B. and Chuk, S. 2007. Reactive Ion Etching (RIE) and deep reactive ion etching

(DRIE). [accessed 4.12.2016). Available at:

https://www.ece.umd.edu/class/enee416.F2007/GroupActivities/Presentation6.pdf. [11] Azo Nano. 2010. The Bosch process for etching micromechanical systems (MEMS) -

Principles, Advances and application by Oxford Instruments Plasma Technology. [accessed

4.12.2016]. Available at: http://www.azonano.com/article.aspx?ArticleID=2738. [12] Azo Nano. 2010. The Cryogenic Process for Etching Micro-Mechanical Systems (MEMS)

- Principles, Advances and Applications by Oxford Instruments Plasma Technology. [accessed

4.12.2016]. Available at: http://www.azonano.com/article.aspx?ArticleID=2739 [13] Saininiemi, L. 2009. Cryogenic deep reactive ion etching of silicon micro and

nanostructures. Doctoral dissertation. Helsinki University of Technology, Department of Micro

and Nanosciences. Espoo. 61 p. (ISBN 978-951-22-9867-9).

Page 43: Final Report Project #28 Optimization of Bulk

42

[14] Franssila, S. and Sainiemi, L. 2007. Mask material effects in cryogenic deep reactive ion

etching. Journal of Vacuum Science and Technology. Part B., 25(3), 801-807. DOI:

10.1116/1.2734157 [15] Oxford Instruments. Plasmalab System 100. [accessed 4.12.2016]. Available at:

http://www.oxfordplasma.de/systems/100ll.htm. [16] Aalto University and VTT. Reactive Ion Etcher, Plasmalab 80. [accessed 4.12.2016].

Available at: http://www.micronova.fi/nanofabrication_centre-

002/equipment/dry_etching/reactive_ion_etcher-plasmalab_80/. [17] Aalto University and VTT. Inductively coupled plasma etcher, Plasmalab 100. [accessed

4.12.2016]. Available at: http://www.micronova.fi/nanofabrication_centre-

002/equipment/dry_etching/inductively_coupled_plasma_etcher-plasmalab_100/. [18] Sharifi, H and Gardner G. 2008. Plasma Rie etching. Fundamentals and applications.

Purdue University. [accessed 4.12.2016]. Available at:

file:///C:/Users/Enni/Downloads/Plasma_RIE_Etching_Fundamentals_and_Applications.pdf. [19] Plasma Etch. What is oxygen plasma?. [accessed 4.12.2016]. Available at:

http://www.plasmaetch.com/oxygen-plasma-treatment.php.

[20] Chutani R.K, et al. 2013. Single-step deep reactive ion etching of ultra-deep silicon

cavities with smooth sidewalls. Sensors and Actuators A: Physical, Elsevier. Vol 208. 2014. 66

- 72 p. [21] Spinelli, P. 2013. Light trapping in solar cells using resonant nanostructures. Doctaral

dissertion. Van der Waals-Zeeman Institute. Faculty of Science. [22] Zou, H. Microsystem Technologies (2004) 10: 603. doi:10.1007/s00542-003-0338-3 [23] Legtenber R, et al. 1995. Anisotropic Reactive Ion Etching of silicon Using SF6/O2/CHF3

gas mixtures. J. Electrochem. Soc. Inc., Vol. 142, No. 6, June 1995. [24] Padua, G. and Wang Q. 2012. Nanotechnology research methods for foods and

bioproducts. Wiley-Blackwell. 271 p. ISBN 9781118229262. [25] Wikipedia The Free Encyclopedia. 2016. Electron Scattering. [accessed 4.12.2016].

Available at: https://en.wikipedia.org/wiki/Electron_scattering. [26] Sridhara, R. et al. 2010. TEM specimen preparation techniques. Formatex, Microscopy:

Science, Technology, Applications and Education. [27] Jagtap, R. and Ambre A. 2004. Overview literature on atomic force microscopy (AFM):

Basics and its important applications for polymer characterization. Indian Journal of

Engineering & Materials Sciences. Vol. 13, August 2006, pp. 368-384. [28] Gao, S. and Holmes, A. 2004. Surface enhanced Raman spectroscopy for non-destructive

analysis of surfaces following excimer laser removal of polymide. IEEE (SEMI Advanced

Semiconductor Manufacturing Conference. pp 487 -491.

Page 44: Final Report Project #28 Optimization of Bulk

43

[29] Shimadzu. UV-1800 UV-VIS Spectrophotometer. [accessed 4.12.2016]. Available at:

http://www.shimadzu.com/an/molecular_spectro/uv/uv1800/uv.html. [30] Österlund, E. 2015. Vertical Piezoelectric Structures for in-plane Actuation in MEMS

Sensors. Master's thesis. Aalto University, School of Electrical Engineering. Espoo. 75 p.

Page 45: Final Report Project #28 Optimization of Bulk

44

Appendix

Appendix I. SEM measurements and calculations of cross section samples.

Wafer 1 Sample Width

top

(µm)

Width

bottom

(µm)

Depth

(µm) Lateral

extension

V (µm)

Anisotropy

1-V/H (µm)

O2: 14 sccm 1 150,8 144,38 9,240 3,210 0,650

CHF3: 17 sccm 2 148 140,7 9,600 3,650 0,620

SF6: 20 sccm 3 151,82 142,36 8,240 4,730 0,430

140 W 4 148,8 136,97 8,180 5,920 0,280

60 mTorr average 149,86 141,10 8,815 4,378 0,495

stdev 1,76 3,14 0,714 1,210 0,173

Wafer 2 1 146,5 133 11,870 6,750 0,430

O2: 14 sccm 2 150,79 139,38 11,630 5,710 0,510

CHF3: 17 sccm 3 150,14 135,86 11,800 7,140 0,390

SF6: 20 sccm 4 147,68 134,66 12,440 6,510 0,480

Page 46: Final Report Project #28 Optimization of Bulk

45

140 W 5 149,79 134,7 13,070 7,550 0,420

140 mTorr average 148,98 135,52 12,162 6,732 0,446

stdev 1,81 2,39 0,592 0,695 0,048

Wafer 3 1 148 141,17 7,290 3,420 0,530

O2: 14 sccm 2 145,69 138,98 7,160 3,360 0,530

CHF3: 17 sccm 3 144,54 136,38 6,980 4,080 0,420

SF6: 40 sccm 4 148,45 142,84 6,070 2,800 0,540

140 W 5 144,14 135,54 6,440 4,300 0,330

60 mTorr average 146,16 138,98 6,788 3,592 0,470

stdev 1,97 3,09 0,516 0,602 0,092

Wafer 4 1 159,63 134,64 20,070 12,500 0,380

O2: 14 2 158,9 135,11 18,870 11,900 0,370

Page 47: Final Report Project #28 Optimization of Bulk

46

CHF3: 17 3 156,63 133,91 18,530 11,360 0,390

SF6: 40 4 158,52 136,09 18,370 11,220 0,390

140 W 5 154,36 133,07 18,030 10,650 0,410

140 mTorr average 157,61 134,56 18,774 11,526 0,388

stdev 2,13 1,15 0,785 0,703 0,015