flip flops

Upload: davidsinho010

Post on 16-Oct-2015

26 views

Category:

Documents


0 download

TRANSCRIPT

Universidad Internacional del EcuadorFACULTAD DE INGENIERA AUTOMOTRIZ INGENIERA EN MECNICA AUTOMOTRIZ, INGENIERA EN ELECTRNICA AUTOMOTRIZ

ConsultaNombre: David CaldernMateria: Sistemas Digitales ISemestre: Septiembre - Enero 2014Fecha: 2014-01-17

FLIP FLOPSIntroduccinTodos loscircuitosdigitales utilizandatosbinarios para funcionar correctamente, los circuitos estn diseados para contar, sumar, separar, etc. los datos segn nuestras necesidades, pero por el tipo de funcionamiento de las compuertas digitales, los datos presentes en las salidas de las mismas, cambian de acuerdo con sus entradas, y no hay manera debitarlo, si las entradas cambian, las salidas lo harn tambin, entonces Cmo podemos hacer para mantener un dato o serie de datos en un lugar hasta que los necesitemos? La respuesta son lasmemorias, bsicamente sonsistemasque pueden almacenar uno o ms datos evitando que se pierdan, hasta que nosotros lo consideremos necesario, es decir, pueden variar su contenido a nuestra voluntad. Elcoraznde unamemoriason los Flip Flops, este circuito es una combinacin de compuertas lgicas, A diferencia de las caractersticas de las compuertas solas, si se unen de cierta manera, estas pueden almacenar datos que podemos manipular con reglas preestablecidas por el circuito mismo. Esta es la representacin general par un Flip Flop (comnmente llamado "FF")

Los FF pueden tener varias entradas, dependiendo del tipo de lasfuncionesinternas que realice, y tiene dos salidas:

Las salidas de los FF slo pueden tener dos estados (binario) y siempre tienenvalorescontrarios, como podemos ver en la siguiente tabla:

Las entradas de un FF obligan a las salidas a conmutar hacia uno u otroestadoo hacer "flip flop" (Trmino anglosajn), ms adelante explicaremos cmo interactan las entradas con las salidas para lograr los efectos caractersticos de cada FF.El FF tambin es conocido como: "RegistroBsico" trmino utilizado para la forma ms sencilla de un FF. "Multivibrador Biestable" trmino pocas veces utilizado para describir a un FF.Registro Bsico construido con compuertas NANDEste es el circuito ms sencillo y bsico de un FF, Puede ser construido a partir de dos compuertas NAND o dos compuertas NOR con dos entradas, a continuacin se ilustra con compuertas NAND, y es denominado "Registro Bsico NAND" .La forma de conectarlas es la siguiente:Se deja libre una de las entradas de cada compuerta, las sobrantes son conectadas independientemente de manera cruzada hacia la salida de la compuerta contraria. Quedando la conexin de la siguiente manera:

La siguiente tablamuestrael estadoinicial del Registro Bsico NAND, cuando sus entradas se encuentran en ALTO (Estado de reposo del FF). Para comenzar laaccinde "Flip Flop" ser necesario enviar a BAJO alguna de las entradas, con su correspondientecambiode estado a la salida.

La siguiente tabla nos muestra los diferentes cambios de las salidas, segn cadaseleccinde entradas (La "X" significa que no importa el estado en el que se encuentren en ese momento):

Siguiendo los datos de la tabla podemos resumir que: Si SET y RESET estn en ALTO, el FF mantiene sus salidas en el estado actual. Si RESET recibe un pulso BAJO, las salidas son forzadas a Q = 0 y /Q = 1 Si SET recibe un pulso BAJO, las salidas son forzadas a Q = 1 y /Q = 0 Si las dos entradas reciben pulsos BAJOS, las salidas son forzadas a Q = 1 y /Q = 1Este ltimo cambio normalmente se considera como no deseado, ya que el principio bsico es que las salidas siempre estn invertidas (Aunque en ciertos casos especiales, nosotros podramos utilizar este efecto).Entonces, la tabla de verdad del Registro Bsico NAND es la siguiente:

Registro Bsico con compuertas NORLa conexin del Registro Bsico NOR es exactamente igual al del Registro NAND, pero los cambios en sus salidas son completamente diferentes, A continuacin se ilustran las dos tablas de verdad para hacer el comparativo entre una y otra.Tabla de verdad del Registro BsicoNOR

Tabla de verdad del Registro BsicoNAND

Agregando pulsadores u otras compuertas en las entradas, los usos ms comunes para el Registro Bsico NAND o NOR son: Eliminadores deruidopara pulsadores mecnicos. Sistemas de Encendido (ON)/Apagado (OFF) con dos pulsadores para diversos circuitos digitales y/o anlogos. Sensores demovimientomecnico, (Fin o Inicio de carrera de una puerta por ejemplo). Control Digital de otros circuitos. Y otras 373929273736 Aplicaciones dependiendo de tu IMAGINACIN.Seales de Reloj (CLOCK) y FF controlados por RelojHasta ahora hemos visto que un Registro Bsico tiene dosvariablesde entrada y responde de manera predecible a ellas, pero Qu podamos hacer si necesitramos otra variable decontrol? Cmo podramos hacer que el registro acte cuando sea conveniente para nosotros, y no al momento de cambiar sus entradas? Todos lossistemas digitalestienen bsicamente dos formas de operacin: Operacin en modo ASNCRONO. En este modo, las salidas cambian de manera automtica siguiendo las rdenes de las entradas. Operacin en modo SNCRONO. En este modo, las salidas cambian siguiendo las ordenes de las entradas, pero slo cuando una seal de control, llamada RELOJ (CLOCK, CLK, CP) es aplicada al registro.Los circuitos digitales ASNCRONOS son muy complicados en lo que adiseoy reparacin se refiere, ya que, al encontrarnos con una falla en un circuito de 10registrosinterconectados, el rastreo de los cambios en todas las compuertas nos provocara un severo dolor de cabeza. Los circuitos digitales SNCRONOS son ms fciles de disear y reparar, debido a que los cambios de las salidas soneventos"esperados" (ya que fcilmente podemos saber el estado de cada una de las entradas o salidas sin que estas cambien repentinamente), y los cambios dependen del control de una sola seal aplicada a todos los registros, la seal de RELOJ. La seal de reloj es una onda cuadrada o rectangular, los registros que funcionan con esta seal, slo pueden cambiar cuando la seal de reloj hace una transicin, Tambin llamados "flancos", por lo tanto, la seal de reloj slo puede hacer 2 transiciones (o Flancos): La Transicin con pendiente positiva (TPP) o Flanco positivo (FP).Es cuando la seal de reloj cambia del estado BAJO al estado ALTO. La Transicin con pendiente negativa (TPN) o Flanco Negativo (FN).Es cuando la seal de reloj cambia del estado ALTO al estado BAJO.Principales caractersticas de los FF sincronizados por Reloj. Todos los FF cuentan con una entrada con el rtulo (RELOJ, CLOCK, CLK, CP) y un distintivo crculo para saber como debe ser la seal activa. Los que no tienen crculo, son sincronizados por una TPP, los que cuentan con un crculo son sincronizados por una TPN. Todos los FF cuentan con entradas de control, que determinan el cambio que van a tener las salidas, al igual que en los Registros bsicos, pero estas entradas no pueden modificar las salidas arbitrariamente, slo podrn hacerlo cuando el FF reciba su transicin activa.Resumiendo, Las entradas de control del FF nos permiten saber cmo van a cambiar las salidas, pero slo la seal de Reloj podr hacer efectivo este cambio.Constantes detiempode Establecimiento y de RetencinLa siguiente figura nos indica cmo estn compuestos los dos detectores de Transiciones. Detector de Transiciones Positivas (TPP)

Circuito Detector de Transiciones Negativas

Las figuras nos muestran del lado izquierdo de la lnea verde el pin de entrada de Reloj del FF, el lado derecho nos muestra el circuito interno del FF.La diferencia entre CK y CK se debe al retraso en la propagacin que cualquier compuerta tiene, desde que se aplica una seal en la entrada, hasta que esta se refleja en al salida. Esta diferencia en tiempo, nos permite obtener un pulso de salida solamente cuando ocurre la transicin para la que estn diseados, y por lo tanto accionar el FF.Registro Bsico NAND tipo SncronoDejaremos de lado la conexin interna de los FF, ya que para nuestra comodidad, todos podemos encontrarlos en forma decircuitos integrados, as que nos ocuparemos solamente de su funcionamiento. La siguiente figura nos muestra un Registro Bsico Sincronizado por una seal de reloj. Es la forma ms bsica de un FF controlado por la seal de reloj. (La falta del crculo en la entrada de CLK significa que slo ser activa en los TPP) (Slo se muestra la salida Normal (Q), ya que como dijimos, la salida negada siempre es inversa)

Flip Flop tipo "J-K"Este FF es uno de los ms usados en los circuitos digitales, y de hecho es parte fundamental de muchos circuitos avanzados como contadores y registros de corrimiento, que ya vienen integrados en un chip.Este FF cuenta con dos entradas de datos J y K, sufuncines en principio la misma que el Registro bsico NAND o NOR, pero con la diferencia que la condicin en las entradas J = 1, K = 1, a diferencia del Registro NAND, que generara una salida errnea o no deseada, en un FF J-K, obliga a las salidas a conmutar su estado al opuesto (Toggle) a cada pulso del reloj. Esto lo convierte en un tipo de FF muy verstil.Tabla de verdad de un FF tipo J-K sncrono.

Observando la tabla de verdad observamos los cambios que provoca en sus salidas este FF: Si J y K = 0, no hay cambios en las salidas. Si J = 1, y K = 0, se forzan las salidas a Q = 1, /Q = 0 Si J = 0, y K = 1, se forzan las salidas a Q = 0, /Q = 1 Si J = 1, y K = 1, las salidas conmutan su estado hacia el siguiente a cada pulso del reloj (Toggle)Flip Flop tipo "D" (Datos, Data)A diferencia de los FF tipo J-K, el FF tipo "D" (Datos, Data) slo cuneta con una entrada para hacer el cambio de las salidas. A cada pulso del reloj (dependiendo si el FF utiliza una TPP o una TPN) el estado presente en la entrada "D" ser transferido a la salida Q y /Q. Tabla de verdad de un FF tipo "D"

Una de las aplicaciones de mayor uso para este tipo de FF es al de la transferencia de datos de forma paralela, conectando varios FF tipo "D" a X nmero de bits, podemos hacer que lainformacinde todos los bits pase inmediatamente a la salida de cada FF con slo un pulso de reloj.

FLIP-FLOPS RSEste es el flip - flop bsico, su smbolo es el siguiente:

Figura 1: Smbolo lgico de un flip-flop SREl flip-flop tiene dos entradas R (reset) y S (set), se encuentran a la izquierda del smbolo. Este flip-flop tiene activas las entradas en el nivel BAJO, lo cual se indica por los circulitos de las entradas R y S. Los flip-flop tienen dos salidas complementarias, que se denominan Q y 1, la salida Q es la salida normal y 1 = 0.El flip-flop RS se puede construir a partir de puertas lgicas. A continuacin mostraremos un flip-flop construido a partir de dos puertas NAND, y al lado veremos su tabla de verdad correspondiente.

Figura 2: Circuito equivalente de un flip-flop SR

Modo de operacinEntradasSalidas

RSQQ

Prohibido0011

Set0110

Reset1001

Mantenimiento11No cambia

Tabla 1: Tabla de verdad del flip-flop SRObservar la realimentacin caracterstica de una puerta NAND a la entrada de la otra. En la tabla de la verdad se define la operacin del flip-flop. Primero encontramos el estado "prohibido" en donde ambas salidas estn a 1, o nivel ALTO.Luego encontramos la condicin "set" del flip-flop. Aqu un nivel BAJO, o cero lgico, activa la entrada de set(S). Esta pone la salida normal Q al nivel alto, o 1. Seguidamente encontramos la condicin "reset". El nivel BAJO, o 0, activa la entrada de reset, borrando (o poniendo en reset) la salida normal Q.La cuarta lnea muestra la condicin de "inhabilitacin" o "mantenimiento", del flip-flop RS. Las salidas permanecen como estaban antes de que existiese esta condicin, es decir, no hay cambio en las salidas de sus estados anteriores. Indicar la salida de set, significa poner la salida Q a 1, de igual forma, la condicin reset pone la salida Q a 0.La salida complementaria nos muestra lo opuesto. Estos flip-flop se pueden conseguir a travs de circuitos integrados.FLIP-FLOPS RS SINCRONOEl flip-flop RS es un dispositivo asncrono. No opera en conjuncin con un reloj o dispositivo de temporizacin. El flip-flop RS sncrono opera en conjuncin con un reloj, en otras palabras opera sincronizadamente. Su smbolo lgico se muestra a continuacin. Es igual a un flip-flop RS aadindole una entrada de reloj.

Figura 3: Smbolo de un flip-flop SR sncronoEl flip-flop RS sncrono puede implementarse con puertas NAND. En las siguientes ilustraciones vemos primero como se aaden dos puertas NAND al flip-flop RS para construir un flip-flop RS sncrono. Las puertas NAND 3 y 4 aaden la caracterstica de sincronismo al cerrojo RS. La tabla de la verdad nos muestra la operacin del flip-flop RS sncrono.El modo de mantenimiento se describe en la primera lnea de la tabla de la verdad. Cuando un pulso de reloj llega a la entrada CLK (con 0 en las entradas R y S), las salidas no cambian, permanecen igual que antes de la llegada del pulso de reloj. Este modo tambin puede llamarse de "inhabilitacin" del FF. La lnea 2 es el modo de reset.La salida normal Q se borrar cuando un nivel ALTO active la entrada R y un pulso de reloj active la entrada de reloj CLK. Si R=1 y S=0, el FF no se pone a 0 inmediatamente, esperar hasta que el pulso del reloj pase del nivel BAJO al ALTO, y entonces se pone a 0. La lnea 3 de la tabla describe el modo set del flip-flop. Un nivel ALTO activa la entrada S (con R=0 y un pulso de reloj en el nivel ALTO), poniendo la salida Q a 1.La lnea 4 de la tabla de verdad es una combinacin "prohibida" todas las entradas estn en 1, no se utiliza porque activa ambas salidas en el nivel ALTO.

Figura 4: Circuito elctrico equivalente de un flip-flop SR sncrono

Modo de operacinENTRADASSALIDAS

CLKSRQQ

Mantenimiento00No cambia

Reset0111

Set1010

Prohibido1111

Tabla 2: Tabla de verdad de un flip-flop SR sncrono

Las formas de ondas, o diagramas de tiempo, se emplean mucho y son bastante tiles para trabajar con flip-flop y circuitos lgicos secunciales. A continuacin mostraremos un diagrama de tiempo del flip-flop RS sncrono.Las 3 lneas superiores representan las seales binarias de reloj, set y reset. Una sola salida Q se muestra en la parte inferior. Comenzando por la izquierda, llega el pulso de reloj 1, pero no tiene efecto en Q porque las entradas R y S estn en el modo de mantenimiento, por tanto, la salida Q permanece a 0.En el punto a del diagrama del tiempo, la entrada de set se activa en el nivel ALTO. Despus de cierto tiempo en el punto b, la salida se pone a 1. Mirar que el flip-flop ha esperado a que el pulso 2 pase del nivel BAJO a ALTO antes de activar la salida Q a 1. El pulso est presente cuando las entradas R y S estn en modo de mantenimiento, y por lo tanto la salida no cambia. En el punto C la entrada de reset se activa con un nivel ALTO.Un instante posterior en el punto d la salida Q se borra se pone a 0, lo cual ocurre durante la transicin del nivel BAJO a ALTO del pulso del reloj. En el punto e est activada la entrada de set, por ello se pone a 1 la salida Q en el punto f del diagrama de tiempos. La entrada S se desactiva y la R se activa antes del pulso 6, lo cual hace que la salida Q vaya al nivel BAJO o a la condicin de reset.El pulso 7 muestra que la salida Q sigue a las entradas R Y S todo el tiempo que el reloj est en ALTA. En el punto g del diagrama de tiempos, la entrada de set (S) va a nivel ALTO y la salida Q alcanza tambin el nivel ALTO. Despus la entrada S va a nivel BAJO. A continuacin en el punto h, la entrada de reset (R) se activa por un nivel ALTO. Eso hace que la salida Q vaya al estado de reset, o nivel BAJO.La entrada R entonces vuelve al nivel BAJO, y finalmente el pulso de reloj finaliza con la transicin del nivel ALTO al BAJO. Durante el pulso de reloj 7, la salida estuvo en el nivel ALTO y despus en el BAJO. Observar que entre los pulsos 5 y 6 ambas entradas R y S estn a 1. La condicin de ambas entradas R y S en el nivel ALTO, normalmente, se considera un estado prohibido para el flip-flop. En este caso es aceptable que R y S estn en el nivel ALTO, porque el pulso de reloj est en el nivel BAJO y el flip-flop no est activado.

Figura 5: Diagrama de pulsos

Entradas asncronas en los FFComo ya hemos visto, cada FF tiene entradas que pueden cambiar el estado de las salidas de manera sincronizada con el pulso de reloj, pero Dnde quedaron nuestras entradas asncronas? Es posible seguir usando estas entradas en FF sncronos?La respuesta est en los FF sncronos de cualquier tipo que poseen entradas asncronas, esto aade dos pines ms de control a nuestros FF, los conocidos SET y RESET (Los cules pueden seractivosen el estado ALTO o BAJO). Entonces tenemos FF sncronos (Tipo "J - K", o tipo "D" ) con un par de entradas que no dependen en ningn momento del pulso de Reloj. Haciendo una combinacin perfecta de entradas que controlan las salidas de manera automtica (Asncronas) o controladas por un pulso de reloj (Sncronas).La siguiente figura nos muestra lossmbolosde los FF Tipo "J - K" y "D" con sus entradas asncronas.

Tabla de verdad del FF Tipo "J - K" con entradas Asncronas(Las "X" significan que no importa el estado actual de esa entrada).(El FF tiene una entrada de Reloj que funciona con TPP)(Las entradas asncronas con activas ALTAS)

El funcionamiento bsico sigue siendo el mismo, pero las salidas sern forzadas a ALTO o BAJO, si se activan las entradas Asncronas correspondientes (SET, RESET) sin importar el estado de las entradas "J - K" o CLK.Tabla de verdad del FF Tipo "D" con entradas Asncronas(Las "X" significan que no importa el estado actual de esa entrada).(El FF tiene una entrada de Reloj que funciona con TPP)(Las entradas asncronas con activas ALTAS)

El funcionamiento bsico sigue siendo el mismo, pero las salidas sern forzadas a ALTO o BAJO, si se activan las entradas Asncronas correspondientes (SET, RESET) sin importar el estado de la entrada "D" o CLK.Con esto termina este pequeo tutorial de Flip-Flops, espero que las dudas hayan encontrado una respuesta satisfactoria, y que la informacin aqu contenida sea de granutilidadpara el lector.

los flip flop que son celdas binarias que soncapaces de almacenar 1 bit de informacin, los cuales estn conformados por las entradasdel mismo, las cuales se marcan como J y K y sus salidas marcadas como Q y Q, ademsestn integrados por una entrada de reloj, as como por el clear y preset.1. Contadores asncronos de rizos.Este tipo de contadores donde cada salida del flip-flop sirve como seal de entrada CLK para el siguiente flip-flop, estos contadores no cambian de estado todos juntos por lo que se dice que no estn en sincrona, solo el primer flip flop responde a los pulsos del reloj ,luego para que al segundo flip-flop responda debe esperar que el primer flip-flop cambie de estado, y para que el tercer flip-flop se complemente debe esperar que el segundo flip-flop cambie de estado, y as sucesivamente con los dems flip-flop. Por lo tanto existe un leve retraso entre las respuestas de cada flip-flop, en los ff modernos este retraso es relativamente corto va del orden de los 10-40nsg.. En el diagrama lgico se muestra un contador asncrono binario ascendente de tres bits diseado a partir de flip-flop J-K en configuracin toggle con disparo por borde de subida. Debido a que posee tres flip-flop, su ciclo bsico se compone de ocho estados que van desde cero (000) hasta siete (111) en forma secuencial y repetitiva.Tambin podemos observar la tabla de verdad del circuito donde Q2 es el termino ms significativo.Q2Q1Q0N de pulsos del reloj

0000

0011

0102

0113

1004

1015

1106

1117

Asumiendo el estado inicial de la salida en 000, al llegar el primer pulso de reloj Q0 cambia de estado dicho cambio es detectado por el siguiente flip-flop (J2-K2) el cual considera que este cambio como su seal de reloj que lo hace cambiar de estado.

.. En el diagrama lgico se muestra un contador asncrono binario ascendente de tres bits diseado a partir de flip-flop J-K en configuracin toggle con disparo por borde de subida. Debido a que posee tres flip-flops, su ciclo bsico se compone de ocho estados que van desde cero (000) hasta siete (111) en forma secuencial y repetitiva.Asumiendo el estado inicial de la salida en 000, al llegar el primer pulso de reloj Q0 cambia de estado dicho cambio es detectado por el siguiente flip-flop (J2-K2) el cual considera que este cambio como su seal de reloj que lo hace cambiar de estado.2. Numero MOD.El contador de la figura anterior, tiene 8 estados diferentes del 000 al 111 por tanto se trata de un contador de rizos MOD 8, recordamos que el numero MOD siempre es igual al numero de estados por los cuales pasa el contador en cada ciclo completo antes que se recicle hacia su estado inicial.El numero MOD lo podemos aumentar, simplemente aumentando el numero de flip-flop al contador. Es decir el numero MOD es igual a 2N donde N es el numero de flip-flop conectados en la configuracin anterior.3. Divisin de frecuencia.En la figura podemos ver que en el contador bsico cada flip-flop da una forma de onda de salida que es exactamente la mitad de la frecuencia de la onda de su entrada CLK. Supongamos que los pulsos de la seal del reloj es de 8Hz, as podemos ver que en la salida del primer flip-flop es de 4 Hz, la del segundo flip-flop es de 2Hz y l ultimo flip-flop 1 Hz.

4. Contadores con numero MOD