handbook of lapping and -...
TRANSCRIPT
Handbook ofLapping and
Polishing
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page i 17.10.2006 6:15pm
MANUFACTURING ENGINEERING
AND MATERIALS PROCESSING
A Series of Reference Books and Textbooks
SERIES EDITOR
Geoffrey Boothroyd
Boothroyd Dewhurst, Inc.Wakefield, Rhode Island
1. Computers in Manufacturing, U. Rembold, M. Seth, and J. S. Weinstein
2. Cold Rolling of Steel, William L. Roberts3. Strengthening of Ceramics: Treatments, Tests,
and Design Applications, Harry P. Kirchner4. Metal Forming: The Application of Limit Analysis,
Betzalel Avitzur5. Improving Productivity by Classification, Coding,
and Data Base Standardization: The Key toMaximizing CAD/CAM and Group Technology, William F. Hyde
6. Automatic Assembly, Geoffrey Boothroyd, Corrado Poli, and Laurence E. Murch
7. Manufacturing Engineering Processes, Leo Alting8. Modern Ceramic Engineering: Properties, Processing,
and Use in Design, David W. Richerson9. Interface Technology for Computer-Controlled
Manufacturing Processes, Ulrich Rembold, Karl Armbruster, and Wolfgang Ülzmann
10. Hot Rolling of Steel, William L. Roberts11. Adhesives in Manufacturing, edited by
Gerald L. Schneberger12. Understanding the Manufacturing Process: Key to
Successful CAD/CAM Implementation, Joseph Harrington, Jr.
13. Industrial Materials Science and Engineering, edited by Lawrence E. Murr
14. Lubricants and Lubrication in MetalworkingOperations, Elliot S. Nachtman and Serope Kalpakjian
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page ii 17.10.2006 6:15pm
15. Manufacturing Engineering: An Introduction to theBasic Functions, John P. Tanner
16. Computer-Integrated Manufacturing Technology and Systems, Ulrich Rembold, Christian Blume, and Ruediger Dillman
17. Connections in Electronic Assemblies, Anthony J. Bilotta
18. Automation for Press Feed Operations: Applicationsand Economics, Edward Walker
19. Nontraditional Manufacturing Processes, Gary F. Benedict
20. Programmable Controllers for Factory Automation,David G. Johnson
21. Printed Circuit Assembly Manufacturing, Fred W. Kear22. Manufacturing High Technology Handbook, edited by
Donatas Tijunelis and Keith E. McKee23. Factory Information Systems: Design and
Implementation for CIM Management and Control,John Gaylord
24. Flat Processing of Steel, William L. Roberts25. Soldering for Electronic Assemblies, Leo P. Lambert26. Flexible Manufacturing Systems in Practice:
Applications, Design, and Simulation, Joseph Talavage and Roger G. Hannam
27. Flexible Manufacturing Systems: Benefits for the LowInventory Factory, John E. Lenz
28. Fundamentals of Machining and Machine Tools:Second Edition, Geoffrey Boothroyd and Winston A. Knight
29. Computer-Automated Process Planning for World-Class Manufacturing, James Nolen
30. Steel-Rolling Technology: Theory and Practice,Vladimir B. Ginzburg
31. Computer Integrated Electronics Manufacturing and Testing, Jack Arabian
32. In-Process Measurement and Control, Stephan D. Murphy
33. Assembly Line Design: Methodology andApplications, We-Min Chow
34. Robot Technology and Applications, edited by Ulrich Rembold
35. Mechanical Deburring and Surface FinishingTechnology, Alfred F. Scheider
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page iii 17.10.2006 6:15pm
36. Manufacturing Engineering: An Introduction to theBasic Functions, Second Edition, Revised and Expanded, John P. Tanner
37. Assembly Automation and Product Design, Geoffrey Boothroyd
38. Hybrid Assemblies and Multichip Modules, Fred W. Kear
39. High-Quality Steel Rolling: Theory and Practice,Vladimir B. Ginzburg
40. Manufacturing Engineering Processes: Second Edition, Revised and Expanded, Leo Alting
41. Metalworking Fluids, edited by Jerry P. Byers42. Coordinate Measuring Machines and Systems,
edited by John A. Bosch43. Arc Welding Automation, Howard B. Cary44. Facilities Planning and Materials Handling: Methods
and Requirements, Vijay S. Sheth45. Continuous Flow Manufacturing: Quality in Design
and Processes, Pierre C. Guerindon46. Laser Materials Processing, edited by
Leonard Migliore47. Re-Engineering the Manufacturing System: Applying
the Theory of Constraints, Robert E. Stein48. Handbook of Manufacturing Engineering, edited by
Jack M. Walker49. Metal Cutting Theory and Practice,
David A. Stephenson and John S. Agapiou50. Manufacturing Process Design and Optimization,
Robert F. Rhyder51. Statistical Process Control in Manufacturing Practice,
Fred W. Kear52. Measurement of Geometric Tolerances in
Manufacturing, James D. Meadows53. Machining of Ceramics and Composites, edited by
Said Jahanmir, M. Ramulu, and Philip Koshy54. Introduction to Manufacturing Processes
and Materials, Robert C. Creese55. Computer-Aided Fixture Design, Yiming (Kevin) Rong
and Yaoxiang (Stephens) Zhu56. Understanding and Applying Machine Vision:
Second Edition, Revised and Expanded, Nello Zuech57. Flat Rolling Fundamentals, Vladimir B. Ginzburg
and Robert Ballas
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page iv 17.10.2006 6:15pm
58. Product Design for Manufacture and Assembly: Second Edition, Revised and Expanded, Geoffrey Boothroyd, Peter Dewhurst, and Winston A. Knight
59. Process Modeling in Composites Manufacturing, edited by Suresh G. Advani and E. Murat Sozer
60. Integrated Product Design and Manufacturing UsingGeometric Dimensioning and Tolerancing, Robert Campbell
61. Handbook of Induction Heating, edited by Valery I. Rudnev, Don Loveless, Raymond Cook and Micah Black
62. Re-Engineering the Manufacturing System: Applyingthe Theory of Constraints, Second Edition, Robert Stein
63. Manufacturing: Design, Production, Automation, and Integration, Beno Benhabib
64. Rod and Bar Rolling: Theory and Applications,Youngseog Lee
65. Metallurgical Design of Flat Rolled Steels, Vladimir B. Ginzburg
66. Assembly Automation and Product Design: Second Edition, Geoffrey Boothroyd
67. Roll Forming Handbook, edited by George T. Halmos68. Metal Cutting Theory and Practice: Second Edition,
David A. Stephenson and John S. Agapiou69. Fundamentals of Machining and Machine Tools:
Third Edition, Geoffrey Boothroyd and Winston A. Knight
70. Manufacturing Optimization Through IntelligentTechniques, R. Saravanan
71. Metalworking Fluids: Second Edition, Jerry P. Byers72. Handbook of Machining with Grinding Wheels,
Ioan D. Marinescu, Mike Hitchiner, Eckart Uhlmann, W. Brian Rowe, and Ichiro Inasaki
73. Handbook of Lapping and Polishing, edited by Ioan D. Marinescu, Eckart Uhlmann, and Toshiro K. Doi
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page v 17.10.2006 6:15pm
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page vi 17.10.2006 6:15pm
edited by
Ioan D. MarinescuEckart Uhlmann
Toshiro K. Doi
Handbook ofLapping and
Polishing
CRC Press is an imprint of theTaylor & Francis Group, an informa business
Boca Raton London New York
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page vii 17.10.2006 6:15pm
CRC PressTaylor & Francis Group6000 Broken Sound Parkway NW, Suite 300Boca Raton, FL 33487-2742
© 2007 by Taylor & Francis Group, LLC CRC Press is an imprint of Taylor & Francis Group, an Informa business
No claim to original U.S. Government worksPrinted in the United States of America on acid-free paper10 9 8 7 6 5 4 3 2 1
International Standard Book Number-10: 1-57444-670-3 (Hardcover)International Standard Book Number-13: 978-1-57444-670-8 (Hardcover)
This book contains information obtained from authentic and highly regarded sources. Reprinted material is quoted with permission, and sources are indicated. A wide variety of references are listed. Reasonable efforts have been made to publish reliable data and information, but the author and the publisher cannot assume responsibility for the validity of all materials or for the conse-quences of their use.
No part of this book may be reprinted, reproduced, transmitted, or utilized in any form by any electronic, mechanical, or other means, now known or hereafter invented, including photocopying, microfilming, and recording, or in any information storage or retrieval system, without written permission from the publishers.
For permission to photocopy or use material electronically from this work, please access www.copyright.com (http://www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC) 222 Rosewood Drive, Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that provides licenses and registration for a variety of users. For organizations that have been granted a photocopy license by the CCC, a separate system of payment has been arranged.
Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only for identification and explanation without intent to infringe.
Library of Congress Cataloging-in-Publication Data
Handbook of lapping and polishing / editors, Ioan D. Marinescu, Eckart Uhl-mann, and Toshiro Doi.
p. cm.Includes bibliographical references and index.ISBN-13: 978-1-57444-670-8 (alk. paper)ISBN-10: 1-57444-670-3 (alk. paper)1. Grinding and polishing--Handbooks, manuals, etc. I. Marinescu, Ioan D. II.
Uhlmann, Eckart. III. Doi, Toshiro.
TJ1280.H424 2006671.3’5--dc22 2006017436
Visit the Taylor & Francis Web site athttp://www.taylorandfrancis.com
and the CRC Press Web site athttp://www.crcpress.com
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page viii 17.10.2006 6:15pm
Preface
Lapping and polishing are the most precise processes used to finish the
surfaces of mechanical and electronic or semiconductor components. TheHandbook of Lapping and Polishing is the first book written in English to
thoroughly cover these processes. Even though these processes are very
precise, there has been very little scientific research undertaken into the
study and application of these processes. These processes may be character-
ized as ‘‘more an art than a science.’’ The aim of this book is to review all the
developments of recent years so that a foundation may be laid to enable
the transformation of these operations into more deterministic processes by the
involvement of some mechanical and tribological science.
The ‘‘Fundamentals of Lapping’’ (Chapter 2) will give an overview of the
lapping process starting with the basics. The stock removal mechanisms of
lapping and polishing are very different from any other processes, and because
both lapping and polishing are free abrasive processes, most of their mechan-
isms are under a probability percentage. All abrasive processes have an overlap
of rubbing, plowing, and scratching mechanisms that are functions of a large
number of parameters of the process, of the abrasive, and of the work piece.
All these make any prediction of outcomes of these processes very difficult.
Most of the applications of these processes are kept as confidential as
possible (proprietary information), and specific details are not seen in profes-
sional or technical journals and magazines. This is the reason for not having a
book until now that emphasizes these processes.
The editors of this book have put together the latest knowledge con-
cerning these processes in three leading industrial countries: United States,
Japan, and Germany. The contributors are from academia as well as from
industry, and they all possess extensive experience in both the theoretical and
application domains.
Due to the high pace of development of the electronics and semiconduc-
tors industry, many of the presented processes and applications come from
these industries, which are also the engines of the developments of these
processes. Few people using a computer realize how much lapping, polishing,
and chemomechanical polishing (CMP) are involved in the computer’s com-
ponents. The most critical components of the disk drive are finished with
special superlapping and nanopolishing techniques not to mention the CMP
of the chips, which has already become a standard technology.
Developments in the abrasive industry in recent years, mainly of the
superabrasives, have generated more challenges for industries that utilize
these processes. The reality that day-by-day we get finer diamond and cubic
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page ix 17.10.2006 6:15pm
boron nitride (CBN) grits is changing these industries. It is not unusual today
to talk about nanogrit, mainly in the case of diamonds. Relatively new
technology such as obtaining diamonds by explosion has allowed the devel-
opment of products with grits as small as 5 nm. To use these grits, avoiding
the formation of clusters is a challenge, which has only been partially solved.
Most of the knowledge used in the study of lapping and polishing has been
borrowed from tribology, the science of wear, friction, and lubrication. A book
I wrote in 2004 (Tribology of Abrasive Processes) was exclusively dedicated
to the application of tribology to abrasive processes, but had more emphasis
on the grinding process, which is largely used in industry. Not many re-
searchers from the tribology field are dealing with manufacturing processes,
even though this marriage is a win–win solution. Lately more people, mainly
from academia, have been taking this approach, and the results are great.
The audience for this book is very large. The book can be useful for a
large category of professionals starting with technicians and engineers and
extending to researchers and academics. The book can be used also as a
complementary textbook for undergraduate and graduate studies.
Finally, I would like to sincerely thank all the contributors to this book,
including their companies and universities for allowing them to spend the
time required for writing the chapters of this book.
Ioan D. Marinescu
Toledo, Ohio
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page x 17.10.2006 6:15pm
Editors
Dr. Ioan D. Marinescu is a professor of mechanical, industrial, and manu-
facturing engineering at the University of Toledo. He is also the director of
the Precision Micro-Machining Center of the College of Engineering of the
same university.
Professor Marinescu is the author of more than 15 books and over 300
technical and scientific papers. He has given lectures and workshops in more
than 40 countries around the world.
Dr. Eckart Uhlmann is a professor of production engineering at the Tech-
nical University of Berlin. He is also the director of the Institute for Machine
Tools and Management, a Fraunhofer Institute.
Professor Uhlmann is well known in Germany and internationally through
his studies in abrasive processes, especially in coated abrasive processes,
lapping, polishing, and grinding with lapping kinematics.
Dr. Toshiro K. Doi is a professor of mechanical engineering at the School of
Education of Saitama University. He is also the director of the Precision
Engineering Laboratory at Saitama University.
Professor Doi is a world expert in chemomechanical polishing, the field in
which he published several books and over 100 papers in Japan and abroad.
He is the author or coauthor of more than 170 patents.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page xi 17.10.2006 6:15pm
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page xii 17.10.2006 6:15pm
Contributors
Thomas Ardelt
Technische Universitat Berlin
Berlin, Germany
Ion Benea
Engis Corporation
Wheeling, Illinois
Toshiro K. Doi
Saitamata University
Saitama, Japan
Masanobu Hanazono
SONAC, Inc.
Nara, Japan
Uwe Heisel
Universitat Stuttgart
Stuttgart, Germany
Daizo Ichikawa
Fujikoshi Machinery Corp.
Nagano, Japan
Junichi Ikeno
Saitama University
Saitama, Japan
Ken-ich Isahikawa
Kanazawa Institute of Technology
Ishikawa, Japan
Toshio Kasai
Tokyo Denki University
Tokyo, Japan
Masaharu Kinoshita
Nitta Haas, Inc.
Tokyo, Japan
Ioan Marinescu
University of Toledo
Toledo, Ohio
Hitoshi Ohmori
Institute for Physical and Chemical
Research of Japan (RIKEN)
Saitama, Japan
Mariana Pruteanu
Insaco Inc.
Quakertown, Pennsylvania
Naga Jyothi Sanku
University of Toledo
Toledo, Ohio
Hitoshi Suwabe
Kanazawa Institute of Technology
Ishikawa, Japan
Keisuke Suzuki
SONAC, Inc.
Nara, Japan
Eckart Uhlmann
Technical University of Berlin,
Institute for Machine Tools and
Management
Berlin, Germany
Hitomi Yamaguchi
Utsunomiya University
Tochigi, Japan
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page xiii 17.10.2006 6:15pm
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page xiv 17.10.2006 6:15pm
Table of Contents
Chapter 1
Introduction...................................................................................................... 1
Ioan Marinescu
Chapter 2
Fundamentals of Lapping................................................................................ 7
Eckart Uhlmann
Chapter 3
Lapping of Ductile Materials ........................................................................ 93
Ioan Marinescu, Ion Benea, and Naga Jyothi Sanku
Chapter 4
Lapping of Brittle Materials........................................................................ 123
Ioan Marinescu, Ion Benea, and Mariana Pruteanu
Chapter 5
Lapping and Lapping Machines.................................................................. 265
Toshiro K. Doi and Daizo Ichikawa
Chapter 6
Polishing Technology .................................................................................. 281
Toshiro K. Doi
Chapter 7
Chemical Mechanical Polishing and Its Applications
in ULSI Process ........................................................................................... 341
Toshiro K. Doi
Index............................................................................................................ 479
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page xv 17.10.2006 6:15pm
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C000 Final Proof page xvi 17.10.2006 6:15pm
1 Introduction
Ioan Marinescu
CONTENTS
1.1 From Craft to Science ............................................................................ 11.2 Importance of the Abrasive .................................................................... 31.3 Problem Solving ..................................................................................... 4References........................................................................................................ 5
1.1 FROM CRAFT TO SCIENCE
Abrasive processes have been employed in manufacturing for more than a
100 years although the earliest practice can be traced back to Neolithic times
(Woodbury, 1959). The lack of machine tool technology meant that primitive
operations were mostly limited to simple handheld operations. An early
device for dressing a sandstone-grinding wheel was patented by Altzschner
in 1860 (Woodbury, 1959).
The twentieth century saw the burgeoning of grinding, lapping, and
polishing as modern processes. Seminal publications by Alden and Guest
started the process of bringing the art of grinding and polishing onto a
scientific basis (Alden, 1914; Guest, 1915).
Lapping and polishing are very similar processes. Very fine surface
finishes, high dimensional accuracy and flatness, and minimal subsurface
damage are common with both the methods. These techniques have been
used for many years and, in a crude form, since the origin of humans. Many
different industries achieve high precision surfaces with these techniques. For
example, lapping and polishing are very critical processes in semiconductor
manufacturing, read or write heads, and hard disk preparation. Lapping has
become a very important finishing technique in ceramic seal industry.
The above-mentioned micromachining processes are all used for a com-
mon purpose: to remove material and obtain the desired part form and finish
on brittle and ductile materials by randomly oriented abrasive and super-
abrasive particles. As previously mentioned, lapping and polishing are free
abrasive processes that are categorically different from other micromachining
processes such as honing, fine grinding, and superfinishing (CIRP, 2005;
Czichos, 1978).
The mechanics of lapping and polishing processes are identical and are
performed to produce flat surfaces. Parts are placed in contact with a rotating
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C001 Final Proof page 1 5.10.2006 2:11amz
1
plate and rotated mechanically or frictionally in numerous motions. Abrasives
suspended in paste or liquid carrier are applied initially to the plate or
supplied during the process (continuously or at specific intervals) or embed-
ded into the plate, or all the three. Abrasive sizes are similar for both
processes. Lapping and polishing machines are very similar. Many machines
available can perform both lapping and polishing. The difference lies in the
material removal mechanism (Marinescu et al., 2004; Rowe et al., 1994).
With both processes, material removal is by rolling abrasive, sliding
abrasive, or microcutting embedded abrasive. The action of sliding abrasive
and rolling abrasive are implied. They are mechanically similar in their
cutting action except that sliding abrasives are more platelike and behave
like tiny scrapers. Microcutting abrasives are abrasives that have embedded
into the lapping plate and act like small cutting tools (Rowe et al., 1999).
Polishing involves only one or two of the abrasive mechanisms afore-
mentioned. This widely used ceramic finishing process is one in which parts
are finished on a plate covered with an abrasive pad. The polishing pad comes
in a variety of thicknesses and hardnesses. Abrasive is often supplied in a
paste suspension, but can be continuously fed suspended in a liquid carrier.
Only two material mechanisms occur with this form of polishing—rolling and
sliding. Abrasive is not embedded into the pad, therefore the microcutting
mechanism is not active. Other types of mechanical polishing use different
mechanisms for material removal. One type uses abrasive embed into the plate
or a pad, but no additional abrasive is applied to the polishing surface. With this
type, material removal is only through the microcutting abrasive mechanism.
For all types of polishing, only two abrasive mechanisms are involved.
Lapping on the other hand, incorporates all three abrasive mechanisms:
rolling abrasive, sliding abrasive, and microcutting abrasive. The plate is not
covered with a pad and therefore contributes in the material removal process.
With typical lapping operations, abrasive is forced into the lap plate, called
charging, and the parts are lapped with continuously supplied abrasive sus-
pended in a liquid medium.
It is a general perception that smoother surfaces can be obtained with
polishing. Polishing is a surface smoothing operation that removes or smoothes
grinding lines, scratches, and other defects to improve surface finish. It is often
applied to previously lapped or ground surfaces to reduce damage to surface
caused by previous machining, to provide a reflective wear surface, or to obtain
a clear finish for transparent glass optic materials.
Lapping is also perceived to be a process used mainly for removing mater-
ials and reducing the dimensions, while decreasing the surface roughness. It is
thought of as a greater material removal process that is able to obtain flatter
surfaces albeit with greater surface damage. Lapping is a process followed by
polishing steps to clean up the surface. However, the science of lapping as it
pertains to ceramic materials has progressed to such a point that the surface of
finished parts rivals that of polished parts. In addition, parts have a greater
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C001 Final Proof page 2 5.10.2006 2:11amz
2 Handbook of Lapping and Polishing
flatness and take less time to reach the desired dimensions and surface finish.
Greater flatness is achieved because the pad is not an intermediate between
the hard solid lap plate and the part. Because of the flexibility in the pad used
in polishing, the flatness usually deteriorates with longer polishing times;
nonflat surfaces are not improved because the soft pad follows the out-of-flat
surface, and the edges have a tendency to round off. With lapping, the lack of
intermediate material (pad) allows larger material-removal rates to be
achieved due to additional abrasive wear active. Greater amounts of surface
damage are still witnessed with lapping. However, the disparity of the damage
produced between processes is shrinking. In addition, damage in the form of
compressive residual stress can be beneficial in some cases.
Lapping is a process that can easily generate good surface finishes, but is
very difficult to obtain excellent surface finishes; whereas polishing is a
simple process that can easily result in excellent surfaces.
Advances in productivity have relied on increasing sophistication in the
application of abrasives. The range of abrasives employed in lapping and
polishing has increased with the introduction of new ceramic abrasives based
on sol gel technology, the development of superabrasive cubic boron nitride
and diamond abrasives based on natural and synthetic diamond.
Lapping and polishing processes are not without their share of problems. A
correct understanding of the interplay of factors in lapping and polishing helps
in overcoming these problems quickly and efficiently. Commonly encountered
problems are analyzed in succeeding chapters to show how parameters can be
optimized and how the quality of lapping and polishing can be improved.
1.2 IMPORTANCE OF THE ABRASIVE
The importance of the abrasive cannot be overemphasized. The enormous
differences in typical hardness values of abrasive grains are illustrated in
Table 1.1. A value for a typical M2 tool steel is given for comparison. The
values given are approximate as variations can arise due to the particular
form, composition, and directionality of the abrasive.
In lapping and polishing, it is essential that the abrasive grain is harder
than the workpiece at the point of interaction. This means that the grain must
be harder than the workpiece at the temperature of the interaction. As these
local temperatures of short duration can be relatively high, the abrasive grains
must retain hot hardness. This is true in all abrasive processes, without
exception, because if the workpiece is harder than the grain, it is the grain
that suffers most wear.
The hardness of the abrasive is substantially reduced at typical contact
temperatures between a grain and a workpiece. At 10008C, the hardness of
most abrasives is approximately halved. Cubic boron nitride (CBN) retains its
hardness better than most abrasives, which makes CBN a wear-resistant
material. Fortunately, the hardness of the workpiece is also reduced. As can
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C001 Final Proof page 3 5.10.2006 2:11amz
Introduction 3
be seen from Table 1.1, the abrasive grains are at least one order of magnitude
harder than hardened steel.
The behavior of an abrasive depends not only on hardness but also on
wear mode. Depending on whether wear progresses by attritions wear, micro-
fracture, or macrofracture, will determine whether the process remains stable
or whether problems will progressively develop through wheel blunting or
wheel breakdown. This range of alternatives means that productivity is
improved when lapping and polishing slurries are best suited for the particular
lapping and polishing purpose.
1.3 PROBLEM SOLVING
Few readers have time and fortitude to read a handbook from the beginning to
end. Although much could be learned from such an approach, readers are
encouraged to cherry-pick their way through the most appropriate chapters.
Most readers are busy people who want to solve a problem. The handbook is
therefore structured to allow individual areas of interest to be pursued without
necessarily reading chapters consecutively.
Chapter 2 is a general presentation on fundamentals of lapping, which
includes process mechanism and subsurface damage, removal system, tool
specification, lapping with planetary kinematics, and lapping models and
simulation. Chapter 3 provides a general view of lapping of ductile materials
and the objective of Chapter 4 is lapping of brittle materials with an extensive
case study. Chapter 5 deals with the hardware of the lapping process, mainly
the lapping machines.
Chapter 6 is dedicated to polishing technologies including polishing
principles, polishing accuracy, and polishing machines together with various
polishing methods. Chapter 7 presents the relatively new chemical mechan-
ical polishing (CMP) method and its application in semiconductors manufac-
turing technology.
The authors draw on industrial and research experience, giving numerous
references to scientific publications and trade brochures wherever appropri-
ate. Readers will find the references to the various manufacturers of machine
TABLE 1.1Typical Hardness of Abrasive Grain
Materials at Ambient Temperatures in GPa
Diamond 56–102
Cubic boron nitride (CBN) 42–46
Silicon carbide ~24
Aluminium oxide ~21
Source: DeBeer, Internal Document.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C001 Final Proof page 4 5.10.2006 2:11amz
4 Handbook of Lapping and Polishing
tools, auxiliary equipment, and abrasives a useful starting point for sourcing
suppliers. The references to scientific publications provide an indication of
the wide scope of research and development in this field around the world.
REFERENCES
Alden, G.I., Operation of grinding wheels in machine grinding, Trans. ASME, 1914,
36, 451–460.
CIRP, Dictionary of Production Engineering II—Material Removal Processes,
Springer, Heidelberg, 2005.
Czichos, H., Tribology—A Systems Approach to the Science and Technology ofFriction, Lubrication and Wear, Elsevier, Amsterdam, 1978.
Guest, J.J., Grinding Machinery, Edward Arnold, London, 1915.
HMSO, Lubrication (Tribology) Education and Research (Jost Report), Department of
Education and Science, London, 1966.
Marinescu, I.D., Rowe, W.B., Dimitrov, B., and Inasaki, I., Tribology of AbrasiveMachining Processes, William Andrew Publishing, Norwich, NY, 2004.
Rowe, W.B., Li, Y., Inasaki, I., and Malkin, S., Applications of artificial intelligence in
grinding, Ann. CIRP, Keynote Paper, 1994, 43(2), 521–532.
Rowe, W.B., Statham, C., Liverton, J., and Moruzzi, J., An open CNC interface for
grinding machines, Int. J. Manuf. Sci. Technol., 1999, 1(1), 17–23.
Woodbury, R.S., History of the Grinding Machine, MIT Press, Cambridge, MA, 1959.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C001 Final Proof page 5 5.10.2006 2:11amz
Introduction 5
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C001 Final Proof page 6 5.10.2006 2:11amz
2 Fundamentalsof Lapping
Eckart Uhlmann
CONTENTS
2.1 General Considerations........................................................................... 92.2 Historical Development of Lapping....................................................... 92.3 Definition of Lapping and Classification of Lapping Processes......... 122.4 Process Mechanisms and Subsurface Damage in Lapping ................. 15
2.4.1 Material Removal and Grain Engagement Mechanismsin Case of Ductile Materials..................................................... 16
2.4.2 Material Removal and Grain Engagement Mechanismsin Case of Brittle-Hard Materials ............................................. 17
2.4.3 Influence of the Specification of the Lapping Abrasiveon the Grain Engagement and on the Material Removal ........ 21
2.4.4 Subsurface Damage .................................................................. 222.5 Lapping Process as a Removal System................................................ 24
2.5.1 Removal System ....................................................................... 242.5.2 Subsurface Stress ...................................................................... 252.5.3 Surface Formation..................................................................... 252.5.4 Subsurface Damage .................................................................. 252.5.5 Parameters of the Removal System.......................................... 262.5.6 Subsurface-Related Work Result.............................................. 272.5.7 Process Parameters of Lapping ................................................ 272.5.8 Formation of the Removal System........................................... 272.5.9 Working Gap............................................................................. 29
2.6 Tool Specification................................................................................. 292.6.1 Lapping Tools ........................................................................... 292.6.2 Lapping Wheels ........................................................................ 312.6.3 Slurry......................................................................................... 312.6.4 Lapping Medium....................................................................... 322.6.5 Lapping Abrasives .................................................................... 322.6.6 Process Grain Size Distribution................................................ 33
2.7 Machine Settings .................................................................................. 342.7.1 Engagement Pressure ................................................................ 342.7.2 Process Kinematics ................................................................... 34
2.8 Fundamentals of Planetary Kinematics................................................ 35
Thomas Ardelt
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 7 23.10.2006 6:11pm
7
2.8.1 Definition .................................................................................. 352.8.1.1 Macrokinematics ........................................................ 362.8.1.2 Path Curve .................................................................. 362.8.1.3 Path Movement........................................................... 372.8.1.4 Cycle and Part Cycle.................................................. 372.8.1.5 Microkinematics ......................................................... 37
2.8.2 Geometrical and Kinematical Parametersof the Relative Movement ........................................................ 37
2.8.3 Calculation of Path Curves and Movements............................ 392.8.3.1 Path Curve .................................................................. 402.8.3.2 Path Velocity .............................................................. 402.8.3.3 Path Acceleration and Scalar Acceleration................ 412.8.3.4 Path Curvature ............................................................ 41
2.8.4 Description of the Movement Pattern by Meansof the Rotational Speed Ratio................................................... 412.8.4.1 Definition of the Rotational Speed Ratio .................. 412.8.4.2 Kinematical Parameters.............................................. 422.8.4.3 Possible Path Movements........................................... 442.8.4.4 Determination of the Path Pattern of a
Workpiece Point ......................................................... 462.8.4.5 Progression of the Path Velocity ............................... 48
2.8.5 Calculation of the Path Length Distribution overthe Lapping Wheel Radius ....................................................... 492.8.5.1 Profile and Grain Wear during Machining ................ 492.8.5.2 Description of Workpiece Geometry by the
Geometric Function .................................................... 502.8.5.3 Path Length Distribution ............................................ 51
2.8.6 Cutting Conditions in the Case of One-Sided andTwo-Sided Machining .............................................................. 54
2.9 Process Models and Simulation ........................................................... 572.9.1 Process Model According to Imanaka ..................................... 582.9.2 Process Model According to Chauhan et al. ............................ 592.9.3 Process Model According to Buijs and
Korpel-van Houten.................................................................... 612.9.4 Summarizing Assessment of Process Models According
to Imanaka, Chauhan et al., and Buijs andKorpel-van Houten.................................................................... 62
2.9.5 Process Model According to Engel .......................................... 632.9.5.1 Model Boundary Conditions and Validity Limits ..... 632.9.5.2 Tool Formation........................................................... 642.9.5.3 Tool Engagement ....................................................... 672.9.5.4 Model Verification ..................................................... 70
2.9.6 Process Model According to Evans.......................................... 72
Uwe Heisel
2.9.7 Process Model According to Heisel ......................................... 73
Uwe Heisel
Symbols and Abbreviations........................................................................... 81References...................................................................................................... 85
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 8 23.10.2006 6:11pm
8 Handbook of Lapping and Polishing
2.1 GENERAL CONSIDERATIONS
Lapping is the finest machining method that allows very high surface qual-
ities, form accuracies, and very close dimensional tolerances. Lapped surfaces
are flat-lustrous and are characterized by isotropic properties. The specific
surface structure of lapped surfaces offers an especially good basis for
polishing [1–3].
Nearly all materials can be lapped, which are not subject to plastic deform-
ation due to their own weight or to mechanical load by machining: metals,
nonferrous metals, insulating materials, glass, natural materials such as marble,
granite, basalt, gemstones of all kinds, plastics, semiconductors such as silicon,
germanium, and materials like carbon and graphite. A uniform material
removal is also guaranteed in the case of machining compound materials.
The spectrum of parts comprises small fragile parts of a thickness of
0.1 mm up to big machine parts of 800 mm circumference diameter and
500 kg workpiece mass.
The following characteristics of lapping are to be emphasized [1,4]:
. There are hardly any restrictions with respect to the material and
workpiece size.. Machined surfaces have no directional machining marks. Lapped sur-
faces have characteristics, which are independent of direction.. Workpieces are carried without stress. Therefore, the costs of the
devices are very low. Changeover times are very short.. During lapping, the machining temperatures and forces are low. Thus,
there is no heat or stress distortion in the case of lapped surfaces.. Only simple geometries can be lapped.. There is high grain consumption during lapping.
The parts must be cleaned due to the strong dirt development through the
slurry. The slurry has to be disposed of as hazardous waste.
. Removal rates during lapping are relatively small compared with those
of machining with bound grain.
2.2 HISTORICAL DEVELOPMENT OF LAPPING
Lapping is one of the oldest machining processes. As early as in the Stone
Age, workpieces and equipment were lapped: holes were worked into them by
twisting a stick sprinkling sand in between. Excavations and research lead to
the draft of such a lapping machine, shown in Figure 2.1 (Deutsches Museum
in Munich, Germany) [4].
The principle of lapping can be understood on the basis of the draft. The
lapping process is a result of the interaction of rotational friction, velocity, load,
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 9 23.10.2006 6:11pm
Fundamentals of Lapping 9
addition of abrasive grains, and liquid. Already in that time, the material removal
could be influenced by the material of the tool, the grain (hardness and shape),
the velocity of the tool (friction velocity), the fluid added (e.g., water), and the
selectable load (stone weights) of the tool or the workpiece (surface pressure).
The draft of a face lapping machine in Figure 2.2 was made by Leonardo da
Vinci [5]. This kinematical concept from the year 1493 was only recognized as
applicable in the 1950s and is still being used in face lapping machines [6].
Often, extreme quality requirements as in the case of gauge blocks can
only be met by lapping. Figure 2.3 shows a sewing machine converted into a
single-wheel lapping machine with vertical wheel arrangement. The Swede
C.E. Johannsson (1864–1943) produced the first parallel gauge blocks on this
FIGURE 2.1 Principle of the lapping process (draft from the Deutsches Museum in
Munich, Germany). (From Stahli, A.W., Die L€aapp-Technik. Firmendruckschrift der
A.W. Stahli AG, Pieterlen, Schweiz, 2001.)
FIGURE 2.2 Concept by Leonardo da Vinci around 1493 of a face lapping machine
with externally geared operator-controlled workpiece holders. (From Da Vinci, L.,
Codices Madrid I. Tratado de Estatica y Mechanica en Italiano. Faksimile-Ausgabe,
S. Fischer Verlag, Schweiz, 1974.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 10 23.10.2006 6:11pm
10 Handbook of Lapping and Polishing
machine manually, which could be adhesively wrung. The tolerance of the
manufacturing result was 0.1 mm [7].
The machining with loose grain has been often replaced by machining
with bound grain since the 1990s. This particularly applies to plane-parallel
machining on double-wheel machines. The use of grinding wheels of the
finest grains on double-wheel machines was mentioned as early as 1932 [8].
First reports on the embedding of abrasive grains in metallic wheels originate
from the 1930s. The main advantages of grinding in contrast to lapping are the
achievement of higher time-related workpiece height reductions and the use
of cooling lubricants instead of the slurry. The achievable work results in
terms of surface quality and evenness are similar to those of lapping. The
formed surfaces, however, have clear grinding marks in different directions.
A substitution is only reasonable, if the surface structures achieved by lapping
are no target criterion for the machining. Higher relative velocities and the use
of higher machining pressures require stiff machines with high-capacity
drives. Temperatures higher than during lapping require the cooling of the
grinding wheels and the tempering of the cooling lubricant.
New, difficult-to-cut materials place high demands on the manufacturing
technology. Thus, lapping is still used if no economical machining is possible
with other manufacturing methods. Examples are the machining of ceramics,
glass, monocrystals, or reinforced materials [3].
FIGURE 2.3 Single-plate vertical lapping machine with spindle and drive from a
sewing machine. (From Hauser, K., Werkstatt und Betrieb, 121(8), 677, 1988.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 11 23.10.2006 6:11pm
Fundamentals of Lapping 11
2.3 DEFINITION OF LAPPING AND CLASSIFICATIONOF LAPPING PROCESSES
Like grinding with rotating tool, abrasive belt grinding, grinding by linear
cutting, honing, blasting, and free abrasive cutting, lapping belongs to the
group of cutting with geometrically undefined cutting edges according to DIN
8589 (Figure 2.4). In contrast to grinding and honing, the cutting edges in
lapping are formed by loose abrasive grains. The group of cutting with
geometrically undefined cutting edges belongs to the main group cutting [9].
According to DIN 8589 part 15, lapping is defined as a cutting process
with loose abrasive grains dispersed in a paste, which is guided on the lapping
tool with nondirectional paths [10]. Basically, all lapping processes can be
divided into the two main groups lapping with and lapping without shape-
transferring counterpart. Lapping without shape-transferring counterpart is
used if only the surface is to be improved without considering the form and
geometrical accuracy [3].
During lapping with a shape-transferring counterpart, workpiece and
counterpart glide on each other with continuous change of direction and with
loose grain dispersed in a liquid between them. The single lapping grains
engage temporarily and stochastically due to the lapping pressure transmitted
by the counterpart leading to material removal.
According to the classification surface to be generated, type of surface,
kinematics of the cutting process, and tool shape (profile), the following
process variants can be distinguished [10].
Figure 2.5 shows the face lapping processes. Surface lapping is the
lapping of an even surface of single and mass parts for the generation of
high-quality surfaces in terms of evenness and surface roughness. Mainly
Seperating
Cutting
Cutting withgeometricallydefinedcutting edges
Cutting withgeometricallyundefinedcutting edges
Non-conventionalmachining
Dismantling Cleaning Evacuation
Groups—classification according tophysical active principle
Subgroups—classification according tothe type of tool and to the kinematics
Honing
Lapping
Blasting
Free abrasive cutting
Grinding withrotating tool
Abrasive belt grinding
Grinding bylinear cutting
FIGURE 2.4 Placement of lapping in DIN 8589 part 15.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 12 23.10.2006 6:11pm
12 Handbook of Lapping and Polishing
single-wheel lapping machines are used for this purpose. Workpieces vary
from small sealing washers to cylinder head faces in combustion engines. In
plane-parallel lapping, two parallel even surfaces are simultaneously lapped.
Very good evenness and high-plane parallelities can be achieved. The dimen-
sional variability within one batch (up to 100 parts can be machined at the same
time) and dimensional tolerances from batch to batch are very small. Among
different lapping processes, plane-parallel lapping is the most widespread
lapping process.
Cylindrical lapping is lapping with the aim to generate or improve cylin-
drical surfaces. Figure 2.6 shows the process variants. External peripheral
cylindrical lapping is the lapping of an external cylindrical contour by means
of a sleeve enclosing the workpiece. In external cylindrical face lapping, the
workpieces are guided radially in a workpiece holder on a double-wheel
lapping machine. The workpieces roll between the two lapping wheels with
an eccentric movement. This process is used for the production of accurate
cylindrical shapes and high surface qualities. Valve pins for injection pumps,
high-precision carbide tools, and hydraulic pistons can be named as few
examples. Internal cylindrical peripheral lapping is the lapping of internal
One-sided surface lapping Two-sided surface lapping(plane-parallel lapping)
Tool
Workpiece
ToolsWorkpiece
FIGURE 2.5 Surface lapping according to DIN 8589 part 15.
Tool
Workpiece Workpiece
Tool
WorkpieceTools
External cylindricalperipheral lapping
Internal cylindricalperipheral lapping
External cylindricalface lapping
FIGURE 2.6 Cylindrical lapping processes according to DIN 8589 part 15.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 13 23.10.2006 6:11pm
Fundamentals of Lapping 13
cylindrical surfaces by means of a cylindrical lapping tool carrying out a
combined rotational and traverse motion. The workpieces have to be prehoned
or preground. Typical examples for this machining are cylinders for
injection pumps, hydraulic cylinders, and high-precision machine components
with precision turned or reamed surfaces.
Thread lapping (Figure 2.7) is the lapping for the improvement of thread
surfaces. External thread lapping is the lapping of external threads, the shape-
transferring counterpart (tool) being shaped like a screw nut. In contrast, the
shape-transferring counterpart in internal screw lapping is shaped like a screw.
Lapping for the improvement of pitch surfaces by a rolling process is
called roll lapping. Figure 2.8 shows the example of lapping of gear teeth.
In profile lapping, a lapping tool is used, which is profiled according to a
target shape. Figure 2.9 shows spherical lapping and conical lapping as
examples. Spheres can also be lapped on double-wheel lapping machines.
The upper lapping wheel is even, and on the lower wheel, there is a semicir-
cular groove. Through the permanently changing direction of movement, the
shape of the sphere as well as of the groove are improved [3,4].
Workpiece withexternal thread
ToolWorkpiece withinternal thread
Tool
External thread lapping Internal thread lapping
FIGURE 2.7 Thread lapping processes according to DIN 8589 part 15.
Roll lapping
Tool
Workpiece Slurry
FIGURE 2.8 Roll lapping at the example of lapping of gear teeth according to DIN
8589 part 15.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 14 23.10.2006 6:11pm
14 Handbook of Lapping and Polishing
Additionally to the above-mentioned lapping processes, the following
special processes are to be mentioned [3,10].
Ultrasonic-assisted lapping is suitable for three-dimensional forming of
brittle-hard materials such as ceramics and carbides. The shape-transferring
counterpart oscillates with ultrasonic frequency, and induces the impulsive
engagement of the abrasive grains in the working gap.
Pairwise lapping of workpieces for the adjustment of form and geomet-
rical diversions of assigned workpiece surfaces is called lapping-in. In this
case, the workpieces serve as shape-transferring tools. The lapping-in of gear
pairs or the lapping-in of bearing pins and bearing shells or the lapping-in of
valve seats in automobile engines are common examples of this process.
Lapping with a grain guided by a liquid jet for the improvement of surface
properties is called vapor lapping. The lapping slurry is blasted with high
speed onto the workpiece. There are uniform machining marks on the surface,
whose structures depend on the blasting abrasive used. No shape improve-
ment can be achieved by this process [11].
Dip lapping aims exclusively at surface improvement. On the machined
surfaces, there are nonuniform, straight, or crossed groves. Workpieces of any
shape are dipped into a flowing slurry [12].
2.4 PROCESS MECHANISMS AND SUBSURFACE DAMAGEIN LAPPING
During lapping, material removal takes place by lapping grains dispersed in a
watery or oily liquid. The shape to be generated is transferred to the work-
piece by shape-transferring counterparts. Hereby, different kinds of material
removal can be distinguished. Material removal by cutting, microfusion
processes, and material removal by microdeformation or by the induction of
microcracks are to be underlined. The effective principles during material
removal and grain engagement mechanisms are partially contradictory.
Workpiece
Tool
Tool
Workpiece
Sphere lapping Cone lapping
FIGURE 2.9 Sphere and cone lapping as examples of profile lapping according to
DIN 8589 part 15.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 15 23.10.2006 6:11pm
Fundamentals of Lapping 15
2.4.1 MATERIAL REMOVAL AND GRAIN ENGAGEMENT MECHANISMS
IN CASE OF DUCTILE MATERIALS
As early as the 1920s, deliberations were made on the removal mechanisms
during the machining of metals. The theory of Beilby [13], an English
metallographer, describes material that melts partially for a short time,
flows into the grooves on the surface and solidifies amorphously. This theory
was considered undisputable for metallic surfaces. Lichtenberger [14] later
restricted the formation of Beilby layers to the lapping of metallic materials
with the use of lapping abrasives of small grain size (graining> F 1000) and
grain strength.
In the 1940s, Bornemann [15] postulated a rolling and a crushing move-
ment behavior of lapping grains. In contrast, it was assumed in the 1950s and
1960s that the lapping grains are temporarily fixed in the surface of the active
partners if under load. This results in an abrasive effect on the tool and a
cutting effect on the workpiece [16–24]. Lichtenberger [14] derived from this
possibility of a permanent reengagement of the lapping grains in altered
position and orientation that polydirectional cutting edge wear must occur.
The lapping grains blunt with increasing lapping time and break into small
sharp-edged lapping grains capable of cutting as a result of the lapping
pressure or of the increase of the shear force linked to it. The lapping pressure
is distributed to a higher number of lapping grains so that the depth of
indentation of the lapping grains decreases. This leads to improved surfaces
on the workpiece.
According to Bodrich and Enger [16], the roughness of the lapping wheel,
the effective grain height, and the roughness of the workpiece have to be set in
relation with each other for the engagement of a grain. Grain engagement
takes place if the sum of the three values exceeds a certain quantity.
Among others, Fischer [25–27] describes that there can be a rolling
motion alongside the Beilby-layer formation and the temporary anchoring
of lapping grains in the workpiece surface. According to this idea, the tips of
the grains indent the surface in a wedge-shaped way and blast off microchip-
pings. Thus, all the three removal mechanisms occur parallel to each other.
Due to the small indentation depth of the lapping grains in the workpiece, a
chip-forming removal mechanism is being disputed by some scientists
[28–31]. Martin [29,30] was able to experimentally prove a rolling grain
movement during lapping on the basis of model lapping tests on polished
metal surfaces. Based on scanning electron microscopy (SEM) images, he
proved that lapped surfaces have no directional marks. This led him to the
hypothesis of a pure rolling movement of the lapping grains between the
effective surfaces. The grains are pushed with their tips into the material and
leave crater-shaped plastic marks. The great number of lapping grains causes a
deformation of the material on its surface, which, according to the Hall–Petch
relation, provokes surface strengthening [32]. If the deformation resistance
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 16 23.10.2006 6:11pm
16 Handbook of Lapping and Polishing
(increasing with the strengthening) exceeds the cohesive resistance of the
material, small, slab-shaped material particles break off of the surface
[25,26,33,34]. Later, the basis of this theory was approved through investiga-
tions by Ozhan [35]. It was proved that for stretched, schist-shaped grains the
grain tips are able to carve small particles out of the material during further
rolling.
According to Kling [36,37], a cutting effect of the lapping grains is
supported by the following factors:
. High loads per lapping grain
. Soft lapping wheel material
. Grain shape of small compactness
. Small concentration of lapping abrasive
. Low viscosity of the slurry
Opposite boundary conditions, however, benefit the rolling of the lap-
ping grains.
Deviant from the three above-mentioned material removal theories,
Grunwald and Jaksch [38] transfer the fundamentals of wear research to the
lapping process [23,39,40]. In analogy to the five basic types of surface
destruction through frictional connections [41], they interpret the material
removal process in terms of a material abrasion provoked by elastic and
plastic deformations, cutting processes, and cold welding in special cases.
On the basis of a grooving deformation of the material, there is a smearing of
plastic groove edge material through superposition of lapping grooves. The
cracks developing in the subsurface overlap with fatigue cracks in the base
material. Further cracks under the surface developing through inner friction
lead finally to its destruction.
2.4.2 MATERIAL REMOVAL AND GRAIN ENGAGEMENT MECHANISMS
IN CASE OF BRITTLE-HARD MATERIALS
The material removal during the lapping of brittle-hard materials such as
ceramics, glass, as well as monocrystal materials like silicon is different from
that of ductile materials. In the case of these materials, the removal is based
on the generation, propagation, and networking of microcracks, which accrue
due to the stress fields induced in the material. The superposition of the crack
systems generated below the grain cutting edges as a result of the engagement
of a number of grains in the lapping process effects the measurable material
removal through material break off and finally leads to the typically dim,
isotropic appearance of the lapped surface [42–60].
The type, size, and shape of the developing crack system depend on the
induced contact stress field. It is basically determined by the geometry of
the indenter and by the material properties like Young’s modulus, hardness,
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 17 23.10.2006 6:11pm
Fundamentals of Lapping 17
and fracture toughness [61]. In the case of monocrystal materials, further
important aspects are their anisotropy and the position of the gliding system in
relation to the surface. The generation of microcrack systems was investi-
gated on the basis of indentation tests on a number of brittle-hard materials.
The stressing of brittle-hard materials with blunt indenters leads to a
purely elastic contact case, inducing a Hertzian stress field below the contact
area [47,51,61–63]. Due to the tensile stresses, a stable ring crack is generated
next to the contact zone. With continuous stress, it grows to a conical crack
along the tensile stress field, which quickly decreases deeper below, in an
angle of 688 to the direction of stress. From a critical load on, the conical
crack grows in an unstable way, forming the Hertzian cone crack, which
closes after unload [47,61]. The typical load case is the load of an even,
elastic sample with a hard spherical indenter [61].
The stressing of brittle-hard materials with sharp indenters leads to an
elastic–plastic contact case. There is a superposition of an expanding plastic
cavity (as a result of the hydrostatic stress condition below the indenter)
with a Boussinesquian stress (as a result of the stress of an elastic half-space
by a punctual load) [47,48,61,63–65]. Lawn et al. and Marshall et al.
provided a fundamental description of the procedure of crack formation
on the basis of their investigations with soda-lime glass [64,65]. From a
critical load on, incipient cracks become unstable in the boundary of the
plastic zone and grow to circular axial cracks below the surface along the
axial tensile stress levels. The axial cracks grow with increasing stress.
Their propagation is provoked by the tensile stress field developing upon
the elastic stress of the surface. In case of unload, they spread up to the
surface; in case of complete unload, they appear as half-penny-cracks.
Radial cracks occur during unloading along incipient cracks at the boundary
of the plastic zone. They diffuse due to the residual stress field, which arises
from accommodation of the impression volume by expansion of the
deformation zone against the constraining elastic matrix. The lateral cracks
below the plastic zone also arise during the unload cycle. They diffuse with
progressing unload [47,61].
The above-described sequence of crack formation is not considered uni-
versal any more [47,61]. It is undisputed that half-penny-cracks develop after
unload. It is often obscure whether they develop through the progression of
the axial cracks to the surface or through the progression of the radial cracks
into the depth, or through the combination of both crack types. Lateral cracks
can develop below the plastic zone (deep lateral cracks) or at the edges of the
indentation (shallow lateral cracks). Then, they diffuse nearly parallel to the
surface. Also, it could be observed that radial and lateral cracks develop
during the stress phase [47]. The crack types and sequence of cracks depend
on the material, the environmental conditions, the indenter, and the height of
the load [47].
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 18 23.10.2006 6:11pm
18 Handbook of Lapping and Polishing
On the basis of short-time lapping tests on polished glass surfaces, Phillips
et al. [56] proved a conformance of the indentation morphologies, which had
been caused by the single grains of the lapping abrasive or by Vickers
indentation tests. Meanwhile, it is generally accepted especially in recent
publications that the grains of the lapping abrasive are in elastic–plastic
contact to the material surface during the lapping of brittle-hard materials,
thus inducing an axial–radial–lateral crack system [44–48,50,52–54,56].
The elementary process of chip formation is the diffusion of lateral cracks
to the surface of the workpiece [45,47,48,56,66]. While it is assumed that deep
lateral cracks develop in this process, Cook and Pharr show on the basis of
indentation tests on glass and ceramics that the formation and propagation of
shallow lateral cracks must be considered a basic material removal mechanism
[47]. In contrast, Engel [49] concluded from the results of his indentation tests
on silicon that the surface formation leading to material removal takes place
through the formation and propagation of shallow as well as deep lateral
cracks. Material particles are removed through the propagation of lateral cracks
to the surface.
Alongside the material removal mechanisms, also the grain movements
were analyzed and the parameters of the grain engagement during the lapping
of brittle-hard and monocrystal materials were investigated.
As early as 1966, Imanaka [51] described that the relation of the force
input remains always the same compared to the size of the shell-like chip-
pings, independently of the fact whether the grains involved are rolling or
scratching. Experiments with single grains showed that the contact surface of
the workpiece is always circular independent of the grain shape (pyramid,
conical, or spherical). There is purely an elastic contact.
According to Wiese and Wagner [67], the lapping grain rolls over the
surface of the workpiece provoking a local stress at a contact point between
the grain and the workpiece. It is assumed that the lapping grain only
provokes material removal if it gets caught in the lapping wheel and then
contacts the workpiece. For the statistical evaluation of the grain engage-
ments, a model was developed, in which material break off only takes place if
there is an adjacent crack. If this condition is met, a correlation can be
realized between the force acting on the grain and the amount of the material
break off.
Among others, Phillips [56] carried out a detailed investigation on the
grain engagement during the lapping of glass. Based on the experimentally
determined relation between indentation frequency and material removal rate
as well as measurements of the friction coefficient during lapping, they
formulated a rotational–indentation model of the abrasion. The grains of the
lapping abrasive first get caught in a certain angle between the rough patches
of the workpiece and the lapping wheel, are stressed, and rotate due to the
relative movement between the lapping wheel and the workpiece around a
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 19 23.10.2006 6:11pm
Fundamentals of Lapping 19
certain value. During the rotational cycle, the normal force transmitted to the
grain grows to a maximum (until the grain is in an upright position) and
decreases during further rotation of the grain until its unload. Thus, this model
contradicts the principles of rolling grain movement. After its unload, the
grain is not moved any more by the workpiece and the lapping wheel.
In their experiments on lapping of glass, Buijs and Korpel-van Houten
[45,46] also stated a rolling grain movement. At the same time, however, they
observed grains being caught in the lapping wheel. The evaluation of their
graphical material resulted in a small ratio of scratches and plastic deform-
ations on the surface. They explained the grain engagement as follows: during
the rolling motion, the grain tips are pushed into the material, thus forming a
plastic zone underneath the indentation. Based on this zone, lateral cracks
develop, which provoke shell-like particle break offs. There is always a
constant number of grains engaged in the working gap.
Chauhan et al. [48] concluded from investigations on lapping of ceramics
that the lapping grains between the lapping wheel and the workpiece do not
roll permanently but indent the workpiece due to anchoring in the lapping
wheel. After lapping, the surfaces have mainly slab-like break offs and plastic
scratches. After the break offs, however, surface cracks much more; they
interpreted the processes as quasistatistical: the grain gets caught first in the
lapping wheel, then indents the surface of the workpiece, leads there to
material break off, and leaves the lapping gap.
When lapping monocrystal materials like silicon, it is especially import-
ant that the temporary anchoring of grains in the lapping wheel and the
resulting scratching grain engagement are avoided [57,58,68]. Scratching
grain engagement leads to scratches and other faults on the wafer surface
and the subsurface below it.
In lapping model tests on silicon, however, Engel [49] could exclude a
purely rolling movement of the grain. He describes the grain engagement
mechanism as follows: the grains are transported by flow conditions in the
lapping gap, which result from the relative movement and the superimposed
normal force. Due to this and other principles, the grains hit the protuber-
ances of the lapping wheel (and=or of the workpiece) and get caught in them.
Thus, a force acting mainly tangentially to the surface is transmitted to the
grain, as a result of which the grains scratch the surface of the workpiece
material. Simultaneously to an increase of the depth of scratch of the radial
scratches, the resistance of the material to plastic deformation increases,
leading to an erection of the grain above its maximum grain diameter. From
a certain depth of scratch on, the material is not capable of plastic deform-
ation any more. With a growing normal grain force component, there is brittle
crack formation with subsequent break off. All observed break offs are to be
assigned to the half-penny-lateral-crack system for the pressing of solid
bodies into brittle materials on the basis of the Boussinesquian stress states
below the surface.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 20 23.10.2006 6:11pm
20 Handbook of Lapping and Polishing
2.4.3 INFLUENCE OF THE SPECIFICATION OF THE LAPPING ABRASIVE
ON THE GRAIN ENGAGEMENT AND ON THE MATERIAL REMOVAL
The specification of the lapping abrasive has essential influence on the
parameters of the grain engagement and the mechanisms of material removal.
The lapping abrasive is basically characterized by grain type, grain shape,
grain size distribution, and its wear behavior [1,2,59,69,70].
The danger of scratches through scratching grain engagement grows with
the use of harder and sharp-edged lapping abrasives. The reason is the lighter
temporary anchoring of the hard, sharp edged, mostly needle-shaped grains
in the lapping wheel [57,68,71–74]. During investigations on lapping and
lapping–polishing with diamond grainings, it was shown that blocky grain-
ings with a tight grain size distribution are best suited for the avoidance of
scratches [71,74,75].
The special significance of the parameters of the grain size distribution of
the lapping abrasive on the grain engagement parameters and the work result of
lapping is emphasized in several experimental works [16,45,46,48,51,74,76].
The number of the active grains is determined by the average grain size and the
standard deviation of the grain size distribution of the lapping abrasive. This
leads to the single grain normal force of the individual lapping grain. This is the
force the grain acts on the material in the moment of engagement. Thus,
together with the material removal mechanisms effective in lapping, the
achievable surface roughness and material removal rate are defined.
During the lapping process, the lapping abrasive is being worn due to
grain splintering and grain breakage. As a result of the high wear of large
single grains, grain breakage leads to a decrease of the average grain diameter
and the spread of the grain size distribution of the lapping abrasive, and thus
to reduced single grain normal forces until there is a stationary condition
in the working gap [76–78]. Due to grain breakage, the number of active
grains grows. This leads to reduced damaging of the surface without causing a
lower material removal rate. The experimental results show a decrease of
roughness at simultaneously growing material removal rates with increasing
lapping pressure [76].
The behavior of grain breakage is significantly influenced by the type,
size, and shape of the grains [24,59,71,79,80]. The description of the wear
behavior of the graining in the lapping process merely by material parameters
like hardness or the elasticity module is insufficient. Therefore, test methods
were developed for the verification of the suitability for lapping, in which the
lapping process is imitated under standard conditions [24,80].
Stotko [24] and Pahlitzsch [80] hereby determined a reduction of the
active grain size depending on the grain specification, the test method, and
the settings. Stotko developed the quality parameter of the effective grain size
for standardized control conditions. Not only infeed force and test length,
which depend on the graining, are defined as control conditions, but also the
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 21 23.10.2006 6:11pm
Fundamentals of Lapping 21
grain size distribution of the lapping abrasive and the involved grain number.
Thus, the application behavior of the graining can be determined depending
on its mechanical properties and the grain size distribution as well as on the
engagement conditions such as the number of active grains and lapping
pressure per grain. Another possibility of verifying the suitability of the
lapping abrasive under consideration of the wear of the lapping abrasive is
the determination of the material removal rates in machining [79].
The control of the lapping abrasives is standardized especially for the
requirements of the optical industry [81]. For the determination of the suit-
ability for lapping and of the wear behavior of the lapping abrasive,
a machining test is compulsory, which determines the so-called lapping
performance, the class of cleanness (absence of scratches on the surface),
and the decrease of the lapping performance through grain wear.
2.4.4 SUBSURFACE DAMAGE
The locally acting high forces and temperatures occurring during cutting
processes affect the properties of the subsurface of a workpiece. The subsur-
face can be characterized by its structure, hardness, and state of stress. The
investigations on the determination of residual stress states and hardness meas-
urements on lapped metallic materials are partially contradictory. Based on
residual stress progress in deeper material subsurface areas, conclusions can
be drawn on the cutting behavior [35,82–88].
After the machining of manganese steel with Al2O3-lapping abrasive of a
graining of F 800 with very low pressures of 0.05 and 0.45 N=cm2, Letner and
Snyder [86] prove isotropic residual compressive stress of an average of
700 N=mm2. Already in a medium depth of approximately 5 mm, these
were completely decayed. They traced the reduced marginal values and the
increased deep effects of the residual stresses at higher loads back to a cutting
material removal effect of the lapping grains.
Sridhar et al. [89] reported on a pressure increasing with the residual
compressive stress and a parallel increased wear resistance of lapped high-
speed steel surfaces. According to Matalin [90], the residual compressive
stress in lapped carbon steel grows with increasing grain wear. In contrast, Konig
[1] describes the advantages of lapping in residual-stress-poor machining.
While Kedrow [91] was able to completely remove by lapping the micro-
hardness increase measured after the grinding of tempered steels, Ozhan [35]
stated a clear increase of microhardness through lapping of soft magnetic
materials. The depth of the affected subsurface and the boundary hardness
value depend on the size of the lapping grains as well as on the pressure.
Rystsova et al. [87] detected an increase of microhardness with decreasing
pressure stress in the case of steels of a hardness of 40 HRC. From this, they
concluded an especially hardening effect of lapping grains loose at low
pressure. In contrast, the lapping grains temporarily embedded in the tool
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 22 23.10.2006 6:11pm
22 Handbook of Lapping and Polishing
surface at high pressure have a cutting effect and are thus less hardening for
the workpiece surface. Miller’s [39] proposal contradicts this theory. He
suggests reducing the pressure especially for the machining with smaller
grainings (3 to 6 mm) in order to reduce the plastic deformation ratio.
Chandrasekar et al. [82,92] defined the residual stresses with brittle
Ni–Zn–ferrite after lapping with diamond grainings of 3 mm. The residual
compressive stresses determined at the surface were considerably higher than
those achieved by grinding under equal conditions. At a depth of approxi-
mately 4 mm, the compressive residual stresses transcended into tensile
residual stresses and decayed at a depth of approximately 15 mm.
The subsurface damage during the machining of monocrystal materials
such as silicon deserves special attention. All mechanically induced devi-
ations of the crystalline structure of the monocrystal subsurface from the ideal
crystal structure are considered subsurface damage. These are for instance
microcracks, dislocations, as well as residual stresses [55]. These subsurface
damages are caused by the development of depth effecting stress fields as a
result of the surface stress through grain engagement [50,59,93–95].
In the past, a number of investigations were carried out on the structure
and the depth of subsurface damage of lapped silicon [26,50,57,58,60,94–
98]. As early as in the 1960s, models were developed on the structure of
subsurface damages [94,98], which are summarized in the damaged subsur-
face model by Mohr [50] and are still considered valid. However, detailed
specifications on the technological dependencies of the depth of subsurface
damage on the machining parameters are only rudimentary and mainly refer
to the specification of the depth of subsurface damage of single machining
parameters. Stickler and Booker [94] carried out detailed investigations of the
subsurface damage during lapping with diamond grains (kerosene as lapping
medium). According to their SEM and transmission electron microscopy
(TEM) investigations, the damaged subsurface consists of single dislocation
lines closely below the surface and dislocation and crack networks reaching
deep below it. The dislocation and scratch networks are similar in the
electron microscope and are therefore called dislocation cracks according
to the terminology of Allen [99]. They develop due to very high short-time
stresses as a result of the grain engagement. Thereby, cracks are induced,
which recombine immediately after unload leaving behind atomic shifts,
which finally cause dislocation networks. Some of the cracks do not cure
completely leaving cracks near the surface, which decay in dislocation
networks farther below.
By means of the traverse grinding method and subsequent structure
etching, Pugh and Samuels [98] analyzed the structure of damaged subsur-
faces of silicon samples machined in different ways. They found microcrack
networks in the upper subsurface, which deeper below run out in dislocation
networks. The microcracks are always bent and mostly to be found along the
111-cleavage planes.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 23 23.10.2006 6:11pm
Fundamentals of Lapping 23
According to Mohr [50], the damaged subsurface is basically character-
ized by four layers: the polycrystalline zone, the crack zone, the transition
zone, and the elastic strain zone. Structural faults like single dislocations are
probable in all areas. Depending on the concrete machining conditions, the
layer order of the damaged subsurface and the zone expansions may change.
Buck and Meek [96] presented the depth of damaged subsurface specifi-
cations of different authors available at that time. Their specifications refer to
the fact that the depth of damaged subsurface values of lapping with equal
grain sizes depend on the type of lapping abrasive, crystal orientation, and
lapping medium, and are different for differing measuring methods.
According to Fischer [26], the depth of damaged subsurface resulting
from lapping corresponds to the grain size of the lapping abrasive. The
measurements of the depth of damaged subsurface with SIRD by Lundt
et al. [97] showed a direct dependence of the depth of damaged subsurface
on the grain size of the lapping abrasive.
Engel [49] carried out detailed investigations on grain engagement and
subsurface damage on the basis of model lapping tests. The measuring results
show that the depth of damaged subsurface increases due to the superposition
of the single grain engagements. Thus, the superposition of the crack systems
below the grain cutting edges leads to a superposition of the accompanying
stress fields. A characteristic pattern of the depth of damaged subsurface was
observed with increasing machining time for all lapping abrasives of a grain
size above 5 mm. The grain shape or the mechanical properties of the
investigated lapping abrasives had no influence on it.
2.5 LAPPING PROCESS AS A REMOVAL SYSTEM
In order to comprehend the lapping process, the knowledge of the interactions
between the stress of the subsurface of the workpiece by tool engagement and
the resulting surface formation and subsurface damage are indispensable. The
removal system is defined for the accurate description of the relations and
their basic mechanisms. It serves the description of the real procedures during
the engagement of the tool in the workpiece and is explained with the following
example of plane-parallel lapping as the most widespread lapping process.
2.5.1 REMOVAL SYSTEM
There are complex abrasive interactions between the tool and the subsurface
of the workpiece in the real lapping process. These lead to surface formation
through material removal and damage of the subsurface. As the processes of
these interactions are similar to those in tribological systems, Engel [49]
defined the lapping process as a forced material removal system. It was defined
in analogy to the definition of the tribological system according to Pursche
[100]. Due to the interactions of two system elements (tool, workpiece), the
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 24 23.10.2006 6:11pm
24 Handbook of Lapping and Polishing
removal system induces intended material loss (material removal) and unin-
tended subsurface damage on the workpiece as well as unintended material
loss (wear) on the tool.
With the help of the methodology of system analysis, the entirety of the
parameters describing the abrasive interactions of the removal system can be
classified [101]. According to this system, the subsurface damages of the
workpiece and the tool wear are loss parameters. Material removal is a useful
parameter. The function of the removal system is realized through the trans-
formation over loss parameters into useful parameters. The removal system
can be completely described through the following parameters [101]:
. Function (machining of the material)
. Abrasive stress (stress collective, duration and path)
. Structure (matching of active partners)
. Interaction of the active partners (contact conditions)
. Manifestation of material removal (surface formation and subsurface
damage). Parameters of material removal (subsurface-related work results and
material removal rate)
The mechanisms of surface formation and subsurface damage, which are
induced by the tool–workpiece interactions, are thus defined system
characteristics (Figure 2.10).
2.5.2 SUBSURFACE STRESS
In lapping, stress is induced to the subsurfaces of the workpiece through the
engagement of the abrasive grains of the tool in the surface as a result of the
simultaneous effect of the engagement pressure and the relative velocity of
the tool and the workpiece. Grain engagement is the force driven indentation
of a cutting edge in the subsurface of the workpiece material.
2.5.3 SURFACE FORMATION
The surface formation takes place through the material removal, i.e., chip
formation on the basis of the overcoming of the chemical bonds in the
material under the formation and propagation of microcracks.
2.5.4 SUBSURFACE DAMAGE
Subsurface damage is the formation of defect structures in the surface-near
area of the workpiece due to the subsurface stress. With respect to the
abrasive material removal, microcracks and dislocations are relevant types
of defects.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 25 23.10.2006 6:11pm
Fundamentals of Lapping 25
2.5.5 PARAMETERS OF THE REMOVAL SYSTEM
Surface formation and subsurface damage are dynamic processes and are
consequences of the abrasive stress of the subsurface through the cutting
edges of the tool under certain contact conditions. In this sense, the param-
eters of the surface formation and subsurface damage are system parameters.
In lapping, the cutting edges and the workpiece surface are relevant active
partners for the surface formation and subsurface damage. Both are defined
by their chemical composition, structure, mechanical properties, as well as by
shape and size.
Stress collectives
Stress height(engagement forceper contact surface)
Stress speed(grain engagementspeed)
Direction of stress(movement formsrolling, scratching,thrusting…)
Motion sequence(continous, intermitting…)
Duration and path of stress
Contact geometry(real contact surface)
Deformation behavior(elastic, elastic–plastic,plastic)
Materials(chemical composition,structure, mechanicalproperties, shape, size)
Abrasive stress Contact conditions Matching of active partners
Surface formation Subsurface damage
Plastic(furrows, chips)
Brittle(formation ofmicrocracks)
Types of defect(microcracks, dislocations)
Defect depth distribution
Subarea-related work result and material removal rate
Characteristic values of surface roughness, characteristic values ofsubsurface damage, material removal rate
FIGURE 2.10 Surface formation and subsurface damage as system characteristics.
(From Pursche, G., Oberflachenschutz vor Verschleiß, Verlag Technik, Berlin, 1990.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 26 23.10.2006 6:11pm
26 Handbook of Lapping and Polishing
The abrasive stress takes place according to the stress collective. It
induces the engagement of the grain cutting edges in the workpiece subsur-
face with a certain stress duration or path. During lapping, the stress collective
consists of stress level, stress speed, and stress direction as a result of the form
of movement of the cutting edges and the type of the movement process. The
constitution has a direct effect on the effective conditions of the active
partners such as contact geometry or real contact surface of their deformation
behavior. Thus, the stress level results from the engagement force of the grain
cutting edges and the real contact surface. The engagement force consists of
tangential and normal fractions. The proportion of the two partial engagement
forces defines the direction of stress.
2.5.6 SUBSURFACE-RELATED WORK RESULT
In the lapping process as a removal system, the material removal is induced
by the simultaneous stochastic engagement of a number of geometrically
undefined grain cutting edges. The parameters of the surface formation
and subsurface damage as well as the material removal rate are results of
the superposition of the single engagements of the grain cutting edges. The
surface roughness and the depth of the subsurface damage are caused by
subsurface stress with certain contact conditions and matching of active
partners. Therefore, they are called subsurface-related work result.
2.5.7 PROCESS PARAMETERS OF LAPPING
The lapping process is realized through the joint effect of the setting param-
eters as well as the tool and workpiece parameters under the formation of the
removal system (Figure 2.11). The parameters of economic efficiency and the
influencing of the tool and workpiece parameters directly affect the formation
of the removal system.
Process parameters of lapping, which have only a direct effect on the
formation of the removal system, are the parameters of lapping kinematics
with the resulting form deviation of the lapping wheels and the shape and
dimensional accuracy of the wafers. These parameters are marked with an
asterisk (*) in Figure 2.11.
2.5.8 FORMATION OF THE REMOVAL SYSTEM
The removal system in the lapping process is formed by the interaction of the
setting, tool, and workpiece parameters. The parameters of the removal
system are influenced by the tool and workpiece-related result parameters,
which, at the same time, are process parameters (Figure 2.11).
The removal system is influenced by the following parameters:
. Tool wear (especially the wear of the lapping abrasive)
. Surface quality (especially surface topography)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 27 23.10.2006 6:11pm
Fundamentals of Lapping 27
. Subsurface quality (especially the type and depth distribution of the
damages)
Wear of the lapping abrasive and surface topography have a retro effect on the
contact conditions, the stress collective, and the matching of the active
partners (shape, size). The type and the depth distribution of the induced
subsurface damages influence the system parameters, surface formation,
and subsurface damage. Existing damages and the stress fields surrounding
them are in permanent interaction with the damages and stress fields continu-
ously generated through subsurface stress.
Technology Tool
Counterpart
Material(mech. properties, structure)
Engagementpressure
Regulating variables+ kinematics
Feed of slurry(type, volume flow)
Macrogeometrygrooving(type, shape, measures,shape accuracy*)Surface topography
Slurry
Lapping medium—abrasive
Material(mech. properties)
Material(chem. compo-sition, viscosity)
Shape
Grain sizedistribution(av. grain size,range, standarddeviation)
Additive(substance,quantity)
Concentration of abrasive
Path velocity(drive revolutionand direction)
Carrier material*Carrier geometry*
Workpiecearrangementin the carrier*
Lapping ratio*Cycle time*
Lapping process—removal system
Workpiece
Material(mech. and thermalproperties, structure)
Geometry(shape, measures,oversize)
WorkpieceShape and dimen-sional accuracy*
Surface qualitySubsurface quality
Tool
WearShape deviation*
Economic efficiency
Removal rate
CostsQuantity of waste
FIGURE 2.11 Process parameters of lapping.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 28 23.10.2006 6:11pm
28 Handbook of Lapping and Polishing
2.5.9 WORKING GAP
The removal system is formed in the working gap. The working gap is the
space, which is opened up by the slurry between the surfaces of the lapping
wheels and the workpieces (Figure 2.12).
2.6 TOOL SPECIFICATION
According to DIN 8580, tools are means of manufacture, which affect the
generation or change of the shape and the position and sometimes the
material properties of a workpiece through relative motion to it under energy
transfer [102].
2.6.1 LAPPING TOOLS
The lapping tool is formed during the process by the shape-transferring
counterpart and the slurry (Table 2.1). In surface, plane-parallel, external
cylindrical, and sphere lapping, and the lapping wheels constitute the coun-
terparts. They carry the slurry, the workpieces, and in the case of surface
lapping the dressing rings. In the following, the lapping tool and its compon-
ents will be specified by the example of plane-parallel lapping as the most
common lapping process.
The change of the shape, position, and the material properties (subsurface
structure) of the workpieces are caused by the shape-transferring counterpart
(the lapping wheels) and the slurry. The relative motion between tool and
workpiece is generated by the restricted guidance of the workpieces in the
dkp
d kpm
axWafer
Working gap
Lapping wheel
Cutting edge wear
Grain breakage
Splintering
Volume-related characteristic values: Grain volume
Grain concentrations
Length-related characteristic values: Grain size Height of the working gap
Equivalent diameter of asphere of the same volume
Maximum grain diameter
Process grain size
FIGURE 2.12 Schematic representation of the working gap, the grain wear, and the
grain parameters.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 29 23.10.2006 6:11pm
Fundamentals of Lapping 29
TA
BLE
2.1
Tool
Com
ponen
tsan
dThei
rC
har
acte
rist
ics
inPla
ne-
Par
alle
lLa
ppin
g
Counte
rpar
tSl
urr
y
Lappin
gW
hee
lLa
ppin
gM
ediu
mLa
ppin
gA
bra
sive
Mat
eria
l:m
echan
ical
pro
per
ties
,st
ruct
ure
Mac
roge
omet
ry:
gro
ov
ing
(ty
pe,
geo
met
ry)
Mat
eria
l:ch
em.
com
posi
tion,
vis
cosi
ty
Add
itiv
ity:
chem
ical
com
po
siti
on
Mat
eria
l:m
echan
ical
pro
per
ties
(cle
avag
e,
frac
ture
toug
hn
ess
...)
Gra
insi
zed
istr
ibu
tion
:
aver
age
and
max
imu
mg
rain
dia
met
er,
stan
dar
d
dev
iati
on
Mic
roge
omet
ry:
char
acte
rist
ic
val
ues
of
the
Ab
ott
-cu
rve
Mix
ing
rati
o:
vis
cosi
tyG
rain
shap
e:le
ngth
–w
idth
rati
o,
cutt
ing
-ed
ge
shap
e
Vol
umet
ric
mix
ing
rati
o:
gra
inco
nce
ntr
atio
n
Sour
ce:
Fro
mS
pur,
G.
and
En
gel
,H
.In
:Ja
hrbu
chSc
hlei
fen,
Hon
en,
La p
pen
und
Pol
iere
n,B
d.
58
.H
rsg
.:H
.K.
Tonsh
off
,V
ulk
an,
Ess
en,
1996.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 30 23.10.2006 6:11pm
30 Handbook of Lapping and Polishing
carriers driven between inner and outer pin circle, and the lapping wheel
rotation. The energy transfer takes place through the simultaneous effect of
the relative speed and the engagement pressure.
The lapping tool is formed during the process. Therefore, it is specific for
a certain removal system. Changes of the parameters of the removal system
cause changes in the specification of the lapping tool.
The specification of the lapping tool decisively influences the subsurface-
related work result as well as the material removal rate. Therefore, the
derivation of the relevant tool parameters is an indispensable prerequisite
for the elaboration of technological functions for the process description.
2.6.2 LAPPING WHEELS
The lapping wheels are characterized by their macrogeometry, surface top-
ography as well as by material, its mechanical properties, and its structure.
The macrogeometry of the lapping wheel affects the distribution of the slurry
in the working gap and thus the formation of the lapping wheel topography.
The mechanical properties and the structure of the lapping wheel material
determine the resistance of the lapping wheel to the force of the grains, thus
influencing the formed surface topography.
The lapping wheels usually consist of fine-grain cast pearlite. A homoge-
neous structure and uniform hardness are very important. In the ideal case, the
lapping grain rolls in the process. The formed surface is dim; the surface
structure consists of craters due to the rolling grain. There is no directional
structure on the surface [4].
The hardness of the lapping wheels influences the kind of movement of
the lapping grain. Soft lapping wheels keep the grain firmly in its position. As
a result, the grains scratch the workpieces. A surface of very low roughness is
formed. The machining marks consist of fine ridges. If very hard wheels are
used, the lapping grain is pushed deeply into the material to be machined.
Gliding marks are generated on the lapping wheel. Multimetal lapping wheels
consist of two to three materials, which are combined in spiral inlays, mosaic,
or annular shape. These lapping wheels are much more expensive than
conventional ones; they are, however, best suited for the use of diamond
media. The dressing rings have to be adjusted to these lapping wheels.
Lapping wheels can be grooved in order to avoid slurry stasis and to
improve the chip removal. This is particularly advantageous in the case of
machining workpieces of large surfaces.
2.6.3 SLURRY
The other component of the lapping tool is the slurry. It consists of the lapping
abrasive (grain) and the lapping medium (fluid or paste). There is always a
certain volumetric concentration, which is often called grain concentration, of
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 31 23.10.2006 6:11pm
Fundamentals of Lapping 31
the lapping abrasive in the slurry. Usually, volumetric mixing ratios of 1:2 to
1:6 are used [4].
The optimum amount of slurry depends on the machine size and the
surface of the workpiece. It should be determined experimentally, as the
slurry consumption is an important cost factor. If slurry supply is too high,
the parts may float (aquaplaning effect). A too thick lapping film leads to a
reduction of the material removal rate. A break off of the lapping film may
lead to cold welding through the direct contact of the lapping wheel and the
workpiece. The limits of the lapping film thickness, which vary in the case of
different materials, are reached if no typical lapping pattern can be seen on the
surface of the workpiece. The surface then resembles the grinding pattern
with directional machining marks.
2.6.4 LAPPING MEDIUM
The grains are dispersed in the medium. During the process the slurry is
supplied in a way that a lapping film develops between the tool and the
workpiece. The task of the lapping fluid is to transport the grains to the
lapping gap and to make new grains engage in the lapping process. Desired
characteristics are the carrying capacity with respect to the lapping abrasive,
corrosion protection, and chemical resistance. The type of the lapping fluid
and the mixing ratio of lapping abrasive and fluid influence the material
removal and surface quality.
The lapping fluid is mostly water with added corrosion inhibitors, agents
for the enhancement of viscosity as well as lubricants and moistening abra-
sives. Besides, mixtures of oil, petroleum paraffin, vaseline, and other addi-
tives are used.
2.6.5 LAPPING ABRASIVES
The lapping abrasive is defined by its material characteristics, the shape of the
grains, and its grain size distribution, which are in close connection to each
other. The shape of the grains is crucially influenced not only by the material
properties such as cleavability and fracture toughness, but also by the manu-
facturing process. Even with equal average grain size parameters, every type
of lapping abrasive has a characteristic grain size distribution also determined
by the grain shape.
The actual material removal is realized by the grains of the lapping
abrasive. The grain engagement is described in detail in Section 2.4 ‘‘Process
Mechanisms and Subsurface Damage in Lapping.’’ Basically, the lapping
abrasive (lapping grain) has to be harder than the material to be machined.
With rough graining, the possible material removal rates are higher (rough
lapping). In order to achieve high surface qualities, often a second work cycle
with fine grain and respectively reduced material removal rate is required. Basic
quality properties of lapping powders are even grain size distribution, hardness,
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 32 23.10.2006 6:11pm
32 Handbook of Lapping and Polishing
as well as type and number of the grain cutting edges. Grain sizes between 0.1 and
150 mm are used; in general, grain size ranges from 5 to 40 mm [4].
Silicon carbide is the most common lapping powder. It can be used for almost
all the materials. Corundum (aluminum oxide) is used for softer materials.
A further case of application is the realization of a polishing effect due to rounded
cutting edges under a strong decrease of the material removal rate. Boron carbide
is much harder than aluminum oxide and silicon carbide. Due to its price,
however, it is not used for the machining of softer materials. The diamond grain
is harder and its cutting edge is sharper than the lapping abrasives mentioned
so far. Even inhomogeneous materials such as carbide or ceramics can be cut
evenly, so as to the formed surface remains coherently even. Despite its high
price, the use of diamond is economically efficient in many cases because of
the reduced machining times in contrast to softer lapping abrasives.
In general, the grain size of lapping abrasives is characterized by
the specification of the average equivalent diameter of the sphere, called
average grain diameter or equivalent diameter, and its standard deviation.
These parameters can be used for the description of the grain volume and the
grain concentration in the slurry.
As the grains usually have an ellipsoid projection surface, the relevant
parameters of grain engagement defined in Section 2.4 are the average
maximum diameter of the grain projection surface, called maximum grain
diameter, and its standard deviation [59].
The grain size parameters of the lapping abrasive are always random
values with a characteristic distribution function. For grain collectives, this
is usually the normal distribution function. During lapping, only a part of the
grains in the working gap is able to close the contact chain and to engage in
the material. This grain fraction, which is always at the upper limit of the
distributive function, is the amount of active grains.
2.6.6 PROCESS GRAIN SIZE DISTRIBUTION
A crucial process parameter affecting the work result and the material
removal is the grain size distribution of the lapping abrasive. Due to the
mechanical stress through the effect of the engagement pressure and the path
velocity, the active lapping grains are subject to typical signs of wear (Figure
2.12). These grain wear mechanisms lead to an in-process change of the size
distribution of the grain size parameters of the lapping abrasive. The follow-
ing grain damaging and grain wear mechanisms can be named: cutting edge
wear, grain splintering, and grain breakage. The occurrence of these wear
mechanisms is a stochastic process. Influencing parameters are the mechan-
ical properties of the grains, their shape and cleavability, as well as the actual
action of force and its direction in the working gap. The grain size distribution
occurring in a defined removal system in the lapping process is called process
grain size distribution.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 33 23.10.2006 6:11pm
Fundamentals of Lapping 33
With a continuous slurry supply during machining, a stationary condition
arises after a certain lapping time, which is characterized by a constant
surface topography of the lapping wheels and of the workpieces as well as a
constant grain size distribution of the lapping abrasive. This process grain size
distribution of the lapping abrasive, which is characteristic for the removal
system, diverges by a certain extent from the grain size distribution of the
unused slurry. The parameters of the process grain size distribution are the
average equivalent process grain diameter, the average maximum process
grain size diameter, and their standard deviations (Figure 2.12).
2.7 MACHINE SETTINGS
The machine settings are explained by the example of plane-parallel lapping,
which is the most common lapping process. The engagement pressure and
process kinematics are to be mentioned here.
2.7.1 ENGAGEMENT PRESSURE
In plane-parallel lapping, the engagement pressure pe is a quotient resulting
from the normal force FN applied over the upper lapping wheel and the
workpiece surface AWges contacted by the lapping wheels:
pe ¼FN
AWges
(2:1)
The actual material removal force is applied through the acting engagement
pressure in combination with the relative movement between the workpiece
and the lapping grain. It leads to a local abolition of the material cohesion.
Due to the easy overrun of the workpieces beyond the edges of the lapping
wheels, the effective engagement pressure is slightly higher than that calcu-
lated with the theoretical complete workpiece surface and the workpiece
surface engaged slightly differs from the total workpiece surface [103–106].
It must be considered that much higher pressures occur locally than the nominal
pressure because the contact areas between lapping grain and workpiece are
much smaller than the workpiece surfaces.
2.7.2 PROCESS KINEMATICS
In plane-parallel lapping, the rotational speeds and directions of the lapping
wheels can be set independently of each other. These wheels, combined
with the variations of the pin circles, result in a number of different forms
of movement of any workpiece point on the lapping wheel. Therefore, the
term lapping velocity is inappropriate. In many citations, it specifies the
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 34 23.10.2006 6:11pm
34 Handbook of Lapping and Polishing
rotational speed of the lapping wheels. In plane-parallel lapping, a workpiece
point covers epicycloids and hypocycloid path curves, in special cases even
circular paths relatively to the lapping wheel. The velocity between the work-
piece and the tool constantly changes. The rotational speed of the lapping wheel
therefore does not describe the real path velocity of the single workpieces.
The process kinematics has great influence on the process behavior
and work result of lapping. Thus, it is described and analyzed in detail for
plane-parallel lapping in Section 2.8.
2.8 FUNDAMENTALS OF PLANETARY KINEMATICSTHOMAS ARDELT
During lapping and face grinding on lapping machines, several workpieces
are moved simultaneously between two horizontally positioned lapping or
grinding wheels. The parts are fixed in holders that are led between two pin
circles. This way, the characteristic cycloidal path curves are generated
between parts and wheels. Corresponding to these path curves, characteristic
wear profiles emerge in the process, which make wheel conditioning neces-
sary in regular intervals. To increase process economy and part quality, it is
necessary to minimize the inhomogeneous wear of the wheels and to maxi-
mize the time intervals between two of the profiling processes. This article
describes the mathematical relations to calculate the relative movement
between the workpieces and the wheels as a basis for better process control
and wheel profile wear minimization [107–109].
2.8.1 DEFINITION
The relative movement between the workpieces and a lapping wheel is
generated by the interference of two rotational movements: the rotation of
the lapping wheel and the rolling of the workpiece holder between the two pin
circles. The movement of the workpiece holders corresponds to one of
the planet wheel in a planetary gear. The workpieces move relatively to the
lapping wheels on the so-called cycloids (Figure 2.13). Depending on
the distance of the observed point from the rotation center, stretched, com-
mon, and interlaced cycloids can be distinguished. Common cycloids punc-
tually touch the pitch circle. Interlaced cycloids have loops and stretched
cycloids are characterized by rounded tips [110].
Rotationally symmetrical workpieces can rotate in their carriers. In this
case, the workpiece rotation interferes with the two above-mentioned move-
ments as an additional component, which leads to the so-called modulated
cycloidal movements. The rotation of the workpieces is therefore exempt
from all following considerations.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 35 23.10.2006 6:11pm
Fundamentals of Lapping 35
2.8.1.1 Macrokinematics
Macrokinematics is the movement of the workpieces relative to the
lapping wheels. In the scope of macrokinematical considerations, all active
partners are assumed in their ideal geometry. The terms lapping or planetary
kinematics are used as synonyms for macrokinematics. In this chapter,
macrokinematical parameters are identified with the index L.
In the presented investigations, only movements between the workpieces and
the lower lapping wheel are considered. For double-sided lapping, the kinematical
conditions relative to the upper lapping wheel are to be determined analogously.
2.8.1.2 Path Curve
The path curve is the line a workpiece point describes relatively to the lapping
wheel. The term path curve comprises only the geometrical properties of the
Without workpiece rotation
With workpiece rotation
Stretched cycloidsCommon cycloidsInterlaced cycloids
Epicycloids
Modulated epicycloids
Hypocycloids
Modulated epicycloids
FIGURE 2.13 Possible movements of the workpieces relative to the lapping wheel.
(From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das Arbeitsergebnis
beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000; zugl. Reihe
Berichte aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 36 23.10.2006 6:11pm
36 Handbook of Lapping and Polishing
described path, i.e., its coordinates and the derived parameters such as path
length and curvature [111].
2.8.1.3 Path Movement
Alongside the geometry of a path curve, the term path movement comprises
the movement of a workpiece point along this path. This path movement is
characterized by the time-dependent parameters path velocity and path accel-
eration [111].
2.8.1.4 Cycle and Part Cycle
Neglecting the workpiece rotation, the path curves are composed of uniform,
cyclically recurrent geometrical elements (see Figure 2.13). These path curve
elements are called part cycles. The starting point of a path movement is chosen
where the observed workpiece point has its maximum distance from the lapping
wheel center. As soon as it reaches this distance for a second time, a part cycle is
finished. A cycle is covered when, after several finished part cycles, the observed
point is at its initial point again and the path curve is closed [106].
2.8.1.5 Microkinematics
Microkinematics describe the engagement conditions in the contact zone
between the workpiece and the tool. The material removal mechanisms by the
movement of loose abrasive grains in a fluid between the three-dimensional
surface structures of tool and the lapping wheel are the object of discussion in
other chapters of this book.
2.8.2 GEOMETRICAL AND KINEMATICAL PARAMETERS
OF THE RELATIVE MOVEMENT
The equations describing the relative movements are formulated in a coord-
inate system, which is fixed relative to the lapping wheel. The Z-axis of this
cartesian coordinate system corresponds to the rotational axis of the lower
lapping wheel. The X- and Y-axes define the working area relative to the
lower lapping wheel and are firmly fixed in it. For an observer standing next to
the lapping machine, this coordinate system rotates with the lower lapping
wheel. A point can have two translatory degrees of freedom, and a solid body
has two translatory and one rotational degrees of freedom in this plain. The
description of the movement conditions is based on the geometrical and kin-
ematical parameters (Figure 2.14). Geometrical parameters are the geometries of
the individual machine elements and workpieces as well as the arrangement of
the workpieces in the holder. The kinematical parameters include the rotary
speeds of pin circles, lapping wheel, workpiece holder, and workpiece [70,106].
The angular velocity vH identifies the rotation of the holder around H, its own
center, whereas vZ identifies the translatory movement of the holder around Z,
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 37 23.10.2006 6:11pm
Fundamentals of Lapping 37
the rotational axis of the wheel. Figure 2.15 shows the vectorial velocities at the
workpiece holder for the calculation of these angular velocities. If the illustrated
velocity vectors are substituted by the scalar parameters of the angular velocities
and the radii, the following equation can be defined for point A:
~vvZj j þ ~vvHj j ¼ ~vvaj jvZaZ þ vHrH ¼ 2pnura (2:2)
X
Y
Z
rpitch
wa
w u
wH
wWw Z
w i
MZ
Geometrical parameters Kinematical parameters
WH
X
Y
ra
ri
rSa
rSi
rH
aZ
Z
PWeH
rW
w > 0w > 0
aWaH
FIGURE 2.14 Geometrical and kinematical parameters of planetary kinematics.
(From Funck, A., Planschleifen mit Lappkinematik. Dissertation, TU Berlin, 1994;
Simpfendorfer, D., Entwicklung und Verifizierung eines Prozeßmodelles beim Plan-
lappen mit Zwangsfuhrung. Dissertation, TU Berlin, 1988; Spur, G. and Eichhorn, H.,
Vortragsband Seminar Poznan— Berlin ‘‘Dokladna Obrabotka Elementov maszyn,’’
Poznan, 1995.)
H
vH
vi
va
vZ
vH
MZ
a
B
A
FIGURE 2.15 Velocities at the workpiece holder in the lapping wheel coordinate
system. (From Simpfendorfer, D., Entwicklung und Verifizierung eines Prozeßmodelles
beim Planlappen mit Zwangsfuhrung. Dissertation, TU Berlin, 1988.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 38 23.10.2006 6:11pm
38 Handbook of Lapping and Polishing
For point B, the adequate derivation leads to
~vvZj j ~vvHj j ¼ ~vvij jvZaZ vHrH ¼ 2p(ni nu)ri (2:3)
A positive algebraic sign corresponds to a counterclockwise rotation. The
substitution of the geometrical relations rH¼ (ra ri)=2 and aZ¼ riþ rH in
these equations leads to the matrix equation
vH
vZ
¼ 2p
ri
ra ri
ra
ra ri
1
ri
ra þ ri
ra
ra þ ri
1
264
375
ni
na
nu
24
35 (2:4)
as a relation between the angular velocities and the settings of the
machine [106].
2.8.3 CALCULATION OF PATH CURVES AND MOVEMENTS
In this section, equations for path velocities and path movements will be
derived. As an overview, Table 2.2 shows the used geometrical and kinematical
TABLE 2.2Parameters for the Description of Path Curves and Path Movements
Path Movement
Path Curve Geometry Velocities Accelerations
~rr(t) path curve,
position vector
~vv(t) path velocity ~aa(t) path acceleration
r(t) absolute value of
the position vector
v(t) absolute value
of the path
velocity
a(t) absolute value of
the path
acceleration
vm average path
velocity
am average path
acceleration
as(t)¼ _vv(t) scalar acceleration
in the direction of the
path movement
Source: From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das Arbeitsergebnis
beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000; zugl. Reihe Berichte
aus dem Produktionstechnischen Zentrum Berlin, 2001.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 39 23.10.2006 6:11pm
Fundamentals of Lapping 39
parameters. The derivation presented here is based on the work of Spur and
Eichhorn [105,106].
2.8.3.1 Path Curve
Any given workpiece point PW with the distance eH from the holder center
moves along the path curve ~rr(t) in the X,Y-plane. If its coordinates are
x¼ aZþ eH and y¼ 0 at the time t0¼ 0, then it has the position
~rr(t) ¼ x(t)y(t)
¼ aZ cos(vZt)þ eH cos(vHt)
aZ sin(vZt)þ eH sin(vHt)
(2:5)
at the time t on its path curve ~rr(t). The distance to the wheel center corres-
ponds to the absolute value of the positional vector and is
r(t) ¼ ~rr(t)j j ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffia2
Z þ e2H þ 2aZeH cos((vZ vH)t)~vv
q(2:6)
As all the workpieces are between the two pin circles, the range of the absolute
values of all positional vectors is limited by the interval ri< r(t)< ra [106].
2.8.3.2 Path Velocity
The path velocity~vv(t) is defined as the first derivation of the path velocity~rr(t)in relation of time:
~vv(t) ¼ _xx(t)_yy(t)
¼ aZvZ sin(vZt) eHvH sin(vHt)
aZvZ cos(vZt)þ eHvH cos(vHt)
(2:7)
The generation of the absolute value leads to the term
v(t) ¼ ~vv(t)j j ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffia2
Zv2Z þ e2
Hv2H þ 2aZeHvHvZ cos((vZ vH)t)
q(2:8)
for the scalar path velocity v(t) [106]. The arithmetic mean value of the
minimum and the maximum path velocity does not correspond to the average
path velocity vm of a workpiece point. According to the equation
vm ¼1
tDZykl
ðtDZykl
0
v(t) dt (2:9)
the complete part cycle must be considered for the calculation of vm.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 40 23.10.2006 6:11pm
40 Handbook of Lapping and Polishing
2.8.3.3 Path Acceleration and Scalar Acceleration
According to Spur and Eichhorn [106], the path acceleration follows from the
second derivation of Equation 2.4 in relation of time and is as follows:
~aa(t) ¼ €xx(t)€yy(t)
¼ aZv2
Z cos(vZt) eHv2H cos(vHt)
aZv2Z sin(vZt) eHv2
H sin(vHt)
(2:10)
The equation of the absolute values of the path velocity results in
a(t) ¼ ~aa(t)j j ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffie2
Hv4H þ a2
Zv4Z þ 2aZeHv2
Hv2Z cos((vZ vH)t)
q(2:11)
2.8.3.4 Path Curvature
The curvature k of a path curve at the time t can be calculated from the
parameterized equations for path velocity and path acceleration according to
the formula
k(t) ¼ _xx€yy €xx _yyffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi( _xx2 þ _yy2)3
p
¼ e2Hv3
H þ a2Zv3
Z þ aZeHvZvH(vH þ vZ) cos((vZ vH)t)ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi[e2
Hv2H þ a2
Zv2Z þ 2aZeHvZvH cos((vZ vH)t)]3
q (2:12)
[105]. The unit of the path curve is m1. A change of the algebraic sign
indicates an inflection point of the respective curve.
2.8.4 DESCRIPTION OF THE MOVEMENT PATTERN BY MEANS
OF THE ROTATIONAL SPEED RATIO
2.8.4.1 Definition of the Rotational Speed Ratio
In the case of most conventional lapping machines, the outer pin circle cannot
rotate in the machine base. The condition
na ¼ 0 (2:13)
reduces the selectable kinematical parameters to the rotational speed numbers
of the inner pin circle ni and the lapping wheel nu. Hence, all movement
patterns between the workpieces and the lower lapping wheel are explicitly
defined by ni and nu. On this basis, the rotational speed ratio NL
NL ¼ni
nu
(2:14)
is defined as the quotient of the two rotational speeds for the description of the
machining kinematics between the workpiece and the lower lapping wheel.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 41 23.10.2006 6:11pm
Fundamentals of Lapping 41
Beyond these two rotational speeds, only the radii of the two pin circles ri and
ra are used for the description of all kinematic relations.
The rotational speed ratio NL explicitly assigns a movement pattern to
each set of kinematical machine parameters, which depends on the geometry
of the machine used. This means that equal rotational speed conditions on
different machines might lead to differing path curves.
2.8.4.2 Kinematical Parameters
In the following, parameters for the description of kinematical conditions will
be defined [70,105,106].
2.8.4.2.1 Cycle Time and Part-Cycle TimeThe cycle time tcycl is the time required for the coverage of a complete cycle.
The time required for an individual path element is called part-cycle time
tDcycl and depends on the cycle time according to
tDcycl ¼tcycl
p(2:15)
A part cycle is completed when any observed workpiece point reaches its
maximum distance from the wheel center a second time [106]. The distance
between workpiece point and wheel center is not influenced by the rotational
speed of the lapping wheel. It only depends on the rotational speed of the pin
circles. For na¼ 0, the part-cycle time can be traced back to a geometrical
factor and the rotational speed of the pin circle ni according to
tDcycl ¼r2
a r2i
2rari
1
jnij(2:16)
2.8.4.2.2 Pitch Circle RadiusIn mechanical terms, an epicycloid is formed when a circle unrolls externally
on a pitch circle. A hypocycloid is formed if the rolling movement takes place
on the internal part of a pitch circle (Figure 2.13) [110]. The pitch circle as
well as the unrolling circle do not result from the machine design, but from
the rotational speeds. The relative velocity in the contact point of both the
circles is zero. This contact point is called the momentary center MZ of the
movement. Its path curve is equal to the pitch circle. In the momentary center,
the effective holder velocity relative to the lapping wheel is zero. The
trigonometrical relation
tan a ¼ vi
ri rpitch
¼ va
ra rpitch
(2:17)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 42 23.10.2006 6:11pm
42 Handbook of Lapping and Polishing
applies to the angle a in Figure 2.15. If the velocities vi and va are equalized in
this equation according to Equation 2.2 and Equation 2.3, the result is
rpitch ¼NLrira
NLri þ ra ri
(2:18)
for the pitch circle radius rpitch. This term describes a function with an infinity
point with the change of the algebraic sign.
Figure 2.16 shows the pitch circle radius rpitch as a function of the
rotational speed ratio NL. For jnij jnuj, the pitch circle radius asymptotically
approaches the radius of the outer pin circle ra. The rotational speed of the
lapping wheel is not significant compared to the rotational speed of the pin
circle, and the relative movement is determined by the unrolling of the
workpiece holder on the outer pin circle. For NL¼ 0, rpitch is zero too. In
the case of NL¼ 1, the lapping wheel and the pin circle rotate with the same
rotational speed. Then, relatively to the lapping wheel, the workpiece holders
unroll on the inner pin circle, and the pitch circle radius is ri.
2.8.4.2.3 Cycle Angle and Part-Cycle AngleA part-cycle angle bDcycl is the angle the observed workpiece point PW covers
relative to the center of the lapping wheel Z within one part cycle. The part-
cycle angle corresponds to the angle the center of the workpiece holder H
covers within the part-cycle time and can be calculated by the equation
bDcycl ¼ vZ tDcycl (2:19)
By substituting these two values, the part-cycle angle is
bDcycl ¼ pra ri
ra
r2a r2
i
rari
1
NL
(2:20)
A whole cycle is completed when PW reaches its starting point on the lapping
wheel a second time and the path curve closes. This condition is met if the
sum of p covered part-cycle angles
p bDcycl ¼ q 2p (2:21)
Rotational speed ratio NL
Pitc
h ci
rcle
rad
ius
r pitc
h
0
0
ra
ri
1
FIGURE 2.16 Relation between pitch circle radius and rotational speed ratio.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 43 23.10.2006 6:11pm
Fundamentals of Lapping 43
is a whole-numbered multiple of the angle of the full circle 2p. The condition in
Equation 2.21 leads to the relation of planetary kinematics. It is defined as the
quotient of the factors p and q. The factor p as numerator of the fraction represents
the number of part cycles covered in each cycle. The denominator of the fraction
q represents the number of revolutions around the wheel center the circle requires
to close. This relation of planetary kinematics can be traced back to the geomet-
rical parameters and the rotational speed ratio according to the equation
p
q¼ 2
ra ri
ra
r2a r2
i
rari
1
NL
(2:22)
The decimal number resulting from the calculation of Equation 2.22 is formed
to a fraction p=q by prime factor decomposition in a way that p and q are
coprime. In the case of q¼ 1, the curve path closes after one revolution
around the wheel center. If the conversion of p and q into natural numbers
is impossible, the path curve never closes [106].
2.8.4.3 Possible Path Movements
On the basis of the mathematical fundamentals defined, the patterns of
relative movements during face lapping with planetary kinematics with
fixed outer pin circle can be analyzed.
All workpiece points with the same distance eH from the holder center
cover analogous path curves with a time shift. If a workpiece point punctually
touches the pitch circle radius on its path, it covers a common epicycloid or
hypocycloid relatively to the lapping wheel (see Figure 2.13). If its path
intersects the pitch circle radius, interlaced, otherwise stretched cycloids
occur. The rotational speed ratio NL and the distance of the point from the
holder center eH must be known for the determination of the effective path
pattern. Table 2.3 shows how the path pattern (stretched, common, or inter-
laced cycloid) is dependent on eH.
TABLE 2.3Relation between Part Position in the Holder
and Occurring Path Pattern
Position of the Point Respective Path Pattern
eH > jaZ – rpitchj Interlaced cycloids
eH ¼ jaZ – rpitchj Common cycloids
eH < jaZ – rpitchj Stretched cycloids
Source: From Spur, G. and Eichhorn, H., IDR, 31(2), 169, 1997.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 44 23.10.2006 6:11pm
44 Handbook of Lapping and Polishing
It can be derived from the conditions, shown in Table 2.2, on which
kinematical parameters have to be set on the machine for the formation of
common cycloids. If the relation rpitch¼ j aZ – eH j is substituted in Equation
2.17, the result is
NL common cycloids ¼ ra þ ri
2eH
1
ri
2eH
þ ri
ra ri
þ common epicycloid
common hypocycloid
(2:23)
as a formulation for the respective rotational speed ratio. For certain values of
the pitch circle radius rpitch, special movement conditions occur, which are
characterized by all workpiece points covering geometrically identical path
curves independently of their position in the holder. The following section
explains these special cases and calculates the respective rotational speed
ratios. Here too, the prerequisite is that a rotation of the workpiece in the
holder gaps is not possible.
If the pitch circle radius is rpitch¼ riþ ra, the circumference of the pitch
circle is exactly twice the circumference of the unrolling circle. Then, the
unrolling circle revolves twice in one cycle. If this condition is substituted in
Equation 2.17, the result is
NL centric ellipse ¼ r2
a r2i
r2i
(2:24)
for the respective rotational speed ratio. In the case of this rotational speed
ratio, all workpiece points cover centric ellipses on the lapping wheel.
If the pitch circle radius tends to infinite in the positive or the
negative range, the angle velocity vH of the holder rotation tends to
zero. This is a purely translatory holder movement relative to the lapping
wheel, hence the workpieces cover eccentric circular paths around the
rotation center of the lapping wheel. If rpitch lim+1 is substituted in
Equation 2.17, the result is
NL eccentric circular path ¼ ra ri
ri
(2:25)
for the respective rotational speed ratio. In this case, all workpiece points
cover eccentric circles of the same geometry on the lapping wheel.
In the case of a pitch circle radius of zero, Equation 2.17 is only solvable
for the trivial case of
NL centrical circular path ¼ 0 (2:26)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 45 23.10.2006 6:11pm
Fundamentals of Lapping 45
In the case of this rotational speed ratio, the internal pin circle stands still with
ni¼ 0 and only the lapping wheel rotates. Thus, the workpieces move on
centric circular paths. Here the kinematical description shows a lack of
definition, as the centric circular path cannot be divided into uniform path
elements. Accordingly, the formulas have a lack of definition for the part-
cycle time and the part-cycle angle. Therefore, no average path velocity can
be calculated for a workpiece point. This can be traced back to the fact that
the velocity of a point in the case of a stationary inner pin circle does not only
depend on its eccentricity in the holder, but also on the angular holder
position. This position, however, is not defined in the present description of
kinematical conditions.
In the case of rpitch¼ aZ, the pitch circle crosses the rotational center of
the holder. Through the substitution of the condition in Equation 2.18, the
rotational speed ratio is
NL circular path around the holder center ¼ra þ ri
ri
(2:27)
In this case the holder center does not move relatively to the wheel. Pure
holder rotation occurs, and all workpiece points move on circular path curves
around the holder center H.
2.8.4.4 Determination of the Path Pattern of a Workpiece Point
Like Figure 2.16, Figure 2.17 shows the pitch circle radius rpitch as a function
of the rotational speed ratio NL. In this figure, however, the range between the
two pin circles ra and ri, where workpiece points can be located, is depicted
Rotational speed ratio NL
ra
ra
aZ
ri
ri
ri
ra ri
ri
0
2i
2i
2a
rrr
0 1
Interlaced
Stretched Stretched
Interlac.
HypocycloidsHypocycloids Epicycloids
Stretched
Pitc
h ci
rcle
rad
ius
r pitc
h
eH
Ecc
entr
ic c
ircul
ar p
ath
Cen
tric
circ
le
Cen
tric
al e
llips
e
Circ
ular
pat
h ar
ound
the
hold
er c
ente
r
− − +
FIGURE 2.17 Determination of the path of a workpiece point. (From Ardelt, Th.,
Einfluss der Relativbewegung auf den Prozess und das Arbeitsergebnis beim Plans-
chleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000; zugl. Reihe Berichte
aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 46 23.10.2006 6:11pm
46 Handbook of Lapping and Polishing
with a bigger scale. The relation between the diagram and the machine
geometry is demonstrated by the drawing on the right margin of the image.
According to Table 2.3, the possible locations of workpiece points are divided
into fields, which mark the different movement patterns. The dark area in the
center of the diagram marks epicycloids, the two light areas at the sides mark
hypocycloids. The fields of interlaced and stretched cycloids are marked with
different hatchings. The distance eH of a point PW on the workpiece surface
from the holder center is plotted in the diagram as a horizontal, dashed line.
The effective movement pattern of a workpiece point for every rotational
speed ratio NL can be read from this line.
Hypocycloids occur if the pitch circle radius is bigger than the distance aZ
between the rotation center Z and the holder center H. If rpitch is smaller than
aZ, epicycloids occur. In the case of rotational speed ratios of NL 1, the
pitch circle radius is outside of the pin circles, hence, the path curves of the
workpiece points cannot intersect it. Here, the occurring path pattern is
defined independently of eH. In the case of rotational speed ratios of NL> 1,
the value of the pitch circle radius lies between the pin circles. Thus, interlaced,
common, and stretched cycloids can simultaneously occur here.
As a concretion, these considerations are reproduced using the example of
a real machine. The basis of the kinematical analyses of this chapter is the
design of a Duomat ZL 500 by the Stahli Lapp-Technik Company, Schonaich,
Germany. Characteristic geometrical properties are pin circle radii with
ri¼ 86 mm and ra¼ 289.5 mm. A workpiece point PW with the distance of
eH¼ 0.5 rH¼ 50.86 mm was chosen for the following considerations. This
value corresponds to the example marked with a dashed line in Figure 2.17.
Table 2.4 shows the rotational speed ratios, which have to be set for certain
path curves on the selected machine.
Figure 2.18 shows path patterns, which occur at different rotational speed
ratios between the workpieces and a lapping wheel. The images marked with
the numbers 1 to 5 are path pattern classes occurring in certain ranges of the
TABLE 2.4Special Path Curves on the Duomat ZL 500
Path Pattern Calculation NL
Ellipse around the wheel center Equation 2.23 10.3
Eccentric circular path Equation 2.24 2.4
Common epicycloids Equation 2.22 2.1
Circular path around the holder center Equation 2.26 4.4
Common hypocycloid Equation 2.22 11.1
Source: From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das Arbeitsergebnis
beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000; zugl. Reihe Berichte
aus dem Produktionstechnischen Zentrum Berlin, 2001.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 47 23.10.2006 6:11pm
Fundamentals of Lapping 47
rotational speed ratio NL. The curves marked with the letters a to f are special
cases, which occur only at a certain rotational speed ratio.
In the case of big negative rotational speed ratios, the observed workpiece
point PW covers stretched hypocycloids (1) on the lapping wheel. These
consist of relatively large form elements, which encircle the center of the
lapping wheel. As a special case, centric ellipses occur in this range at
NL¼10.3 (a). With increasing NL, the form elements keep stretching until
they transform into eccentric circular paths at NL¼2.4. This special form is
the transition to stretched epicycloids (2). As a special form in the field of
epicycloids, circular paths occur around the center of the wheel (c) at NL¼ 0.
At a rotational speed ratio of 2.1, the path curves change into the special case
of common epicycloids (d), which are characterized by a vertex near the
center of the lapping wheel. In the case of further increasing NL, the paths
change into interlaced epicycloids (3), whose form elements are smaller than
before and recur on one-half of the wheel. With further increasing NL, the size
of the evolving loops grows until they change over into circular paths (e) at
NL¼ 4.4. These paths are centrally running around the holder center. With a
further increase of the rotational speed ratio, interlaced hypocycloids are
formed (4), which change over into the special case of common hypocycloids
(f) at NL¼ 11.1, which, in turn, have no recurring vertexes. Further on, the
path curves change over into stretched hypocycloids (5).
2.8.4.5 Progression of the Path Velocity
The geometry of a path curve depends on the rotational speed ratio NL. The
velocities of a workpiece point PW covering this curve are determined by
Pat
h pa
ttern
cla
sses
−4 8 1210
(1) (2) (3) (4) (5)
(c) Concentric circular path
(b) Eccentric circular path
(f) Common hypocycloids
Circular patharound theholder center
0 2 4 6
Stretchedhypocycloids
Interlacedepicycloids
Stretchedepicycloids
Interlaced hypocycloidsStretched
hypocycloids
Rotational speed ratio NL
Spe
cial
cas
es
(d) Common epicycloids
(a) Concentric ellipse
NL= −10,3
Machine: Duomat ZL 500Position of the observed point: eH = 0.5 rH
−2
(e)
FIGURE 2.18 Occurring path patterns depending on the rotational speed ratio. (From
Uhlmann, E. and Ardelt, Th., Ann CIRP, 48=1, 281, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 48 23.10.2006 6:11pm
48 Handbook of Lapping and Polishing
the absolute values of the rotational speeds set on a pin circle and a lapping
wheel. This fact is demonstrated by Figure 2.19, which contains the path
velocities for the rotational speed ratio of NL¼ 0.5. The four demonstrated
velocity progressions from the bottom up are each based on a rotational speed
ni increased by 25 min1, and, accordingly, a rotational speed nu increased by
50 min1. This makes clear that the part-cycle time tDcycl is halved by a
duplication of the two rotational speeds. The absolute values of the path
velocity duplicate simultaneously. The relation of maximum and minimum
path velocity is constant at fixed NL.
2.8.5 CALCULATION OF THE PATH LENGTH DISTRIBUTION OVER
THE LAPPING WHEEL RADIUS
2.8.5.1 Profile and Grain Wear during Machining
Like all tools, lapping wheels are subject to wear. Due to the planetary
movement patterns, the workpieces spend different amounts of time in the
individual radial areas of the wheel covering different distances. This leads to
inhomogeneous strains, which cause inhomogeneous wear.
Many methods published so far on the forecast of the wear of lapping
wheels are based on the idea that the volume removed from the workpiece as
well as worn from the lapping wheel are proportional to the distance they
cover relatively to each other. In the case of the workpiece, the covered
distance and the length of its path curve are identical. A surface element of
the lapping wheel has no constant workpiece contact, it is rather multiply
Cutting time tc [s]
21 1.50 0.50
150
50
Pat
h ve
loci
ty v
[m/m
in]
3 3.5 42.5
300
200
250
100
GeometryDuomat ZL 500
Kinematicsrot. speed ratio NL = 0.5stretched epicycloid
ni nu vmtDZykl [min−1] [min−1] [m/min][s]
100 200 0.92 21875 150 1.23 16450 100 1.84 10925 50 3.68 55
FIGURE 2.19 Path velocities at constant path curve geometry. (From Ardelt, Th.,
Einfluss der Relativbewegung auf den Prozess und das Arbeitsergebnis beim Plans-
chleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000; zugl. Reihe Berichte
aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 49 23.10.2006 6:11pm
Fundamentals of Lapping 49
crossed by individual workpieces. This leads to the wear hypothesis that the
wear of a surface element of the lapping wheels is proportional to the path on
which workpieces are contacted in the process [70,105,112–115]. The fol-
lowing pages show a way to calculate the distribution of path curve lengths
over the wheel radius.
2.8.5.2 Description of Workpiece Geometryby the Geometric Function
For the numerical calculation of the path length distribution, information on
number, geometry, and position of the workpieces in the workpiece carrier
have to be transformed into a manageable form. This is realized by making
use of the fact that all workpiece points at the same distance eH from the
holder center carry out the same path movement with a shift in time and
location, thus equally stressing the lapping wheel. These points can be
discussed as a group.
The geometric function gW(eH) is defined for this purpose. It describes the
amount of workpiece surface being at a certain distance eH from the holder
center H. For the calculation of the geometric function, concentric circles
with a radius of eH and a circumference of 2peH are laid into the holder
starting at the holder center H. Then, the length of all arc segments of all
circles lying in the workpiece area is determined (Figure 2.20). The number of
equally occupied workpiece holders will be considered in factorial terms
further on.
In physical terms, the geometric function gW(eH) has the dimension of a
length, and the surface below the curve corresponds to the surface of all
Distance eH from holder center H
Spe
cific
geo
met
ric fu
nctio
n g W
(e H
) [%
]
eH
Circle sectionWorkpiece
Workpiece holder
0
20
40
60
80
100
0 rH
Contact length
H
FIGURE 2.20 Simulation of the holder occupancy as a two-dimensional geometric
function. (From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das
Arbeitsergebnis beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin,
2000; zugl. Reihe Berichte aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 50 23.10.2006 6:11pm
50 Handbook of Lapping and Polishing
metered workpieces. The best method to compare different holder occupan-
cies is to standardize the biggest possible contact length between an arc and
the workpieces, which is theoretically the circumference of the workpiece
holder 2prH. This results in the specific geometric function
g0w(eH) ¼ gw(eH)
2prH
(2:28)
which is not a physical unit, but has the character of a weighting factor. It is
indicated in terms of percentage.
2.8.5.3 Path Length Distribution
Figure 2.21 shows the subdivision of the working surface in a finite number iof circular classes Ki. All lapping wheel classes are grouped centrally around
the lapping wheel center Z and have the same width. Each of these lapping
wheel classes is limited by its internal and external class radii riKiand raKi
, and
has the surface area ASKi.
The path length distribution can be calculated on the basis of the lapping
wheel classing. It is sufficient to determine each path curve for the half part-
cycle time 0< t < tDcycl=2, as the progression of the further path curve is
adequate to this time period. The path length distribution calculated for half a
part-cycle time is subsequently extrapolated to the whole machining time.
The length of stay of a workpiece point PW within a lapping wheel class Ki is
determined by the points in time t1i and t2i, at which it enters and leaves a
r
Z X
Y
t = 0
Path curve of PW
Position of a point after thetime interval t
Current wheel class Ki
t = 0 Start pointt = t1i
t = t2i
t = t1i Entry in the lapping wheel class i
t = t2i Exit of the class i
t = t∆cycl
t = t∆Zykl
t = tDZykl /2
Part-cycle time
eH
ra Ki
ri Ki
FIGURE 2.21 Classing of the lapping wheel and determination of the path
curve segments in the individual classes. (From Spur, G. and Eichhorn, H., IDR, 31
(2), 169, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 51 23.10.2006 6:11pm
Fundamentals of Lapping 51
class. The time limits t1i and t2i result from the intersections of the path curve and
the margins of the respective class raKiand riKi
. According to Spur and Eichhorn
[106], if these radii are substituted in Equation 2.6 for the absolute value of
the path curve vector, the points in time t1i and t2i result from the equation
t1i,2i ¼1
vH vZ
arc cosr2
1i,2iKi a2
Z e2H
2aZeH
!(2:29)
The path curve segment of the point PW covered in the lapping wheel class can
be calculated by the integral of the path velocity in the calculated time limits
sPWKi¼ðt2i
t1i
vPW(t) dt (2:30)
To numerically determine the totality of all workpiece surfaces, the path
curves of a finite number of workpiece points have to be calculated. For this
purpose, the distance from the holder center eH is varied starting from zero in
n increments up to the radius of the holder. Then, the path curve and the
length of its path curve segments in the individual lapping wheel classes are
calculated for each value of eH. The set of all workpiece points moving along
this path curve is weighted by the value of the specific geometric function g0w(eH). This procedure leads to the term
sKi¼Xn
j¼0
g0W eH ¼ j rH
n
ðt2i
t1i
v(t) dt (2:31)
for the class path length sKicovered in a lapping wheel class. In this numerical
procedure, the absolute values of sKidepend on the number of the sampling
points and the increment n. Accordingly, the class path lengths sKirepresent
no quantitative path lengths but qualitatively describe the distribution of all
covered distances on the wheel classes. To represent the class path lengths
independently of the numerical accuracy of the calculation, the maximum
value has to be standardized. Thus, the class path lengths can be represented
in terms of percentage and the different machining cases can be compared.
The result of the standardization is the specific class path length sK0i. As Figure
2.22 shows, the envelope curve of the specific class path lengths sK0ito sK0i
can
be represented as specific path length distribution s0(rs) over the lapping
wheel radius in case of sufficient numerical accuracy. Particularly if several
calculation results are compared, the representation as a curve is much clearer
than a bar chart.
The qualitative progression of the path length distribution depends on the
machine design and on the movement pattern. Its quantitative height increases
linearly with the lapping time and with the average path velocity.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 52 23.10.2006 6:11pm
52 Handbook of Lapping and Polishing
The two diagrams in Figure 2.23 show specific path length distributions
s0(rs) for different rotational speed conditions NL. An even arrangement of
six workpieces on a circle with a radius of 54 mm was assumed for the
curves depicted in the diagram on the left side. The diagram on the right
0
40
60
80
20
100
50 125 175 250 275 300
Spe
c. p
ath
leng
th d
istr
ib. s
[%
]
Machine: ZL 500Workpiece:
rW = 20 mmAverage path velocity:
vm = 150 m/minRotational speed ratio:
NL = 1.0Occupancy:
six workpieces on acircle segment
10075 150 200 2251 2 3 4 5 6 7 8 9 i... Lapping wheel class
Lapping wheel radius rS [mm]
Spe
c. c
lass
pat
h le
ngth
s K
i
sK7
sK6
sK5
sK4
s(rs)
FIGURE 2.22 Transition from the specific class path lengths to the specific path length
distribution. (From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das
Arbeitsergebnis beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin,
2000; zugl. Reihe Berichte aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Machine: ZL 500 Workpiece: rW = 20 mmAv. path velocity: vm = 150 m/min Lapping time: tc = 10 min
Spe
c. p
ath
leng
th d
istr
ibut
ion
s [%
]
Lapping wheel radius rS [mm]
0
40
60
80
20
100
0
40
60
80
20
100
50 100 150 200 250 300 50 100 150 200 250 300
Spe
c. p
ath
leng
th d
istr
ibut
ion
s [%
]
Six workpieces on one c. segment
Six workpieces on two c. segments
NL = −6.0NL = −2.4NL = 0.6NL = 2.1
FIGURE 2.23 Specific path length distribution for different rotational speed ratios
and holder occupancies. (From Ardelt, Th., Einfluss der Relativbewegung auf den
Prozess und das Arbeitsergebnis beim Planschleifen mit Planetenkinematik. Disserta-
tion, TU Berlin, 2000; zugl. Reihe Berichte aus dem Produktionstechnischen Zentrum
Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 53 23.10.2006 6:11pm
Fundamentals of Lapping 53
shows results of the arrangement on two circles with the radii 54 and 23
mm. All specific path length distributions in the left diagram show two
local maxima, occurring symmetrically to the center between the inner and
outer lapping wheel edges. The radial lengths of these maxima result from
the distance of the workpiece centers from the holder center and are
independent of the rotational speed ratio. Although the relative heights of
the two maxima change relatively to each other through a variation of NL,
the progression of the curves remains the same. In the case of hypocycloid
path curves, like occurring at a rotational speed ratio NL¼6, (see Figure
2.18), the absolute maximum of the specific path length distribution is at
the inner edge of the lapping wheel. At NL¼2.4, all workpieces cover
eccentric circular paths around the center of the lapping wheels. In this
case, there is a path length distribution, which is symmetrical to the center,
with two equal local maxima. At NL¼ 0.6, the absolute maximum is near
the outer edge of the lapping wheel. If the workpiece centers cover
common epicycloids on the lapping wheel, the path curve segments on
the inner part of the lapping wheel are relatively short, so that no local
maximum occurs here.
If the workpieces are arranged on two circle segments, the specific path
length distributions have different progressions than in the diagram on the
left side. In this case, they cover especially long distances in the middle of
the inner and outer lapping wheel edges, whereas the contact frequency to
the lapping wheel edges is different according to the chosen rotational
speed ratio.
This illustration shows that the influence of the arrangement of the
workpieces in the holder on the specific path length distribution is as big as
the rotational speeds of the inner pin circle and of the lapping wheel.
2.8.6 CUTTING CONDITIONS IN THE CASE OF ONE-SIDED
AND TWO-SIDED MACHINING
Different authors have reported that the material removal rate during face
lapping increases linearly with the average path velocity [69,103,116]. This
means that the material removal per lapping path length is independent of the
path velocity.
Figure 2.24 shows two workpieces A and B, which move on a stretched
epicycloid. In the case of this path pattern, the path velocity increases
monotonously with the lapping wheel radius, as the diagram on the right
shows. As an average value in every part cycle, both workpieces have the
same path velocity and cover the same distances. If a shorter time interval
Dtc< tDcycl is considered, significant differences can be observed. The work-
piece B, which starts at the inner edge of the lapping wheel at the time t0,
covers a shorter path distance in the time interval Dtc than the workpiece A,
which starts near the outer edge of the wheel.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 54 23.10.2006 6:11pm
54 Handbook of Lapping and Polishing
During the time interval Dtc, the upper lapping wheel descends onto the
lower wheel. Its feed speed results from the workpiece height reduction DhW
and the grinding time tc to
D _hhW ¼DhW
tc
(2:32)
and is called time-related workpiece height reduction D _hhW according to a
proposal of Funck [103]. As all workpieces in the working space have the
same height at every point in time independently of their radial position, also
the time-related workpiece height reduction has to be independent of the
radius of the lapping wheel. In the process, it can be subject to temporal
fluctuations, it must be, however, locally constant as the lapping wheel cannot
descend on certain radii faster than others.
The workpiece height reduction can be determined from the path-related
point of view according to
DhW ¼ s(rS) Dh0W(rS) (2:33)
as a product of the grinding path s and the path-related height reduction
Dh0W [103]. The path a workpiece covers in a time interval can be calculated
from its path velocity v(t, rS), which functionally depends on the time and on
the lapping wheel radius. For this reason, the dependence s(t, rS) also applies
to the covered path. According to Equation 2.33, the path-related workpiece
height reduction, too, is a function of the lapping wheel radius. The result for
the example in Figure 2.24 is that the two workpieces A and B are subject
to the same workpiece height reduction DhW during their movement on
different paths DsA 6¼ DsB. The transposition of Equation 2.33 leads to the
equation
Dh0W(t, rS) ¼ DhW(t)
Ds(t, rS)¼ D _hhW(t)
v(t, rS)(2:34)
A B
rSi rSa
v(rS)t0
FIGURE 2.24 Path curve segments of two workpiece points in the time interval Dtc.(From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das Arbeitsergebnis
beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000; zugl. Reihe
Berichte aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 55 23.10.2006 6:11pm
Fundamentals of Lapping 55
for the path-related workpiece height reduction, according to which Dh0W is
indirectly proportional to the covered distance and the path velocity v of a
workpiece. According to this, the highest path-related workpiece height
reductions occur where the path velocities are the lowest. Figure 2.25 depicts
the time- and path-related workpiece height reduction and the path movement
of the two workpieces A and B as vectors.
The draft shows a two-sided machining between two wheels correspond-
ing to face lapping with planetary kinematics. Despite different path lengths
sA and sB, the heights of both workpieces are reduced evenly by the work-
piece height reduction DhW. Due to the different grinding paths, different
path-related workpiece height reductions Dh0WA 6¼ Dh0WB result for the two
workpieces. According to Equation 2.34, they correspond to the slopes of the
vectors running diagonally downwards.
Figure 2.26 shows vectorially the time- and path-related workpiece
height reductions in the case of single-sided machining under individually
applied overloads. Here, the two workpieces A and B do not influence each
other. As both are loaded with identical forces, the workpiece height
removed from the two workpieces corresponds to the covered distances.
Thus, the path-related workpiece height reduction Dh0W is constant here,
the workpiece heights removed per time unit DhWA 6¼ DhWB, however,
are different and depend on the current radial position. Consequently, in
the case of this second configuration, the distribution of the path lengths
over the lapping wheel radius suggests the load of the lapping wheel.
The heights of the individual workpieces differ according to their radial
position.
A
B
∆hW = constant
∆hW
A B
∆hW
t0 t1
t1
sB
sA
∆hW = f(rS)
∆hWB
∆hWA
FIGURE 2.25 Time- and path-related workpiece height reduction during double-sided
machining. (From Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das
Arbeitsergebnis beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin,
2000; zugl. Reihe Berichte aus dem Produktionstechnischen Zentrum Berlin, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 56 23.10.2006 6:11pm
56 Handbook of Lapping and Polishing
2.9 PROCESS MODELS AND SIMULATION
The real process events are depicted by a process model within defined
validity limits. The goal of process modeling is to achieve a preferably high
concordance between reproduction and the real process events [117]. The
extent of technological verifiability characterizes the quality of a process
model [104]. The modeling allows the programmable description of the
process. The implementation in the computer provides a program, which
simulates the real process [118]. Analytical models describe the system
processes by equation systems. The results are mathematically exact or
approximate (heuristic) solutions [118]. Numerical models describe system
processes through programmable algorithms. They are used if no determinis-
tic rules are defined for the time response in the system or certain events
cannot be predicted [119,120].
For the use of the process model, the processes have to be simulated in
real or imaginary systems with the help of physical and formal models. This
procedure is called process simulation [70,118]. Thus, it has no independent
calculation or optimization process, but is a kind of virtual experiment with
concrete reference to reality [104]. Consequently, the process simulations are
an instrument for cost minimizing optimization of processes before
realization [104,121].
The description of technological dependencies of the material removal
rate and of the work result on the process parameters of lapping by charac-
teristic curves is often insufficient for a cohesive representation of the inter-
action between process control and target qualities. The cause is that it is only
during the lapping process that the effective tool specification is stochastic-
ally generated and must be described with statistical principles. Additionally,
there are a number of influencing parameters, whose effects on the tool
specification, the lapping process, and the work result are strongly intercon-
nected [59]. This leads to the system structure of the interaction between the
A
B∆hW = constanthB
A B
∆hA
t0 t1
t1
sB
sA
∆hW = f(rS) ∆hW
∆hW
FIGURE 2.26 Time- and path-related workpiece height reduction in the case of one-
sided face machining.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 57 23.10.2006 6:11pm
Fundamentals of Lapping 57
tool and the workpiece as explained in Section 2.5. This makes it impossible
to give a deterministic description of the machining process.
These relationships prevented the definition of characteristic values of
lapping, which make possible the optimization of the lapping process in
advance. Due to the incomplete knowledge of the functional dependencies,
the quality of the work result in lapping often depends on the internal
experience in the enterprise. The process layout is always linked to a concrete
material removal system. The alteration of the parameters of the material
removal system requires new knowledge from experiments.
There are different model approaches for the description of material
removal during lapping. These approaches by Imanaka [51], Chauhan et al.
[48], Buijs, and Korpel-van Houten [45,46] are based on the observation of
the force relations at the single grain and the resulting stress field in the
subsurface of the workpiece, which lead to material removal and surface
formation. The goal of these process models is first of all the analytical
forecast of the achievable surface quality for different lapping systems.
2.9.1 PROCESS MODEL ACCORDING TO IMANAKA
The process model by Imanaka [51] is used for the predetermination of the
maximum surface roughness. A lapping system for the lapping of glass is
taken as an example. The single lapping grains are supposed to have rounded
edges. In case of pressure load, the grains do not penetrate the workpiece, but
are subject to elastic deformation depending on the edge radius. This leads
to the formation of a circular contact area with the radius ac. A Hertzian
stress field is induced in the workpiece. If a critical stress is exceeded, an
annular crack develops, which propagates deep into the subsurface along a
material-dependent angle to the workpiece surface by the value h. The
truncated cone typical for the Hertzian load case develops in this process
(Figure 2.27). The superposition of adjacent conical cracks leads to the break
off of single material particles. The parameters u, ac, and h of the conical
cracks are calculated with the classical Hertzian equations in dependence
on the pressure per grain, the Poisson ratio, and Young’s modulus of the grain
and the workpiece, the cutting edge radius, as well as on the relation of
the elasticity limit and the surface stress to the specific surface energy of the
workpiece. The latter parameter is generated from indentation tests. The
cutting edge radius is assumed to be 1=10 of the grain diameter.
The maximum surface roughness corresponds to the depth extension of
the conical crack h vertically to the workpiece surface. This depends on the
compressive force the active grains are charged with. The pressure per grain
results from the number of grains involved in the lapping process. It can be
calculated on the basis of statistical considerations suggesting that the grain
size distribution of the lapping abrasive corresponds to the Gaussian normal
distribution. The biggest grain determines the height of the lapping gap less
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 58 23.10.2006 6:11pm
58 Handbook of Lapping and Polishing
the elastic deformation of the active partners. The size of the biggest grain
results from the total number of lapping grains in the working gap, the average
equivalent diameter of the sphere of equal sinking speed according to Stokes,
and its standard deviation. Imanaka specifies the average Stokes diameter with
8=10 of the grain diameter of the sphere of equal projected area.
The total number of the grains in the working gap depends on the height
of the lapping gap and the grain concentration in the lapping slurry. The
number of active lapping grains involved in the material removal process is
calculated with the distribution function of the normal distribution for the
probability that the grains of the basic population are bigger than the height of
the lapping gap. As the lapping pressure is distributed to the number of active
grains, also the elastic deformation of the active partners and thus the height
of the lapping gap depend on the number of active grains, leading to a
recursive calculation.
This process model is only applicable to other lapping systems, if the
material removal takes place through the networking of Hertzian conical
cracks. Further problems are the negligence of crack superposition, the
calculation of the height of the lapping gap without considering the penetra-
tion of the grains into the lapping wheel and when using the Stokes diameter,
as well as the fact that the u angle can only be estimated and occurs in the
equation with the tangent.
2.9.2 PROCESS MODEL ACCORDING TO CHAUHAN ET AL.
The approach of Chauhan et al. [48] should make possible the calculation
of the resulting surface quality of lapping of ceramics on the basis of the
active grain number and the pressure distribution to the grains. According to
Indenter
Cone crack
Pi
2ac
2b
q
hGlass
FIGURE 2.27 Schematic representation of the conical crack behavior. (From
Imanaka, O., Ann CIRP, 23, 227, 1966.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 59 23.10.2006 6:11pm
Fundamentals of Lapping 59
Chauhan et al., the material removal takes place through crack formation, which,
in contrast to the assumptions of Imanaka, can be traced back to the penetration
of pointed, conical bodies. At the moment of penetrating the workpiece material,
these provoke a Boussinesquian state of tension. The material removal takes
place through the formation and propagation of an axial–lateral crack system.
The resulting average roughness height Rz corresponds to the depth of lateral
crack formation below the engaging active grains.
The depth of lateral crack formation depends on the depth of penetration
of the pointed body and the extension of the plastic zone below the grain
(Figure 2.28). The extension of the plastic zone can be calculated with Hill’s
relation between the average contact pressure (hardness) and the Young’s
modulus of the workpiece depending on half the aperture angle of the grain
tip, on the radius a of the conical indentation at the surface, on the radius u of
the plastic zone, and on the Poisson number v of the workpiece [48,122]. The
average contact pressure depends on the pressure per active grain.
For the calculation of the active grain number, Chauhan et al. use an
approach similar to Imanaka’s. Through the use of the Stokes diameter, he
obtained a higher number of grains than Chauhan et al., whose calculation was
done using the equivalent diameter. In contrast to Imanaka, Chauhan et al.
consider the penetration of lapping grains into the workpiece for the calculation
of the working gap geometry.
Chauhan et al. also have to make assumptions for the calculations of the
crack system below the single-grain cutting edges. The grain aperture angle,
Load P
Plastic zone
Lateral crack
a
b
c
h
Median crack
FIGURE 2.28 Schematic representation of an axial–lateral crack system. (From
Chauhan, R., Ahn, Y., Chandrasekar, S., and Farris, T.N., Wear, 162–164, 246, 1993.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 60 23.10.2006 6:11pm
60 Handbook of Lapping and Polishing
which is very difficult to calculate, strongly influences the result of the
calculation. The specification of this angle should not be possible, as it is
very different in the case of each single lapping grain and thus hardly
measurable. In the calculation of the working gap geometry, the penetration
of the lapping grains into the lapping wheel is neglected. The use of statistical
principles for the calculation of the active grain number from the grain size
distribution of the lapping abrasive reflects the stochastic nature of the tool
formation. Hereto, Chauhan et al. do not use the maximum, but the equivalent
diameter of the grains.
2.9.3 PROCESS MODEL ACCORDING TO BUIJS AND KORPEL-VAN HOUTEN
Buijs and Korpel-van Houten [45,46] describe a process model for the lapping
of glass. They use it as a basis for the derivation of equations for the material
removal rate, surface roughness, and axial crack propagation. Hereby, they
act on the assumption of rolling lapping grains, whose edges are pressed into
the workpiece in a quasistatistical way, provoking an axial–lateral crack. The
average depth of roughness corresponds to the depth of lateral crack forma-
tion under an engaging grain according to Marshall et al. [65]. They calculate
the axial crack propagation with the equations by Lawn et al. [64]. For the
calculation of the material removal rate, they use the model by Wiese and
Wagner, which is a model of calculating the material removal rate as a
product of the frequency of grain engagement and material removal per
grain (see Section 2.4) [67]. The frequency of grain engagement depends on
the number of cutting edges of the rolling grains and the relative speed of the
lapping wheels and the workpiece.
Based on the formulas of Marshall et al., the depth of the formation of
lateral cracks h, as well as its lateral propagation c can be calculated over the
pressure by means of material characteristic values and factors of grain shape
[65]. The material volume removed by a single grain engagement results in a
volume of a cylinder with the height h and the diameter 2c.
For the calculation of the number of active grains, it is assumed that the
height of the working gap is twice as high as the average grain size. The active
grain number results from the Gaussian distribution function of the grain size
and the number of grains in the working gap for the probability of the grains
being larger than twice the average grain diameter. Buijs and Korpel-van
Houten calculate the number of grains in the working gap for a certain
working gap height from the single grain volume for sphere geometry and
the grain concentration of the slurry.
One of the three target values, material removal rate, axial crack depth, or
roughness, must be always known for the calculation of the pressure per grain.
Thus determined pressure per grain is then used for the calculation of the two
unknown values. In this way, the model approach provides no clear solution
for the relations in the removal system.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 61 23.10.2006 6:11pm
Fundamentals of Lapping 61
The fact that the material break off is considered a cylindrical volume
with the depth and the extension of the lateral crack leads to considerably
higher calculated material removal rates than in reality. Furthermore, the
assumption of the height of the lapping gap being twice the average grain
diameter leads to a very high number of active grains with an accordingly
lower pressure per single grain. According to Lawn et al. and Marshall et al.,
factors defined for the lapping system and the grain aperture angle have to be
considered for the calculations of the crack system geometry [64,65]. The
aperture angle, which can only be estimated, occurs in the calculations with
the cotangent and is thus a particular source of failure.
Buijs and Korpel-van Houten assume a rolling movement of the lapping
grains in the working gap. Using the model approach by Wiese and Wagner,
the superimposition of the individual crack systems under the grain cutting
edges occur in the calculations of the material removal rate. This does not
apply to the roughness and the depth of the axial crack.
2.9.4 SUMMARIZING ASSESSMENT OF PROCESS MODELS
ACCORDING TO IMANAKA, CHAUHAN ET AL., AND
BUIJS AND KORPEL-VAN HOUTEN
The models by Imanaka, Chauhan et al., and Buijs and Korpel-van Houten are
based on the fracture mechanical calculation of crack systems below the
engaging single grain cutting edges. This requires a very exact knowledge
of the geometrical and mechanical properties of the active parameters. Param-
eters, which are difficult to ascertain, such as the aperture angle or corner radii
of the single grains, have a particularly high influence on the measuring
result. As the geometrical characteristic values of grain collectives are sto-
chastic, the aperture angles of the grains and other grain parameters have to be
ascertained and evaluated by statistical methods.
A number of parameters for the calculations in the model approaches have
to be determined in experiments. Thus, the universal validity of the observed
removal system is not guaranteed. The basis of the calculations of the active
grain number is grain size parameters, which are measured before the process
start. The grain size distribution changes during machining as a result of the
wear of the abrasive. The consideration of the equivalent diameter as deter-
mining parameter partially leads to decisively smaller dimensions of the
lapping gap assuming that the penetration by the lapping grain mainly takes
place over the maximum grain diameter.
Apart from the calculations of the material removal rate by Buijs and
Korpel-van Houten, an interaction of single material break offs is as little
considered as the engagement conditions between the grain and the lapping
wheel, though they are crucial elements of the total material removal process.
Although the models reflect the material removal systems presented
within the scope of modeling, they cannot be transmitted to other removal
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 62 23.10.2006 6:11pm
62 Handbook of Lapping and Polishing
systems and no clear description is possible. No parameters of uni-
versal validity are developed for the description of the interrelations in the
removal system.
2.9.5 PROCESS MODEL ACCORDING TO ENGEL
Due to the described deficits of the process models represented so far, Engel
[49,123] developed a numerical process model for the lapping of monocrystal
silicon, which makes it possible to describe the interaction between the tool
formation and the tool engagement, as well as between the removal rate and
the subsurface-related work result on the basis of technological functions for
relevant process parameters. Hereby, focus is not placed on the determination
of the work result, but on the simulation of a removal system in order to
identify effective possibilities for its specific optimization.
The removal rate is described by the modeling of the tool formation and
the tool engagement. The number of lapping grains in the working gap and the
ratio of active grains are determined for the description of the tool formation.
The frequency and the depth of removal processes are determined for the
description of the tool engagement. A qualitative assessment of the process
can take place on basis of the engagement frequency and intensity. These
specifications are comprised in a parameter. This parameter reflects the
effectiveness of the process, which is defined by a low surface and subsurface
stress as well as by a high removal rate.
The process model developed by Engel differs from the previous ones in
the following three aspects:
. Removal results from the sum of all crack systems generated by the
engagement of the active grains. The removal takes place in layers.. Working gap is considered a space, whose height is determined by the
interaction of three distribution functions: the functions of material
distribution with increasing depth of the roughness profile of the work-
pieces and of the lapping wheels (Abott curves) formed as a result of the
grain engagement as well as the distribution function of the maximum
process grain size developing as a result of the grain wear during the
process.. All parameters of the tool formation and of the tool engagement are
derived from measurable parameters with statistical methods for given
probabilities.
2.9.5.1 Model Boundary Conditions and Validity Limits
Engel defines that the application of load by engaging lapping grains is
mainly normal to the workpiece surface and takes place under the formation
of a Boussinesquian state of stress. The removal process of monocrystal silicon
takes place through lateral crack formation, propagation, and superposition.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 63 23.10.2006 6:11pm
Fundamentals of Lapping 63
The subsurface damage is caused by the formation, propagation, and super-
position of half-penny-cracks. The size parameters of the lapping grains are
characterized by normal distribution; the lapping grains engage stochastically.
The lapping grains do not continuously roll in the working gap. In case of
continuous slurry feed, a stationary state occurs in the process after a certain
lapping period characterized by a constant process grain distribution of
the abrasive and by constant roughness profiles of the lapping wheels and the
workpieces. The lapping grains must be able to move freely in the working
gap. There is no polish lapping or polishing through scratching by grains
temporarily embedded in the lapping wheel.
2.9.5.2 Tool Formation
The lapping tool is formed during the machining by the lapping wheel and the
active grains distributed in the lapping fluid. The decisive parameter for tool
formation is the process grain size distribution of the maximum grain diam-
eter of the respective abrasive (Figure 2.29).
A working gap volume VAS is formed above the workpiece by the normal
lapping grains distributed in the slurry. This volume is determined by the
height of the working gap hAS and the workpiece surface AW:
VAS ¼ AW hAS (2:35)
The height of the working gap is crucially determined by the maximum
process grain diameter of the biggest lapping grain dkpmax in the working
gap. The biggest value appearing in a distribution increases with the total
Mr1 Mr2
Mr1 Mr2
100 %
50 %
hAS
dkpmax
dkpmax
RKL + RKW + heqla vor
P(dkpmax ≥ hAS)
P(dkpmax > dkpmax + la skpmax)
= F(la) NkAS
N ka = N kAS P(dkpmax ≥ hAS)
Q1(
d kpm
ax)
Lapping plate
Workpiece
Pro
file
sect
ion
leng
th
Material portion
Cum
ulat
ive
dist
ribut
ion
Max. grain size
Material portion
Pro
file
sect
ion
leng
th
RKL
RKW
FIGURE 2.29 Schematic representation of the parameters of tool formation. (From
Engel, H., Lappen von einkristallinem Silicium. Dissertation, TU Berlin, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 64 23.10.2006 6:11pm
64 Handbook of Lapping and Polishing
number of existing individuals. Therefore, the following equation defining the
probability of a biggest maximum process grain size applies to a normal
abrasive collective with the average value ddkpmax and the standard deviation
skpmax [124–126]:
P(dkpmax > ddkpmax þ la skpmax) ¼ F(la)N00kAS ¼ a (2:36)
with
la ¼dkpmax ddkpmax
skp max
(2:37)
After the specification of the significance level a, la can be determined
according to the following equation:
F(la) ¼ 1ffiffiffiffiffiffi2pp
ða=N00kAS
1el2
a2 dla (2:38)
The absolute number of the lapping grains in the surface-related working gap
volume results from the specific volume of the lapping grains in the working
gap and the average grain volume (Equation 2.38). Equation 2.38 can be
solved with this indication:
N00
kAS ¼V00
kpAS
nnkp
¼ 6CkAWdkpmax max
p dd3kpAW
(2:39)
If the workpiece and the lapping wheel had ideal surfaces, only the biggest
grain would close the contact chain between the wafer and the lapping wheel.
In reality, there are always profile deviations in technical surfaces. Figure 2.29
depicts the decrease of the real working gap height through these profile
deviations. Thus, also small lapping grains can engage in the removal process.
A removal process takes place if a lapping grain gets caught in a profile
unevenness of the lapping wheel and of the workpiece and erects as a result of
the relative movement. Therefore, the working gap height must be reduced by
the value of the profile depth the lapping grain gets caught in. This value is
described by the kernel roughness depth derived from the Abbott curve. The
kernel roughness depth of the grain can be measured after the process.
The grain engages in the workpiece at each erection. This fact is taken
into account in the value of the kernel roughness depth measured after
lapping. The microtopography of the lapping wheel is plastically deformed
by engaging grains. The roughness profile after the process represents the
respective instantaneous value of the grain engagement depth (for stationary
process conditions).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 65 23.10.2006 6:11pm
Fundamentals of Lapping 65
In the case of lapping monocrystal silicon, the engagement of the grain in
the workpiece leads to deep lateral cracks. Therefore, the roughness profile of
the workpieces after the process does not provide direct information on the
grain engagement depth. Engel introduced the concept of removal depth. The
removal depth indicates the depth where the crack formation leading to
material removal starts below the engaging grain. Thus, for the calculation of
the working gap height, it must be additionally reduced by a default value for
the removal depth of the lapping grain in the workpiece, as this value cannot be
changed subsequently. This leads to a resulting working gap height of
hAS ¼ dkpmax RKL RKW heqla vor (2:40)
For the further description of the tool formation, it is necessary to detect the
number of active grains, which actually close the contact chain between the
lapping wheel and the workpiece, engage in the material, leading to removal.
This applies to all grains in the working gap, whose maximum process grain
diameter exceeds the resulting height of the working gap. This is the part of
the basic population of the existing grains, which results from the product of
the total number of grains in the working gap N00kAS and the probability of the
maximum process grain size exceeding the working gap height:
N00
ka ¼ N00
kAS P(dkpmax hAS) (2:41)
If the distribution function of the maximum process grain size corresponds to
a normal distribution, this probability calculated with the standardized form
of the distribution function results in
P(dkpmax hAS) ¼ 1F(zhAS) ¼ 1
2p
ð1hAS
e12(zhAS
)2
dzhAS(2:42)
with
zhAS¼ hAS ddkpmax
skpmax
(2:43)
The number of active grains thus results in
N00
kAS ¼ N00
kAS[1F(zhAS)] (2:44)
This equation describes the lapping tool formed in the removal system.
The way this tool leads to the removal on the workpiece is described in
Section 2.9.5.3.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 66 23.10.2006 6:11pm
66 Handbook of Lapping and Polishing
2.9.5.3 Tool Engagement
This section describes the parameters of tool engagement. This will take place
on the basis of the calculation of active grains in the working gap discussed in
Section 2.9.5.2. In the working gap, the active grains Nka are homogeneously
distributed over the workpiece surface. The average distance aka between the
active grains can therefore be indicated in a simplified way:
aka ¼ffiffiffiffiffiffiffiAW
Nka
r¼ 1ffiffiffiffiffiffiffi
N00ka
q (2:45)
Engel found out in the model lapping tests that a sequence of grain
engagements does not take place through rolling lapping grains. The distance
between two engagements does not depend on the grain geometry, but on the
distance between the active grains. The frequency of an engagement is
determined by the distance of two active grains and the relative speed of
the lapping grains in the working gap. If these relative speeds are equated with
the average path velocity vm, the grain engagement frequency fe is
fe ¼vm
aka
¼ vm ffiffiffiffiffiffiffiN00ka
q(2:46)
It is reasonable to describe the interactions of all single grain engagements for
a technological process description. Due to the normally distributed grain
geometry parameters, removal can only be determined statistically for the
single engagement. Furthermore, the interactions between the material break
offs have to be taken into account. Engel considers the removal process by
layers. Due to the plane contact between the tool and the material, the
material is not removed in locally differing ways, but exclusively in planes
from the entire contact surface (Figure 2.30).
One layer material is removed if the working gap volume VAS
00(related to
the workpiece basic surface AW) has been filled with active grains exactly
once. This happens if the maximum number of active grains NkaAS
00, i.e., the
number of grains completely filling the working gap once, has been engaged:
V00
AS ¼ N00
kaAS vkpa (2:47)
A material layer is only removed if the active grains have engaged several
times, as the number of active grains at any point in time t cannot completely
fill the working gap volume. This frequency is described with the help of the
volumetric concentration of active grains in the working gap volume:
N00
kaAS ¼ nka N00
ka ¼N00ka
Cka
(2:48)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 67 23.10.2006 6:11pm
Fundamentals of Lapping 67
The volumetric concentration of the active grains (also called active grain
concentration) results from the ratio of the volume of the active grains Vkpa
00, of
the volume of all grains in the working gap VkpAS
00, and of the concentration of
the lapping grains in the working gap volume:
Cka ¼ Ck V00kpa
V00kpAS
(2:49)
The single-grain volume is modeled in a simplified way as sphere volume,
even if the grains of the abrasive mainly have ellipsoid projected areas. With
the help of the average equivalent diameter of the active grains, the volume of
the active grains at time t is calculated as follows:
V00
kpa ¼ N00
ka vvkpa ¼ N00
ka p
6 dd3
kpa (2:50)
The total volume of all lapping grains in the working gap volume results from
the average equivalent diameter of the abrasive:
V00
kpAS ¼ N00
kAS vvkp ¼ N00
kAS p
6 dd3
kp (2:51)
Through the insertion of Equation 2.50 and Equation 2.51 in Equation 2.49,
the following term results for the active grain concentration:
Cka ¼ Ck N00ka
N00kAS
dd3
kpa
dd3kp
(2:52)
aka
ASV"h w
Q w = heqla fe Cka
h eql
a
vm
h eqla
−1t = tAW: V"AS = n"ka · N"ka · vkpa, n"ka = Cka
Nka = 4
nAW = 5
Material removalin layers
time t : fe, Cka
h eql
a
FIGURE 2.30 Schematic representation of the parameters of the tool engagement.
(From Engel, H., Lappen von einkristallinem Silicium. Dissertation, TU Berlin, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 68 23.10.2006 6:11pm
68 Handbook of Lapping and Polishing
During the machining of monocrystal silicon, the thickness of the material
layer removed through the engagement of the NkaAS
00grains results from the
removal depth appearing upon the engagement of the single grains, and the
overlapping of the crack systems. This thickness is defined as equivalent
removal depth during lapping heqla. The time tAW is required for the removal
of this material layer of a thickness of heqla. This results from the number of
necessary engagements of the active grains nka and the grain engagement
frequency fe:
tAW ¼nka
fe¼ 1
fe Cka
(2:53)
The total thickness hW of the removed wafer material after a lapping time tL is
after a nAW-fold removal of the layer thickness heqla:
hW ¼ nAW heqla (2:54)
The lapping time tL needed for the removal of a workpiece thickness of hW is
tL ¼ nAW tAW ¼1
fe Cka
hW
heqla
(2:55)
Under consideration of the removal rate, which is defined as
Q00
W ¼hW
tL
the equivalent removal depth heqla is
heqla ¼Q00W
fe Cka
(2:56)
The equivalent removal depth is a characteristic value for the subsurface
stress in the removal system, which leads to material wear and subsurface
damage. It describes the depth and the extent of the lateral crack formation
below the single cutting edges and their overlapping. Thus, it is in direct
relation to the generated surface topography on the workpiece. Due to the
effective stress field, there are direct relations between the lateral crack
formation and the formation of half-penny-cracks in the crack system below
the engaging cutting edges. Thus, the equivalent removal depth can serve as
measure of the material damage generated in the subsurface.
The effectiveness quotient Keff describes the effectiveness of the machin-
ing process. It indicates the relation of the achievable cutting performance and
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 69 23.10.2006 6:11pm
Fundamentals of Lapping 69
the resulting subsurface influence. It results from the creation of the quotient
of removal rate QW
00and the equivalent removal depth heqla. QW
00is used as a
measure for the removal performance and heqla for the subsurface influence:
Keff ¼Q00W
heqla
¼ fe Cka (2:57)
Equation 2.56 describes a removal system during the lapping of silicon.
The parameters in the equation take into account the stochastic character and
the system structure of the interactions of tool formation, tool engagement,
and the development of the surface and the subsurface damage. The equation
contains all relevant process parameters. The input parameters required for
the calculation are measurable with sufficient statistic certainty. Thus, Engel
provided the basis of the simulated description and analysis of different
removal systems during the lapping of monocrystal silicon for the specific
preparation and optimization of manufacture.
2.9.5.4 Model Verification
The presented process model was verified by Engel through the calculation of
the efficiency quotient. The isolated representation of the process parameters’
grain engagement frequency fe, active grain concentration Cka, and equivalent
removal rate heqla is not acceptable for the verification. The grain engagement
frequency and the active grain concentration are directly linked through the
active grain number (see Equation 2.46 and Equation 2.47). According to
Equation 2.56, the product of grain engagement frequency and active grain
concentration is the proportionality factor between equivalent removal depth
and the removal rate QW
00of the removal system. This equation makes clear
that any change of an input parameter entails the adequate change of all
process parameters including the removal rate. The removal system cannot be
described in a deterministic way. The process parameters fe, Cka, Qw
00, and heqla
thus represent the procedures within a concrete removal system and cannot be
used for the comparison of two removal systems. According to Equation 2.57,
the effectiveness quotients Keff stand for a removal system. Thus, different
removal systems can be compared with each other on the basis of this
quotient.
Figure 2.31 shows the course of the characteristic curves from the theor-
etical and real effectiveness quotient over the engagement pressure for
selected removal systems for the machining of monocrystal silicon. Hereby,
the theoretical effectiveness quotient is the quotient from removal rate and
equivalent removal depth Keff. The real effectiveness quotient Keff, HD indi-
cates the quotient of removal rate and of subsurface damage depth. In
qualitative terms, the characteristic curves of the real and the theoretical
effectiveness quotient run nearly equally. The quantitative difference between
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 70 23.10.2006 6:11pm
70 Handbook of Lapping and Polishing
the two effectiveness quotients results from the fact that, over the equivalent
depth of removal, the theoretical effectiveness quotient includes the direct effect
of the active lapping grains on the material removal. Thus, this parameter refers
to the surface topography. In contrast, the real effectiveness quotient reflects the
effect on the deep crystal structure. The measured depths of subsurface damage
are always above the calculated equivalent depths of removal.
The effectiveness quotients Keff and Keff, HD sharply increase with grow-
ing engagement pressure. The increase of effectiveness results from the
nearly linear rise of the removal rates with growing pressure. In contrast,
the growing pressure leads to only slight changes in the investigated depths of
subsurface damage.
The comparison of the theoretical and real effectiveness quotient of the
lapping processes in Figure 2.31 shows that the developed process model
reflects the real conditions of lapping. The theoretical parameters of the
removal systems summarized in the effectiveness quotient correlates with
the real test results. Therewith Engel proved that the effectiveness quotient is
an eligible parameter to describe the effects of single process parameters on
the structure of a removal system and the removal results by simulation.
An algorithm was described for the application of the process simulation,
which can be used for the methodical work preparation and process control.
Through the application of the algorithm, the experimental effort for the work
100
10
1
0.10.02 0.040 0.20 0.04 0.06 0.0800.080.06
Workpiece: 111-Si-Wafer, 100 mmLapping plates: perl. GGL, HB = 210Lapping medium: water+4 vol.% aquasol
vm = 45 m/min
Ck = 0.2
Simulation
Measurement
Effe
ctiv
enes
s Q
uotie
nt K
eff,
Kef
f,HD
Engaging pressure pe MP Engaging pressure pe MP
Ck = 0.5
Abrasive: calc. Al2O3, dk = 6.3 µm−
FIGURE 2.31 Theoretical and real effectiveness quotients for selected process
parameters. (From Engel, H., Lappen von einkristallinem Silicium. Dissertation, TU
Berlin, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 71 23.10.2006 6:11pm
Fundamentals of Lapping 71
preparation is minimized. Simultaneously, a flexible process layout is guar-
anteed, which is based on the functional relations in the lapping process.
This process layout takes into account the really existing optimum process
effectiveness.
2.9.6 PROCESS MODEL ACCORDING TO EVANS
Uwe Heisel
The approach of Evans et al. [127] describes a simple lapping model, which
allows some process result forecasts, i.e., material removal rate and surface
roughness. The precondition is that there are no rolling motions of active
grains into the working gap. Figure 2.32 schematically illustrates the position
and the implication of an active grain.
The penetration of a nonrolling grain into both affecting partners (i.e., lap
and workpiece) happens similarly to the static indentation of a two-sided
indenter. For this reason the model was named simple pseudostatic indenta-
tion model of ductile lapping. The hardness H is defined as the pressure on the
projected indentation area with the normal force Fn and the geometrical
indentation parameter sind. The parameters ki are further proportionality
coefficients. With the assumption that the grains have a regular polyhedron
form it follows that the penetration depths dW with respect to dL depend on
the hardness parameters HW with respect to HL. Here the geometrical coef-
ficient k2 depends on the polyhedrons number of edges i.e., on the angle 2b:
H ¼ k1 Fn
s2ind
(2:58)
Lap
Material removed
Work WorkdW
dL
2b
a
FIGURE 2.32 Schematic illustration of the position and the implication of an active
grain.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 72 23.10.2006 6:11pm
72 Handbook of Lapping and Polishing
dW ¼ffiffiffiffiffiffiffik2
HW
r, dL ¼
ffiffiffiffiffiffik2
HL
r(2:59)
The cross-sectional area of a grain-caused mark is proportional to dW2 . The
removal rate, i.e., surface recession dh=dt will be proportional to dW2 , to the
surface speed v, and to the number of grains N. Hence the surface recession
can be calculated with the following equation where Fj is the force per grain:
dh
dt¼ k3vNd2
W ¼ k4vNFj
HW
(2:60)
The number N of grains in contact is inversely proportional to s3. Thus the
force per grain is
Fj ¼F
N¼ k5s3 (2:61)
By inserting Equation 2.61 into Equation 2.60 it follows
dh
dt¼ k6v
F
HW
(2:62)
i.e., the removal rate does not depend on the grain size.
Within the bound of the model removal rate is also predicted to be
independent of concentration.
Surface finish s should be directly proportional to the penetration of the grain
s ¼ k7dW ¼ k8
ffiffiffiffiffiffiffiFs3
HW
s(2:63)
i.e., finish is predicted to be proportional to s3=2.
2.9.7 PROCESS MODEL ACCORDING TO HEISEL
Uwe Heisel
As with all removal machining, the surface formation and the material
removal are influenced in lapping by the geometry and the motion of the
tool. To estimate the process parameters the authors of the abovementioned
models make some approaches with regard to the movement type of abrasive
grains in the working gap. For example, Evans et al. [127] affirmed that there
are no rolling grains. Against this Engel excludes sliding grains [49]. The
topography of a lapped surface is the evidence of the availability of different
simultaneous forms of the grain motion.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 73 23.10.2006 6:11pm
Fundamentals of Lapping 73
The model according to Heisel [128] even deals with the behavior of loose
grains in a space between two parallel and relatively moving affecting
partners. The possibility to roll a body pressed between two parallel and
relatively moving areas apparently depends on the body’s form and the
tribological properties of the acting partners. But it is not clear what kind of
characters determine the possibility to roll. For example, the respectively
arising form of grain motion, which means rolling or anchoring with sliding,
has been referred to the boundary conditions [37], however without quantita-
tively defining the parameter of the grain form that determines the way of
motion. The obvious prediction that the resistance against rolling increases
with the body’s circle form deviation cannot always be accepted. For ex-
ample, it is easier to roll a bar with triangular cross section than to roll a bar
with quadratic cross section, though a square is less out of the circle than a
triangle. Well-known form coefficients like length–width ratio as well as the
ratio between maximum and minimum grain diameter are also ill-suited to
determine the grain motion form. In a two-dimensional presentation, the
lapping grains are irregular polygons with m slightly rounded corner points.
As shown in Figure 2.33 at the motion of a grain, its angle position is
determined by the angle w.
The angle w0 brings the grain in contact with both affecting partners and
friction connections, transferring a certain tangential force Ft occurring in the
contact points 1 and 2. As long as Ft<Ft max¼min( f1, f2) Fn ( fi represents
coefficients of friction within the contact points with the respective affecting
partner), the friction connections do not break and the grain moves without
sliding in the contact points, which means it rolls. The force relation Ft=Fn,
which means the gradient of the affecting line of the resulting force, is
consequently a measure for the rolling resistance of a grain. Due to the
fact that the affecting line of the resulting one differs just slightly from the
line 1–2, which connects the highest and the lowest point of the grain, tan
a(w) (gradient of line 1–2) can serve as a shape-dependent rolling resistance
coefficient Kr(w). To enable a grain to roll, it has to apply to the following in
each position:
Fn
Fτ
Fτ
2
1
H
e 2(j
)e 1
(j)
h(j)
a(j)j > j0
Fn
FIGURE 2.33 Definition of the rolling resistance coefficient.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 74 23.10.2006 6:11pm
74 Handbook of Lapping and Polishing
Kr(w) < f1 (2:64)
Kr(w) < f2 (2:65)
If just one of the conditions in Equation 2.64 and Equation 2.65 is met,
one of the friction connections breaks and the grain slides together with the
affecting partner whose coefficient of friction is relatively bigger to the other.
In case none of the conditions in Equation 2.64 and Equation 2.65 is met, the
grain loses its connection to both affecting partners and its position to them
gets relatively indefinite. This behavior is defined as passive. Though the
passive lapping grains can represent the majority, in no known lapping
process model, their presence was regarded. This leads to a strong over-
assessment of the number of active grains as important model parameter.
To determine the behavior of a lapping grain by using Equation 2.64 and
Equation 2.65, one has to know the friction parameters f1 and f2 as well as the
rolling resistance coefficient Kr according to all grain positions w, i.e., depend-
ence Kr(w). In investigating lapping grains with the help of a microscope
combined with an image processing software, it is possible to measure the
characteristic h(w) (height of grain h depending on the angle w) of several
grains at the same time.
The dependence of Kr(w) can be derived from the relation to h(w):
Kr(w) ¼ 1
h(w) dh(w)
dw(2:66)
The ordinary coefficient of friction is dependent on the materials of the
affecting partners, on the lubrication of the contact surface as well as more or
less on the speed of relative motion and only insignificantly on the normal
force. This was introduced at the research of the motion resistance of the
macrobodies, whose real contact surface presents an entity of elementary
contact areas being isolated from each other or more or less evenly distributed
on the whole contact surface. For a small body like the lapping grain, whose
total contact surface represents one sole contact area, the stochastic confor-
mities with a natural law as mentioned before, are not valid anymore. For the
description of the motion resistance of small bodies, the results gained from
several theoretical and experimental examinations on the motion of a hard
indenter are better suitable. At the examinations carried out with cone-shaped
indenter it was found out that the coefficient of friction can be presented as a
sum of material and penetrating dependent components [129]:
f ¼f0 þ
2
p
a sin «
«2
ffiffiffiffiffiffiffiffiffiffiffiffiffi1
«2 1
r !, « < sin w
f0 þ2 tan w
p1 tan w w
tan w «2
, « sin w
8>>><>>>:
(2:67)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 75 23.10.2006 6:11pm
Fundamentals of Lapping 75
where f0 is the the ordinary material-dependent coefficient of friction,
w¼ (p – b)=2, «¼w=2r. This is shown in Figure 2.34.
Eliminating the parameter w from the dependencies f(w) and h(w) results
in the dependence f(h). As mentioned above, in contrary to the machining
processes with a geometrically determined tool as well as with a tool with
bound grains, in the machining with loose grain, the tool develops just
immediately during the machining. The formation of a lapping tool, which
means the entity of all lapping grains being in the working gap between the
workpiece and the lap plate. With regard to the particular types of grain
behavior it could be illustrated as showed in Figure 2.35.
Due to the relative motion of the affecting partner, several grains (such as
grain 6 in Figure 2.35) leave the working gap and other grains out of the
supplied lapping means enter the working gap. According to their size and
shape, only a particular part of all grains is able to enter the working gap
whose height Hgap is appropriate to the lapping pressure (for example, grain 1
is too big) and only a particular part from it is active, which means it rolls like
grain 4 or slides like grain 5. Grain 2 is too small and grain 3 does not stick to
the affecting partner and remains passive.
Next to the permanent restoration of the lapping tool due to the regular
escape and entry of the grains, phenomena that occur within the working gap
lead to changes of the starting structure of the lapping tool. To start with,
those grains which are the strongest loaded do break, whereby the developing
fragments can take part in further machining depending on their size and
shape. Thereby, the structure of the lapping tool changes in such a way that
the shares of the bigger grains decrease and the shares of the smaller ones
increase. Secondly, as a result of the irregularity of the grain way and speed,
some grains collide with each other or with grain particles.
Due to the chaotically moving grains within the working gap, the dis-
tances between the grains vary constantly and can therefore be considered as
b
fw
e
r
FIGURE 2.34 Motion of a cone-shaped indenter.
Lap plate
1 2 3 4 5 6 H
Workpiece
FIGURE 2.35 Formation of a lapping tool.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 76 23.10.2006 6:11pm
76 Handbook of Lapping and Polishing
random variables. The frequency of collisions is calculated as probability that
the distance between the centers of two grains becomes smaller than DMIT
(DMIT —the average of the middle grain diameter):
pcol ¼ 1 epN1A D2
MIT (2:68)
One can assume hereby that the grains shortly unite to an agglomerate
after such a collision. At a later time, this agglomerate can fall apart again.
For it, a new one develops, so that a certain part of agglomerates exists in
the working gap at every moment. The unique behavior of the agglomerate
is determined in the same way as the single grain with respect to its shape
and size.
The active grains close the contact chain between the workpiece and the
lap plate, they transfer the normal forces, get under their impact into the
surface of the affecting partner, and displace a proportionate material volume.
A stationary working gap height is reached if the sum of all normal forces
transferred by active grains and eventually of the part of load transferred by
the lapping oil is equivalent to the set lapping pressure.
For example, with the help of the image processing system ImageC,
gross-grained (g - # 400) and fine-grained (f - # 800) lapping powders made
of corundum (C) and silicon carbide (S) were examined. The geometrical
parameters of the individual grains (Figure 2.36a, darker objects) as well as
those of the overlaid grains (Figure 2.36b, darker objects), which can both be
considered as grain agglomerates developed after the collision, were recorded
out of several measuring fields under a microscope.
With a given volumetric grain concentration, it is possible to calculate the
number of grains within a surface unit of the working gap N1A on the basis of
the grain size distribution. Considering the occurrence of collisions, one
surface unit contains N1A (1 – 2pcol) single grains and N1A pcol agglomer-
ates. All of these objects—single grains and agglomerates—are considered in
the following as grains. During the examinations carried out on lapping
grains, the grain height h was measured in dependence on the angle position
w (each 58) for each grain. For example, the dependence h(w) for the grain
marked with a circle in Figure 2.37a is presented in Figure 2.37b.
100 µm0(a) (b)
FIGURE 2.36 Lapping grains made of gross-grained corundum (Cg).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 77 23.10.2006 6:11pm
Fundamentals of Lapping 77
The relation Kr(w) for the same grain is presented in Figure 2.37b.
Excluding the parameter w from the dependencies Kr(w) and h(w) results in
a dependence Kr(h) as presented in Figure 2.37c. The local minima of the
rolling resistance coefficient corresponds to the position in which the grain
rolls over its edges, and its number is equivalent to the number m of the corner
points. The grain in the presented example was identified as a square. In
Figure 2.38, the experimentally determined distributions of the grain shape
parameter are presented: (a) maximum rolling resistance coefficient and
(b) number of corner points.
To calculate the penetrating parameter, the corner points of the grain are
regarded approximately as rounded cones with a cone angle b being the
same as with a regular m-corner and a rounding-off radius r¼ 1 mm. This
was also assumed by Suh and Sin [129] and corresponds to the estimation
of the grain pictures. The sum of the penetrating depths e1þ e2 results from
the difference between grain and gap height to hH and the relation
between the penetrating depths is determined by the relation of hardness.
1800
(a)
(b) (c)
13 15 17 195 3
0.5
0.5
10
−0.5
−0.5
−0.25
0.25
0
Kr
h [µm]
h [µm]j []
1800 j []
20
2410
fKr f0
H
FIGURE 2.37 Shape-depending parameter of a grain.
CgCfSgSf
CgCfSgSf
2
0 0.4 0.8 1.0Kr MAX
0
0.1
0.2
0
0.1
0.2
0.3
3 4 5 6 7m
8(b)
(a)
Fre
quen
cyF
requ
ency
FIGURE 2.38 Distribution of grain shape parameter.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 78 23.10.2006 6:11pm
78 Handbook of Lapping and Polishing
From it, the penetrating depths are calculated and depending on them, further
penetrating parameters such as penetrating width w, shape surface A, cross-
sectional area, and displaced volume V are also calculated. For each position iof each grain j, the normal force Fnji results from the product of shape surface
and hardness V H toward Aji V H. The tangential force Ftji results from
the product of normal force Fnji and rolling resistance coefficient Krji. For
each grain, the effective values of the power components Fnj and Ftj are
calculated, whose sum results in the total surface-related power components
Fn and Ft.
Joining the dependencies of Kr(h) and f(h) into a diagram as presented in
Figure 2.37c enables one to determine the way of motion of the grain
according to Equation 2.60 and Equation 2.61, considering the given gap
height. For the grain presented in the example, the rolling resistance coeffi-
cient Kr lies beneath the coefficient of friction (calculated from a coefficient
of friction f0¼ 0.2 and a relation of hardness V H1:V H2¼ 2:1), within the
whole penetrating range h>H¼ 15.8 mm (taken a grain position of 0 to 5 in
Figure 2.37c), which means the grain rolls at H¼ 15.8 mm.
Due to the breakup of a grain, two or several smaller parts develop and
the total number of grains increase. Therefore, the part of bigger grains
decrease and accordingly the part of smaller grains increase. In order to
calculate the changed grain size distribution, the regularities gained from
Ref. [130] were used. An example of the calculated break-dependent changes
of the grain size distribution is presented in Figure 2.39.
The particles remain in the working gap and participate according to their
size and shape at further machining. Due to the grain examinations, where it
was determined that the distribution of grain shapes of the different grain size
areas are practically equal, it can be suspected that a particle with a certain
size has the same shape as an unbroken grain with the same size.
For the determination of the actual grain size distribution, an algorithm
was used, taking into account the the breakup process not as a continuous
process but as a discrete order of momentary changes of the formation of the
lapping tool.
20100
Fre
quen
cy
0
0.05
0.10
0.15
0.20
30 40DMAX [µm]
50
012
34
56
78
9
FIGURE 2.39 Sequence of break-dependent changes of the grain size distribution of Cg.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 79 23.10.2006 6:11pm
Fundamentals of Lapping 79
At the simulation of lapping, the lapping pressure Q serves as entry
parameter. The calculations are carried out cyclical at a variable H, starting
with its maximum value. The grains situated in a surface unit of the working
gap transfer the normal force Fn. If the normal force is smaller than the
lapping pressure, the grains are entering deeper. The calculations are repeated
at a slightly smaller gap height. Although the deeper entering grains leave the
working gap very fast and the newly entering grains are smaller, the normal
force increases. This is due to the fact that the number of active grains
increases to a certain value at diminution of the gap height. If Fn<Q remains,
the calculations are repeated. As long as the normal force thereby increases
and tends to the value Q, the calculations are carried out at an invariable grain
size distribution. If however a decrease of the gap height H does not lead to an
increase of the normal force anymore, the calculations are carried out at a
changed grain size distribution. The number of grains increases the normal
force again. The calculations are repeated until the normal force reaches the
value of the lapping pressure at a current grain size distribution and a gap
height H. The gap height being up to date at the last cycle is regarded as a
stationary working gap height at which the working results (roughness,
removal) are estimated.
The effect of rolling and sliding grains is different. While moving, the
rolling grains leave chain-formed traces from the prints of their corner points
(such as in grain position 2 and 4 in Figure 2.37c) and edges (such as in grain
position 1 and 3), while sliding grains produce cracks.
Analogical to the arithmetical average height Ra, the arithmetic average of
the deviation of the penetration depths according to its average can be
calculated. Thereby each print has to be considered with one weight factor
dependent on its contribution to the roughness profile, which depends on its
probability lji=Lj and width wji (Figure 2.40).
The displacement rate results from the sum of all print volumes Vji within
a surface unit based on a certain unit of time. The unevenness heights of the
worked surfaces with respect to the material removals are not necessarily
equal to the penetration depths of the grains with respect to the displaced
material volume (this is only valid for pure cutting removal mechanisms
Direction ofroughness measuring
L jI ji wji
wj
FIGURE 2.40 Traces of rolling (left) and sliding (right) grains.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 80 23.10.2006 6:11pm
80 Handbook of Lapping and Polishing
[131]). Yet a proportionality between these parameters can be supposed,
however with different unknown proportionality factors, depending on the
material characteristics.
The calculation shows that most of the grains within the working gap remain
passive. The share of the active grains with the respective way of motion
(calculated for the lapping powder Cg at f0¼ 0.2 and V H1:V H2¼ 2:1),
depending on the gap height, are excellently presented in Figure 2.41a.
In Figure 2.41b, a dependence of the relation of forces on the lapping
pressure is shown at equal conditions. As shown before, the tangential force
Ft results from the sum of the effective values Ftj of the elementary forces
Ftji. The latter are not determined by the coefficient of friction f, but by the
rolling resistance coefficient Krji, which changes from 0 to a maximum value
(not exceeding f ). This explains the unexpected low values of the relation of
forces at lapping. Experimental tests carried out by Engel [49] have shown
that too. In this way it is possible to forecast qualitatively machining results
by measuring grain form parameters. This enables an optimized chance of
adapted lapping powders.
Symbols and Abbreviations
~aa Acceleration of a workpiece point
ac mm Radius of the Hertzian contact area
aH mm Distance between holder- and workpiece
center
aka mm Average distance between the active grains
am m=s2 Average acceleration of a workpiece point
as m=s2 Scalar acceleration of a workpiece point
aw mm Distance of a workpiece point from the
workpiece center
aZ mm Distance between wheel and holder center
A Discrete point
25 35h [µm]
155 0 0.02 0.04 0.06 0.08MPa
0 0
0.05
0.04
0.08
0.12
0.160.10
Share of the grains Relation of forces Fτ/Fn
45(a) (b)
Rolling
Sliding
FIGURE 2.41 Process parameter at lapping with grains Cg.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 81 23.10.2006 6:11pm
Fundamentals of Lapping 81
AH mm2 Surface area of one workpiece holder
ASKimm2 Surface area of wheel class i
AS mm2 Wheel surface area
AWKimm2 Class surface area fraction of all workpieces
AW mm2 Workpiece area
b mm Radius of the plastic zone
B Discrete point
c mm Length of lateral crack
Ck Volumetric concentration of the grains in the
slurry
Cka Volumetric concentration of the active grains
in the working gapddkp mm Average process equivalent diameter of all grainsddkpa mm Average equivalent diameter of the active grainsddkpmax mm Average maximum process diameter of all grains
dkpmax max mm Biggest maximum process grain diameter
dL mm Penetration depth in respect of length
dW mm Penetration depth in respect of width
DMIT mm Average of the middle grain diameter
eH mm Distance between a workpiece point and the
holder center
fe 1=s Grain engagement frequency
fi Friction coefficients
Fj N Force per grain
Ft N Tangential force
Ftmax N Maximum tangential force
Fn N Normal force
gW mm Geometric function
gW0 % Related geometric function
h mm Depth of the Hertzian cone crack
hAS mm Height of the working gap
hegla mm Equivalent removal depth during lapping
heqla vor mm Default value for the equivalent removal depth
hW mm Removal depth
Dh mm Height difference
DhW mm Workpiece height reduction
DhW0 mm=m Path-related workpiece height reduction
DhW mm=s Time-related workpiece height reduction
H Workpiece holder center
H Hardness
Hgap mm Height of working gap
HL Hardness in respect of length
HW Hardness in respect of width
i Number of wheel classes
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 82 23.10.2006 6:11pm
82 Handbook of Lapping and Polishing
k 1=m Path curve curvature
ki Proportionality coefficient
Keff (mm=min)=mm
Effectiveness quotient
Keff, HD (mm=min)=mm
Effectiveness quotient on the basis of
measured values or of the subsurface damage
Ki Wheel class with index iKL Lapping ratio
Kr Rolling resistance coefficient
MZ Momentary center of a rotary movement
na min1 Outer pin circle rotational velocity
nAW Number of removed material layers
ni min1 Inner pin circle rotational velocity
nka Number of engagements per active grain
no min1 Upper grinding wheel rotational velocity
nu min1 Lower grinding wheel rotational velocity
N Number of grains
N1A Number of grains within a surface unit of the
working gap
Nka Number of active grains in the working
Nka
001=mm2 Area-related number of active grains in the
working gap
NkaAS
001=mm2 Area-related maximum number of active grains
NkAS
001=mm2 Area-related number of grains in the working gap
NL Rotational speed ratio
p Number of part cycles in one complete cycle
pe MPa Engagement pressure
p Probability
PW Point of the workpiece surface
q Number of rotations around the wheel center
within a path cycle
QW
00mm=min Removal rate
~rr Position vector, path curve
r mm Absolute value of the position vector
ra mm Outer pin circle radius
raKimm Outer radius of wheel class i
rH mm Workpiece holder radius
ri mm Inner pin circle radius
riKimm Inner radius of wheel class i
rmKimm Medium radius of wheel class i
rS mm Distance from the wheel center
rSa mm Outer lapping wheel radius
rSi mm Inner lapping wheel radius
rW mm Outer workpiece radius
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 83 23.10.2006 6:11pm
Fundamentals of Lapping 83
rpitch mm Pitch circle radius
RK mm Kernel roughness depth according to DIN 4776
RKL mm Kernel roughness depth of the lapping wheel
according to DIN 4776
RKW mm Kernel roughness depth of the workpiece
according to DIN 4776
Rz mm Average depth of roughness according to
DIN 4768
s mm Path length
s0 Related path length distribution
sind Geometrical indentation parameter
sKimm Class path length
sKi% Related class path length
skpmax mm Standard deviation of the average maximum
process grain diameter
sPWKimm Path curve segment in a wheel class
SEM Scanning electron microscope
SIRD Scanning infrared depolarization
t s Time
t0, t1 s Discrete time points
t1i s Time point of class entry it2i s Time point of class exit itAW s Lapping time for the removal of one layer
tc s Cutting time
tcycl s Cycle time
tDcycl s Part-cycle time
tL s Lapping time
TEM Transmission electron microscope
~vv Path velocity
v m=s Scalar value of the path velocity
vc m=min Cutting velocity
vH m=min Rotational speed of the workpiece holder
vvkpa mm3 Average volume of the active grains
vvkp mm3 Average volume of all grains
vm m=min Average path velocity
vZ m=min Translatory velocity of the workpiece holder
VAS
00mm3=mm2 Area-related volume of the working gap
Vkpa
00mm3=mm2 Area-related total volume of the active grains
in the working gap
VkpAS
00mm3=mm2 Area-related total volume of all grains in the
working gap
W Workpiece center point
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 84 23.10.2006 6:11pm
84 Handbook of Lapping and Polishing
ZhASQuantile of the standard normal distribution of
the maximum process grain diameter for the
probability that the maximum process grain
diameter is bigger than the working gap height
a Level of significance (probability of error) for
the biggest maximum process grain diameter
b 8 Edge angle
bDcycl 8 Part-cycle angle
u 8 Angle of the Hertzian cone crack
la Quantile of the standard normal distribution of
the maximum process grain diameter for the
level of significance of the biggest maximal
process grain diameter
n Poisson ratio
s Surface finish parameter
w 8 Angle position of grain
va 2p=min Angular velocity of the outer pin circle
vH 2p=min Angular velocity of the workpiece holder around
its center H
vi 2p=min Angular velocity of the inner pin circle
vo 2p=min Angular velocity of the upper lapping wheel
vu 2p=min Angular velocity of the lower lapping wheel
vW 2p=min Angular velocity of the workpiece
vZ 2p=min Angular velocity of the workpiece holder around
the wheel center Z
REFERENCES
1. Konig, W. and Klocke, F., Fertigungsverfahren, Band 2. Schleifen, Honen,
Lappen. Dusseldorf: VDI-Verlag, 1996.
2. Spur, G. and Stoferle, T., Handbuch der Fertigungstechnik. Spanen, Band 3=2.
Munchen: Hanser-Verlag, 1980.
3. Eversheim, W. and Schuh, (Hrsg.) G., Betriebshutte. Produktion und Manage-ment. T. 2. Berlin, Heidelberg, New York: Springer-Verlag, 1996.
4. Stahli, A.W., Die Lapp-Technik. Firmendruckschrift der A.W. Stahli AG.
Schweiz: Pieterlen, 2001.
5. Da Vinci, L., Codices Madrid I. Tratado de estatica y mechanica en italiano.
Faksimile-Ausgabe. Schweiz: S. Fischer Verlag, 1974.
6. Reti, L. and Dibner, B., Leonardo da Vinci. Technologist. Norwalk, CT: Burndy
Library Inc., 1969.
7. Hauser, K., Entstehen der Endmaßsatze und Endmaßfertigung. Werkstatt undBetrieb 121(8) (1988) 677–681.
8. Feinstbearbeitung. N. N., Polieren, Lappen, Honen, Presspolieren, Polierdrehen.Ausschuss fur wirtschaftliche Fertigung beim Reichskuratorium fur Wirtschaf-tlichkeit. RKW-Veroffentlichungen 74. Berlin: Beuth, 1932, S. 48–49.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 85 23.10.2006 6:11pm
Fundamentals of Lapping 85
9. DIN 8589. Fertigungsverfahren Spanen—Teil 0: Einordnung, Unterteilung,Begriffe. Berlin: Beuth, 1981.
10. DIN 8589. Fertigungsverfahren Spanen—Teil 15: Lappen. Einordnung, Unter-teilung, Begriffe. Berlin: Beuth, 1985.
11. DIN 8200. Strahlverfahrenstechnik. Begriffe, Einordnung der Strahlverfahren.
Berlin: Beuth, 1982.
12. DIN 8589. Fertigungsverfahren Spanen—Teil 17: Gleitspanen. Einordnung,Unterteilung, Begriffe. Berlin: Beuth, 1985.
13. Beilby, G., Aggregation and Flow of Solids. London: Macmillan, 1921.
14. Lichtenberger, H., Die Spanmengenleistung beim Flachlappen. Werkstattstech-nik und Maschinenbau 45(4) (1955) 145–153.
15. Bornemann, U., Gleitung und Werkstoffabtrag beim Lappen von Rundteilen.
Dr.-Ing.-Diss. TH Berlin, 1942.
16. Boderich, K. and Enger, U., Einflusse auf die Formgenauigkeit beim Lappen
ebener Werkstucke. In: Vortragsberichtsband XIV. Int. Wiss. Koll., TH Ilmenau,
Vortr. Reihe ‘‘Feinbearbeitungstechnik’’, 1969.
17. Burkart, W. and Schmid, O., Uber Lappmittel und ihren Einfluss auf das Lappen.
Fachberichte fur Oberflachentechnik 1(1) (1963) 23–24.
18. Finkelnburg, H.H., Lappen Werkst und Betr. 83(1) (1950) 1–8.
19. Latzig, W., Lappen. Munchen: Carl Hanser Verlag, 1950.
20. Lichtenberger, H., Uber die Lappwirkung und ihre Arbeitsergebnisse. Werkstatt-stechnik und Maschinenbau 42(8) (1952) 310–314.
21. Lichtenberger, H., Forschungsergebnisse uber Lappbearbeitung. Werkstattstech-nik und Maschinenbau 43(3) (1953) 121–122.
22. Matsunaga, M., Fundamental studies on lapping. Report of the Institute of
Industrial Science, University of Tokyo, 16(2) (1966) 101–164.
23. Rabinowicz, E. and Mutis, A., Effect of abrasive particle size on wear. Wear 8
(1965) 381–390.
24. Stotko, H., Ein neuer Weg zur Prufung von losem Schleifkorn. Dr.-Ing.-Diss. TH
Braunschweig, 1959.
25. Fischer, E., Zur Art der zyklischen Bewegung und zum eigentlichen Prozeß
zwischen Werkstuck und Werkzeug bei verschiedenen Prazisionslappmethoden.
Wiss Z TU Dresden 13(5) (1964) 1379–1389.
26. Fischer, E., Wechselbeziehungen zwischen dem Schneiden, Lappen und Atzen
von Germanium bzw. Silicium bei der Herstellung von Halbleiterbauelementen.
Wissenschaftliche Zeitung der Technischen Universitat Dresden 13 (1964) 3.
27. Fischer, E., Bewegungszyklen und Richtungsfelder beim Planlappen. Werkst uBetr 99(4) (1966) 237–243.
28. Bastian, H.G., Miszler, J., and Pistauer, R., Die Finishbearbeitung von Dicht-
flachen bei der Armaturenfertigung. Technische Information Armaturen 11(1)
(1976) 12–14.
29. Martin, K., Lappen. VDI-Z. 113(11) (1971) 912–913.
30. Martin, K., Neue Erkenntnisse uber den Werkstoffabtragsvorgang beim Lappen.
Fachberichte fur Oberflachentechnik 10(6) (1972) 197–202.
31. Schienle, H., Das Lappen als eines der altesten Bearbeitungsverfahren hat gute
Zukunftsaussichten. Ind Anz 105(9) (1983) 16–18.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 86 23.10.2006 6:11pm
86 Handbook of Lapping and Polishing
32. Grosch, J., Grundlagen des Festigkeitsverhaltens technischer metallischer
Werkstoffe. Berlin: TU Berlin, Habilitationsschrift, 1970. Zugl. In: HTM 26(4)
(1971) 253–297.
33. Sulies, P., Untersuchungen uber das Einlauflappen von breitenballigen Stirn- und
Kegelradgetrieben. Aachen: TH Aachen, Dr.-Ing. Diss., 1970.
34. Tsuwa, H., Researches on the lapping of metals. In Technology Reports of the
Osaka University, Osaka, 1951.
35. Ozhan, F., Feinbearbeitung weichmagnetischer Werkstoffe. Reihe Produktion-stechnik—Berlin, Forschungsberichte fur die Praxis, Bd. 36. Hrsg.: G. Spur.
Munchen, Wien: Hanser, 1985.
36. Kling, J., Verschleiß und Abtrag beim Lappen. Technische Rundschau Bern78(11) (1986) 107–111.
37. Kling, J. and Matthias, E., Workpiece material removal and lapping wheel wear
in plane- and plane-parallel lapping. Ann CIRP 35(1) (1986) 219–222.
38. Grunwald, F. and Jaksch, M., Einflub des Lappscheibenwerkstoffs auf die
Herstellung von Oberflachen mit geringster Rauheit. Feingeratetechnik 25(6)
(1976) 272–274.
39. Miller, N.E., Three-body abrasive wear with small size diamond abrasives. Wear58 (1980) 249–259.
40. Mulhearn, T.O. and Samuels, L.E., The abrasion of metals: A model of the
process. Wear 5 (1962) 478–498.
41. Kragelski, I.W., Reibung und Verschleib. Berlin: VEB Verlag Technik, 1971.
42. Ajayi, O.O. and Ludema, K.C., Surface damage of structural ceramics: Implica-
tions for wear modelling. Wear 124 (1988) 237–245.
43. Baehr, R., Zur Realstruktur bearbeiteter Silizium-Einkristallscheiben. Kristallund Technik 11(5) (1976) 549–558.
44. Buijs, M. and Martens, L.A.A.G., Effect of indentation interacting on cracking.
J Am Ceram Soc 75 (1992) 10.
45. Buijs, M. and Korpel-van Houten, K., A model for lapping of glass. J Mater Sci28 (1993) 3014–3020.
46. Buijs, M. and Korpel-van Houten, K., Three-body abrasion of brittle materials as
studied by lapping. Wear 166 (1993) 237–245.
47. Cook, R.F. and Pharr, G.M., Direct observation and analysis of indentation
cracking in glasses and ceramics. J Am Ceram Soc 73 (1990) 4.
48. Chauhan, R., Ahn, Y., Chandrasekar, S., and Farris, T.N., Role of indentation
fracture in free abrasive machining of ceramics. Wear 162–164 (1993) 246–257.
49. Engel, H., Lappen von einkristallinem Silicium. Dissertation, TU Berlin, 1997.
50. Hadamovsky, H.F. (Hrsg.), Werkstoffe der Halbleitertechnik. Leipzig: VEB Dt.
Verlag fur Grundstoffindustrie, 1985.
51. Imanaka, O., Lapping mechanics of glass-especially on roughness of lapped
surface. Ann CIRP 23 (1966) 227.
52. Izumitani, T. and Suzuki. I., Indentation hardness and lapping hardness of optical
glass. Glass Technol 14 (1973) 2.
53. Marshall, D.B., Evans, A.G., Khuri Yakub, B.T., Tien, J.W., and Kino, G.S.,
The nature of machining damage in brittle materials. Proc R Soc Lond A(1983) 385.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 87 23.10.2006 6:11pm
Fundamentals of Lapping 87
54. Morita, N., Wu, T.-C., and Yoshida, Y., The effect of interaction of abrasive
grains on the deformation and fracture behavior of brittle materials. In: Proceed-ings of the ASPE 1992 Annual Meeting, Grenelefe, FL, 1992.
55. O’Mara, W.C., Herring, R.B., and Hunt, L.P. (Hrsg.), Handbook of Semicon-ductor Silicon Technology. Park Ridge: Noyes Publications, 1990.
56. Phillips, K., Crimes, G.M., and Wilshaw, T.R., On the mechanism of material
removal by free abrasive grinding of glass and fused silica. Wear 41 (1977) .
57. Spur, G. and Holz, B., Oberflachenqualitat und Kristallschadigung beim Lappen
und Schleifen von monokristallinen Siliciumscheiben. In: Jahrbuch Oberfla-chentechnik, Bd. 47. Berlin: Metall Verlag, 1991.
58. Spur, G., Holz, B., Sabotka, I., and Uhlmann, E., Oberflachenentstehung bei der
spanenden Bearbeitung sprodharter Werkstoffe. In: Tagungsband zum 8 Inter-nationalen Oberflachenkolloquium, Bd. 1. Chemnitz, 1992.
59. Spur, G. and Engel, H., Werkzeugeingriff und Oberflachenentstehung beim
Lappen sproder Werkstoffe. In: Jahrbuch Schleifen, Honen, Lappen und Polie-ren, Bd. 58. Hrsg.: H.K. Tonshoff, Essen: Vulkan, 1996.
60. Tonshoff, H.K., Schmieden, W.V., Inasaki, I., Konig, W., and Spur, G., Abrasive
machining of silicon. Ann CIRP 39(2) (1990) 621–635.
61. Lawn, B., Fracture of Brittle Solids, 2nd ed, Cambridge: Cambridge University
Press, 1993.
62. Lawn, B.R., Hertzian fracture in single crystals with the diamond structure. JAppl Phys 39 (1968) 10.
63. Lawn, B. and Wilshaw, R., Review indentation fracture: Principles and applica-
tions. J Mater Sci 10 (1975) 1049–1081.
64. Lawn, B.R., Evans, A.G., and Marshall, D.B., Elastic=plastic indentation damage
in ceramics: The median=radial crack system. J Am Ceram Soc 63 (1980) 9–10.
65. Marshall, D.B., Lawn, B.R., and Evans, A.G., Elastic=plastic indentation damage
in ceramics: The lateral crack system. J Am Ceram Soc 65 (1982) 11.
66. Lawn, B.R., Marshall, D.B., and Chantikul, P., Mechanics of strength-degrading
contact flaws in silicon. J Mater Sci 16 (1981) 1769–1775.
67. Wiese, G.E. and Wagner, R.E., Physical model for predicting grinding rates.
Appl Opt 13 (1974) 11.
68. Dudley, J.A., Abrasive technology for wafer lapping. Microelectr Manuf Test 4
(1986) 1–6.
69. Sabotka, I.A.W., Planlappen Technischer Keramiken. Dissertation, TU
Berlin, 1991.
70. Simpfendorfer, D., Entwicklung und Verifizierung eines ProzeOmodelles beim
Planlappen mit Zwangsfuhrung. Dissertation, TU Berlin, 1988.
71. Davis, C.E., Untersuchung der EinfluOgroOen beim Flachlappen mit Diamant-
Mikrokornungen. IDR 7 (1973) 4.
72. Godo, R., Eigenschaften und Anwendung von Lappmitteln und Poliermitteln.
Schorndorf: Seminar Feinschleifen, Lappen, Polieren, 1989.
73. Koshiyama, I., Lapping and polishing for modern monolithic micro-circuits.
Microelectr Manuf Test 10 (1988) 19–20.
74. Rostoker, D., How users can optimize machine performance in production
lapping operations. Microelectr Manuf Test May (1987) 9–10.
75. Wagemann, A., Wirkzusammenhange beim Planparallelpolieren von Hochleis-
tungskeramik. Dissertation, RWTH Aachen, 1994.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 88 23.10.2006 6:11pm
88 Handbook of Lapping and Polishing
76. Konig, W. and Popp, M., Zum Schlichten und Schruppen geeignet. Silizium-
infiltriertes Siliziumkarbid wirtschaftlich lappen. Industrie-Anzeiger 97, 1986.
77. Konig, W., Wagemann, A., Popp, M., and Wemhoner, J., Werkstoffgerecht
bearbeiten, Qualitatssicherung an Bauteilen aus Hochleistungskeramik. Indus-trie-Anzeiger 112 (1990).
78. Konig, W., Verlemann, E., and Wagemann, A., Hoher Abtrag. Gesinterte Ker-
amik schleifen und lappen mit grobem Abtrag und hoher Gute. Maschinenmarkt,
Wurzburg (1990) 41.
79. Bogenschutz, A.F., George, U., Jentzsch, J., and Mussinger, W., Die Prufung vonPolier-, Lapp- und Schleifmitteln. Saulgau: Leuze, 1973.
80. Pahlitzsch, G., Vergleichende Untersuchung von naturlichem und sythetischem
Diamantkorn. Ann CIRP 10 (1961) 2.
81. DIN 58751. Lose Schleifmittel fur die Optik. Teil 1: Anforderungen. Beuth:
Berlin, 1970. Teil 2: Prufung. Berlin: Beuth, 1972.
82. Chandrasekar, S., Shaw, M.C., and Bushan, B., Comparison of grinding and
lapping of ferrites and metals. In: Proceedings of the ASME—Machining ofCeramic Materials Symposium, Miami Beach, FL, 1985.
83. Degner, W., Bedeutung der Oberflachenbeschaffenheit fur die Erhohung der
Qualitat und Zuverlassigkeit der Bauteile. Feingeratetechnik 25(2) (1976) 85–88.
84. Eigenmann, B. and Scholtes, B., Zerstorungsfreie Eigenspannungsanalyse an
ingenieurkeramischen Teilen. CFI 66(9) (1989) 364–374.
85. Kloos, K.H. and Macherauch, E., Development of mechanical surface strength-
ening processes from the beginning until today. In: Shot Peening; Science,Technology, Application. Hrsg.: H. Wohlfahrt u. a., Deutsche Gesellschaft fur
Metallkunde e. V., Oberursel, 1987.
86. Letner, H.R. and Snyder, H.J., Grinding and lapping stresses in manganese oil-
hardening tool steel. Trans ASME 75 (1953) 873–882.
87. Rystsova, V.S. et al., Improving the wear resistance of lapped surfaces. RussianEng J 53(6) (1973) 52–54.
88. Spur, G. and Simpfendorfer, D., Neue Erkenntnisse und Entwicklungstendenzen
beim Planlappen. In: Tagungsberichtsband des 5. Internationalen Feinbearbei-tungskolloquiums, Braunschweig, 1987. Zugl. In: Jahrbuch Schleifen, Honen,Lappen und Polieren. Hrsg.: E. Salje. Essen: Vulkan, 55. Ausg., S. 459–480, 1988.
89. Sridhar, H.K., Krishnamurthy, R., and Balasubramanian, G., On some aspects of
fine finishing. In: Proceedings of the Eighth AIMTDR Conference, IIT, Bombay,
India, 1978.
90. Matalin, A.A., Restspannungen beim Schleifen. Werkst u Betr 103(9) (1970)
639–644.
91. Kedrow, S.M., Neuzeitliche Lappmaschinen. Maschinenbautechnik 2(7) (1953)
300–306.
92. Chandrasekar, S., Shaw, M.C., and Bushan, B., Morphology of ground and
lapped surfaces of ferrite and metal. In: Proceedings of the ASME—Machiningof Ceramic Materials Symposium, Miami Beach, FL, 1985.
93. Genzel, Ch. and Genzel, A., Shear stress distribution in crystals induced by
mechanical surface load. Phys Status Solidi A 117 (1990) 141–154.
94. Stickler, R. and Booker, G.R., Surface damage on abraded silicon specimens.
Philos Mag 89 (1963) 859–876.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 89 23.10.2006 6:11pm
Fundamentals of Lapping 89
95. Verhey, J., Bismayer, U., Guttler, B., and Lundt, H., The surface of machined silicon
wafers: A Raman spectroscopic study. Semicond Sci Technol 9 (1994) 404–408.
96. Buck, T.M. and Meek, R.L., Crystallographic damage to silicon by typical
slicing, lapping and polishing operations. Silicon Device Processing, NBS Spe-
cial Publication Nr. 337, Washington, 1970.
97. Lundt, H., Kerstan, M., and Hahn, P.O., Subsurface damage of abraded silicon
wafers. In: Proceedings of the Seventh International Symposium on SiliconMaterial Science and Technology, San Francisco, May 1994.
98. Pugh, E.N. and Samuels, L.E., Damaged layers in abraded silicon surfaces.
J Electrochem Soc 111 (1964) 12.
99. Allen, J.W., On a new mode of deformation in indium antimonide. Philos Mag4 (1959).
100. Pursche, G., Oberflachenschutz vor Verschleib. Berlin: Verlag Technik, 1990.
101. Grafen, H. (Hrsg.), Lexikon Werkstofftechnik. Dusseldorf: VDI-Verlag, 1993.
102. DIN 8580. Fertigungsverfahren. Einteilung. Berlin: Beuth, 1970.
103. Funck, A., Planschleifen mit Lappkinematik. Dissertation, TU Berlin, 1994.
104. Spur, G. and Eichhorn, H., Untersuchungen zum Verschleib von Lappscheiben
beim Planparallellappen. In: Vortragsband Seminar Poznan—Berlin ‘‘DokladnaObrabotka Elementov Maszyn,’’ Poznan, 1995.
105. Spur, G. and Eichhorn, H., Grundlegende Untersuchungen zur Produktivitats-
steigerung des Fertigungsverfahrens Planparallellappen durch Konditionieren
der Lappscheiben. Arbeitsbericht zum DFG-Projekt Sp84=137-2, 1995.
106. Spur, G. and Eichhorn, H., Kinematisches Simulationsmodell des Lappscheiben-
verschleibes. IDR 31(2) (1997) 169–178.
107. Ardelt, Th., Einfluss der Relativbewegung auf den Prozess und das Arbeitser-
gebnis beim Planschleifen mit Planetenkinematik. Dissertation, TU Berlin, 2000;
zugl. Reihe Berichte aus dem Produktionstechnischen Zentrum Berlin, 2001.
108. Hitchiner, M., Willey, B., and Ardelt, T., Developments in flat grinding with
superabrasives. In: Proceedings of the Precision Grinding and Finishing in theGlobal Economy—2001, 1–3 October, 2001, Oak Brook, IL, 2001.
109. Uhlmann, E. and Ardelt, Th., Influence of kinematics on the face grinding
process on lapping machines. Ann CIRP 48(1) (1999) 281–284.
110. Gellert, W. (Hrsg.), Kleine Enzyklopadie Mathematik. Thun und Frankfurt:
Verlag Harry Deutsch, 1977.
111. Spur, G., Die Genauigkeit von Maschinen: Eine Konstruktionslehre. Munchen,
Wien: Hanser, 1996.
112. Ber, A. and Gueva, I., On the wear of the plane lapping plate. Ann CIRP XVI
(1968) 409–413.
113. Fischer, E., Zur Vorausbestimmung des Abschliffes und der Abnutzung beim
Prazisionslappen. Wiss Z TU Dresden 14(1) (1965) 177–181.
114. Fischer, E., Optimale Uberdeckungsverhaltnisse an zwangsgefuhrt umlaufenden
Plan-Lappmaschinen. Wiss Z TU Dresden 14(3) (1965) 719–731.
115. Lichtenberger, H., Die Spanmengenleistung beim Lappen ebener metallischer
Werkstucke. Dissertation, TH Hannover, 1954.
116. Uhlmann, E. and Ardelt, Th., Kinematik und ProzeOverhalten beim Planschlei-
fen mit Lappkinematik. In: Feinstbearbeitung Technischer Oberflachen.
Tagungsband zum ‘‘5. Int. IWF_Kolloquium’’ der ETH Zurich am 11=12 Mai
2000, S. 93–105, 2000.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 90 23.10.2006 6:11pm
90 Handbook of Lapping and Polishing
117. Eversheim, W., Simulation als Hilfsmittel zur Strategie-, Produkt- und Produktions-
planung. In: Vortrage des Produktionstechnischen Kolloquiums, Berlin, 1986.
118. Spur, G. u. a., Simulation zur Auslegungsplanung und Optimierung von Produk-
tionssystemen. ZwF 77 (1982) 9.
119. Bouzakis, K. and Mitsi, S., Hochgenaues Lappen. Mathematische Beschreibungder sich ergebenden Oberflachenform und Rauhigkeit. VDI-Z 128: 23=24, 1986.
120. Konig, W. and Steffens, K., A numerical method to describe the kinematics of
grinding. Ann CIRP 31 (1982) 1.
121. Spur, G., Aufschwung, Krisis und Zukunft der Fabrik. In: Vortrage des Produk-tionstechnischen Kolloquiums, Berlin, 1983.
122. Hill, R., The Mathematical Theory of Plasticity. Oxford: Clarendon Press, 1985.
123. Spur, G. and Engel, H., Tool engagement and surface formation in lapping of
brittle materials. In: Proceedings of the International Journal of Japan Society ofPrecision Engineering 33(3) (1999) 191–196.
124. Grubbs, F.E., Procedures for detecting outlying observations in samples. Tech-nometrics 11 (1969) 1.
125. Smirnov, N.W. and Dunin-Barkowski, I.W., Mathematische Statistik in derTechnik. Berlin: VEB Dt. Verlag der Wissenschaften, 1973.
126. Tippett, L.H.C., On the extreme individuals and the range of samples taken from
a normal population. Biometrika 17 (1925) 364–387.
127. Evans, C.J., Parks, R.E., Roderick, D.J., and McGlaufin, M.L., Rapidly renew-
able lap: Theory and practice. Ann CIRP 47(1) (1998) 239–244.
128. Heisel, U. and Avroutine, J., Process analysis for the evaluation of the surface
formation and removal rate in lapping. Ann CIRP 50 (2001) 229.
129. Suh, N.P. and Sin, H.-C., The genesis of friction. Wear 69 (1981) 91–114.
130. Yoshioka, M., Simulation of time dependent distribution of abrasive grain size in
lapping. In: Proceedings of the International Conference on Precision Engin-eering, Taipei, 1997, 431–436.
131. Heisel, U., Avroutine, J., and Eggert, U., Simulationsmodell des Lappens fur
rein spanenden Abtragsmechanismus, Jahrbuch Schleifen, Honen, Lappen und
Po-lieren 58 (1997) 506–520.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 91 23.10.2006 6:11pm
Fundamentals of Lapping 91
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C002 Final Proof page 92 23.10.2006 6:11pm
3 Lapping of DuctileMaterials
Ioan Marinescu, Ion Benea,and Naga Jyothi Sanku
CONTENTS
3.1 Introduction........................................................................................... 933.2 Physics of the Process .......................................................................... 97
3.2.1 Lap Plate ................................................................................... 993.2.2 Abrasives................................................................................. 1023.2.3 Abrasive Slurry ....................................................................... 1053.2.4 Condition Rings ...................................................................... 1073.2.5 Parts Carriers........................................................................... 1083.2.6 Carrier ..................................................................................... 1083.2.7 Auto Stirrer ............................................................................. 1093.2.8 Lapping Methods .................................................................... 109
3.2.8.1 Single-Side Lapping ................................................. 1093.2.8.2 Double-Side Lapping................................................ 1113.2.8.3 Cylindrical Lapping.................................................. 1133.2.8.4 Lapping with Bonded Abrasives.............................. 114
3.2.9 Advantages of Lapping Process ............................................. 1143.3 Mechanism of the Process.................................................................. 115
3.3.1 Two-Body and Three-Body Abrasion Mechanisms............... 118References.................................................................................................... 120Bibliography ................................................................................................ 121
3.1 INTRODUCTION
The high demands required today by manufacturing engineers for machine
parts and tools necessitate very precise machining. The finishing processes
are an important perspective to be considered today to meet the goals like
parallelism, tolerances, flatness, and smooth surface. These processes are
high-precision abrasive processes used to generate surfaces of desired char-
acteristics such as geometry, form, tolerances, surface integrity, and rough-
ness characteristics. Abrasive finishing processes are used in a wide range of
material applications and industries. Typical examples are finishing of various
components used in aerospace, automotive, mechanical seals, fluid handling,
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 93 20.10.2006 12:34pm
93
and many other precision engineering industries. The lapping process is a fine
finishing process, which has a leading importance in this perspective. The
lapping process leads to a surface with low roughness and high precision.
The topographical structure resulting from lapping is very advantageous
in sliding joints, because of the high ability of lubricant retention, as well as
in nonsliding joints because of the high load-carrying ability. The range of
lapped materials is very wide. This necessitates the use of natural and artificial
abrasive materials and mainly materials such as micrograins of alumina, silicon
carbide, boron carbide, and diamond. Both the solid and liquid carriers distrib-
ute these grains on the surface of the lapping tool and the chemical action takes
place, which the active components aid for the machining to occur intensively.
The lapping process has its roots from the origin of the finishing process.
It is considered as one of the oldest processes suggested to meet the require-
ments of the dimensions and to obtain a relatively good surface finish that is
aimed mainly to decrease surface roughness and surface waviness, and to
obtain closer tolerances.
The process is also suitable to machine hard materials, brittle materials,
etc. Although hardness is one of the greatest attributes of ceramic materials it
also sometimes becomes their drawback especially when machining them to
tight tolerances. To obtain closer tolerances, ceramic materials demand a very
highly sophisticated equipment and skilled labor, which will obviously lead to
high manufacturing costs and this is not at all desired. Subsurface damage is
one of the problems that is seriously affecting the performance of ceramic
components. Hence to obtain all the necessary machining qualities without
much investment, design engineers have suggested the lapping process.
Lapping has a wide range of applications. The process is also preferred for
finishing of optical glasses, for finishing different materials, and is also used
in producing the required finishing for silicon wafers, which is a major
component in computer architecture and electronic fields. Lapping can also
be used for finishing both metals and ceramic materials.
Lapping processes chiefly have the following characteristics that vary in
degree according to the particular system and equipment: (1) the rate of stock
removal is low because of the low cutting speeds and shallow penetration of
the fine abrasive grains into the work surface; (2) this process is considered a
cool process because it is carried out without generating significant heat and
hence does not cause thermal damage; (3) relative low force is exerted on the
workpiece that is usually held in a supported manner, which supports it to
machine fragile and brittle parts; (4) the general shape of the surfaces worked
by lapping is mostly limited to basic forms such as flat, cylindrical and
spherical, and in exceptional cases it is used for other shapes like screw
threads, but the accuracy achieved in lapping is excellent especially for flat
surfaces; (5) lapping produces surfaces with very low degree of roughness, in
general lapped surfaces are very smooth with regard to both the measurable
surface finish and the visually discernible reflectivity. Work material and its
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 94 20.10.2006 12:34pm
94 Handbook of Lapping and Polishing
hardness usually affect the reflectivity of the lapped surfaces, so soft materials
have low reflectivity and are of matte finish even when lapped to a high degree of
physical smoothness; (6) surface characteristics such as a random lay pattern, an
adaptability to microscopic examination at very high magnifications, a high ratio
of bearing surface to the total surface area, etc., can also be accomplished by
lapping; (7) due to the low and constant material removal rate, the particle size
can be controlled excellently as the lapping action is consistent and uniform;
(8) economically, it is a suggestible process when compared to other pro-
cesses such as lighting cutting force, which requires simple work retainment
only, and dependable control of size reduction without expensive instrumen-
tation, freedom from warpage, etc., can be the determining factors [1,2].
The following are the general points that should be followed in the
lapping process to obtain the desired finishing:
. A lap should be softer than the part to be lapped.
. The abrasive in a compound should be as hard as the metal being
lapped. Hard abrasives will charge or embed in a softer metal. A non-
embedding or noncharging compound should be used for soft metals as
garnet abrasives are used for brass or bronze. The softer the metal, the
softer the abrasive. The harder the metal, the harder the abrasive.. High lap speed will increase stock removal. For a rotary lap, a speed of
approximately 275 rpm is recommended. If lap pressure is too high it
will score the part.. Increase of pressure of part against the lap will increase the speed of cut.. Serrated or grooved laps are best for flat surfaces with large areas, and
also for flat areas with holes in the surface.. Laps with no serration or grooves are preferred for cylindrical lapping.. Abrasives when mixed into an oily paste or greasy vehicle will give
better results than just a mixture of fluid oils and abrasives.. Tungsten carbide is best lapped with diamond compounds. Norbide or
boron carbide abrasive will slowly abrade the metal, but the finish is not
at all equal to that of the finish obtained by the diamond compounds.. A gray or frost-like surface may be as smooth and accurate as a bright
finish. A bright or polished surface does not indicate that it is smooth,
but a smooth surface may be bright or polished.. A polished surface is harder to produce than a gray matte finish and will
show scratches more readily.. It is more difficult to lap soft metals than hard metals.. A soft lap will cut faster than a hard lap. It will wear longer and give a
brighter surface. A hard lap cuts slowly, wears faster, and gives a dull
finish, but its accuracy of lapping is greater.. Final finishing should be done only with a charged lap lubricated with
thin oil, naphtha, gasoline, spindle, etc.. Thin workpieces can be lapped parallel but not necessarily flat.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 95 20.10.2006 12:34pm
Lapping of Ductile Materials 95
. Final finishes are best obtained with no loose abrasive on the plate.
Hence, the embedded abrasive granules and a very thin lubricant are
used in the surface of the plate to get the final finish.. When soft metal parts such as brass or bronze running seals are lapped,
a nonembedding abrasive should be used.. Different kinds of abrasives or grit sizes should not be used at the same
time on the same plate. It is recommended to use different plates for
roughing and finishing operations.. Diamond compounds should be used for lapping tungsten carbide or
other metals when the Rockwell C hardness exceeds 64.. An abrasive particle will produce a scratch one-half of its size. Thus, a
10 mm sized particle will produce a scratch of 5 mm.. Brightness does not indicate flatness. A gray or matte finish can be just
as smooth and accurate as a bright finish.. Fine abrasive grit sizes do not mean fine finishes. Abrasives can be very fine.
The abrasive should just be coarse enough to abrade or remove the desired
amount of stock or metal that causes the abrasive granules to break down
into inert sized particles. These fine particles produce the desired finish.. For measuring flat lapped surfaces a polish is necessary and an optical
flat is used. An optical flat is a transparent disc, preferably quartz. With
proper reflective surface conditions, the phenomenon of interference
bands is created with an optical flat. As seen through the optical flat
these bands appear as a pattern of dark strips on the illuminated work
surface. Interference bands can be used with great accuracy to deter-
mine the flatness of a surface, i.e., the location and amount of concavity
or convexity. Straight band indicates a flat surface. Curved or irregular
bands show a lack of flatness. Bands that curve toward the line of
contact and away from the line of contact indicate a convex surface
and concave surface, respectively.. To obtain a reading with an optical flat on a flat workpiece the surface
must have a reflective finish and be flat within 5105 in.. Every abrasive has a different finishing quality as to brightness or
reflective ability. Silicon carbide abrasive, no matter how it is used,
will only produce a gray, frosted, or matte finish.. A mixture of just oil and abrasive is not a good lapping medium. The
abrasive granules should be held in a film on the plate that resists the
movement or rolling of abrasive granules. This resistance causes
the cutting edges of the abrasive to abrade or remove the metal.. The compounds having a pasty base or vehicle create an important film.
The crushed abrasive granules in this film create a protective medium
between the lap and part.. For lapping various grades of aluminum metal, garnet and silicon
carbide compounds are recommended. Linde powders are used to create
a high polish or mirror finish.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 96 20.10.2006 12:34pm
96 Handbook of Lapping and Polishing
. For lapping hardened steels, stainless steels, chrome plate, etc., which
have Rockwell C hardness of 55–63, aluminum oxide abrasive com-
pounds are recommended. Aluminum oxide abrasives are also recom-
mended for beryllium and ceramics. It is available in all standard sizes.. The corundum abrasive is well suited for softer steels.. Carbon seals should not be lapped with loose abrasive on a plate. The
lapping plate should be a bonded abrasive disk using a thin oil lubricant.. Surface finish is a function of abrasive grit size, part material, and part
hardness. Coarse abrasives produce higher rms finishes than finer abra-
sives. Harder materials exhibit lower rms finishes from a given abrasive
than softer materials.
Lapping with diamond abrasives has more benefits than conventional
abrasives. With diamond slurries, the lapping and polishing phases can be
achieved in one step. The time required for cleaning and processing the waste
is less, thereby increasing the overall productivity. The main applications of
these abrasives are superior surface finish, aggressive material removal,
uniform edge to edge flatness, surface finishes to 0.2 m in. Ra, flatness to
1=20th wavelength, superior cleanability, and precise repeatability besides
obtaining both lapping and polishing properties in one process.
Lapping is a process, which basically can work on any material or shape.
Although its aim is to obtain surface finish, it basically imparts the dimensional
tolerances and accurate shape by concentrating more on stock removal than that
of surface finish. It is basically described for the flat, round, and square parts but
it can also work on intricate parts. If the lap material is chosen in such a way that
it is softer than the workpiece material then the lapping process can impart any
dimensional shape. Hence, it is finding new applications in different industries.
Even the lapping can be done on wafers to obtain smooth surface and planarity.
Hence the demand for these finishing processes is growing day by day in
electronic industries, industries manufacturing computer hardware parts, and
precision micromachine industries. Lapping is the basic process used in
obtaining smooth finishes in silicon wafer manufacturing and in finishing
some ingredients of chips, etc. Mainly, ceramic industries are depending on
this process for finishing. Lapping can be done on any material like copper,
iron, alumina pieces, silicon carbide pieces, etc. Figure 3.1 shows some of the
shapes that are being lapped in various industries for various applications.
3.2 PHYSICS OF THE PROCESS
Lapping is a fine finishing abrasive process aimed at imparting specific
characteristics to the workpiece with respect to form, size, and surface
conditions. It is a process in which a rotation lap, charged with loose abrasive
slurry, removes a very small amount of material from metallic or nonmetallic
surfaces. Low speed and low pressure result in fine finished surfaces of
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 97 20.10.2006 12:34pm
Lapping of Ductile Materials 97
extreme flatness. It is mainly a three-body abrasion wear in which abrasives
are loosely held between workpiece and lap plate, which are mainly respon-
sible for fine finish and a high degree of geometric accuracy. Lapping is
generally carried out on a Lapmaster. This lapping machine is the simplest
available and is used for a general lapping process. The usage of highly
sophisticated and highly mechanized machines is demanded as the demands
of surface finish and tolerances are to be closer or finer [3]. Lapping generally
can machine more than one part.
Lapping mainly includes lap plate, abrasives, vehicle or carrier, condition
rings, and work holders. Laps are usually made of soft cast iron, copper, brass,
lead, or ceramic. Abrasives used in ceramic industries are basically the
hardest materials. The vehicle or carrier carries the abrasive slurry to the
lap plates. Condition rings are made of a material that is compatible with
the lap plate hence avoiding any chemical action. Work holders hold the
workpieces so that the area of contact with the lap plate is uniform.
The following are the most distinguishable characteristics of the lapping
process:
1. Contact points between tool and workpiece are constantly changed
through relative motions.
2. Rotation tools store the abrasive particles.
FIGURE 3.1 Different shapes that are lapped in various industries. (From Indge, J.H.,
Tooling and Production, 55, 116, 1990.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 98 20.10.2006 12:34pm
98 Handbook of Lapping and Polishing
3. It is a low speed and low pressure abrading operation.
4. Results in fine surface finish and extreme flatness.
Generally, for a higher degree of accuracy in the finished product, soft
cast iron is the best lap material to use. Usually, a high-density cast iron
alloy, radially serrated, precision-machined, highly planar circular plate is
used for bulk stock removal. This plate may be removed by an Allen
wrench. Samples to be lapped are placed face down, wax-mounted to the
diamond stop fixture, which itself will rotate once the wheel rotation is
started, and held in place over the lapping plate within a conditioning ring
or retainer ring wedged inside a roller yoke. As both the condition and
planarity (i.e., concavity or convexity) of the lapping plate’s topography
will be transferred as a mirror image to the sample that is lapped, it is
important to properly check and adjust or correct the planarity of the
lapping plate before and during a lapping process. The plate should be
heavy enough and properly designed to avoid distortion over use. Its surface
may be plain or grooved. Plain plates are best suited for extreme accuracy
and for lapping cylindrical work and, grooved laps are used for lapping flat
work only [4,5]. To produce a perfectly smooth surface free from scratches,
the lap should be well charged with the fine abrasives initially and kept
moistened during moderate use; it will cut for a longer time. The pressure
upon the work should be just enough to ensure constant contact. The
lapping plates are mainly grouped as natural and special composites. Special
composite laps are prepared with a unique blend of powdered metal or
ceramic, combined in a resin system. These are used instead of pure metal
as the special formulations of powders and resins allow composite laps to
take a more uniform charge of diamond, as compared to pure metal plates.
The result is a more consistent and controlled performance. And also,
composite plates are superior in applications where lapping and polishing
are combined into one step. Typical applications of composite laps are fuel
injector components, magnetic head substrates, bars and sliders, CD molds,
stamping dies, valves and pump components, ceramic seals and compon-
ents, mechanical seal faces, semiconductor substrates, carbide cutting tools
and inserts, electro-optical and fiber-optic devices, electronic substrates, and
laser rods.
The main factors influencing the lapping characteristics are the type of the
lap, the type and size of the abrasive grains, the lapping fluid type, the lapping
pressure, and the lapping speed.
3.2.1 LAP PLATE
The composition of lap plate is of great importance because it can affect the
results of the lapping process and hence the type of lapping plate is important
as a workpiece can be badly scratched and contaminated with abrasives if the
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 99 20.10.2006 12:34pm
Lapping of Ductile Materials 99
lap plate is too hard. A hard lapping plate resists being embedded with
abrasive particles. Therefore, the grains roll more than they slide so that
most of the material removal is by stress inducing micro fracture and also
the grains are embedded more in the workpiece. A softer lapping plate allows
abrasives to partially embed themselves in the lap, resulting in more sliding
motion and material removal by ploughing. The result is a finer surface finish
from soft plates but less planarity. A hard plate and very fine abrasives can
impart better surface finish with respect to planarity. To obtain a perfectly
smooth surface it is important that the lap plates should be charged with a
very fine abrasive and should be charged until the lap surface has a gray
appearance. One should bear in mind that the lap plates should not be over
charged as it may result in inaccuracy because of excessive rolling action
between the workpieces and the lapping plate. The rotation of lap plate also
may affect the planarity and hence appropriate plates should be selected to
achieve the desired purpose.
The most widely used types of lapping plates in the industry are the
following:
Iron lap plate: The plate has aggressive stock removal rate and it is an
excellent primary or roughing lap plate, with a long service life often used as
an alternative for cast iron plate. It produces a good surface finish on most
materials, especially metals and ceramics and typically used with coarse to
medium diamond sizes (Figure 3.2).
Copper plate: This is the most widely used and universal composite lap
plate, excellent when primary and finishing operations are combined in one
FIGURE 3.2 Cast iron plate. (From www.engis.com.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 100 20.10.2006 12:34pm
100 Handbook of Lapping and Polishing
step. It is suitable for metals, ceramics, glass, carbon, etc. It minimizes
fracturing and chipping tendencies when lapping. It is preferred for lapping
medium to fine diamond sizes (Figure 3.3).
Ceramic plate: This plate is generally used to lap or polish ceramic parts
and other stain-sensitive materials and used in applications where metallic-
type contamination cannot be tolerated. It is affordable, more machinable, and
alternative to natural ceramic plates. These are very flexible and hence can be
used with coarse to fine diamond sizes. This plate encourages moderate stock
removal (Figure 3.4).
Tin or lead plate: It is most widely used as finishing lap plate, often used
in place of polishing pads, suitable for metal, ceramic, and other materials. It
is typically used with fine to very fine diamonds and it minimizes fracturing
and chipping tendencies when lapping crystal components. These plates are
aimed at obtaining a fine stock removal (Figure 3.5).
Tin plate: It is aiming at having a fine stock removal and is often used
where lead-type contamination cannot be tolerated and is suitable for char-
ging extra-fine particulates. It is used with fine to extra fine (micron to
nanometer) diamond sizes. Typically used with coarse to medium diamond
sizes (Figure 3.6).
Square, spiral, concentric, and radial grooved lap plates are available and
these lap plate sizes are in the range of 12–42 in. They can be used according
to the demand of the material to be machined.
FIGURE 3.3 Copper plate. (From www.engis.com.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 101 20.10.2006 12:34pm
Lapping of Ductile Materials 101
3.2.2 ABRASIVES
The abrasive grains used for lapping have sharp, irregular shapes, with each
other backed by a lapping plate. When a relative motion is induced and
pressure applied, the sharp edges of the grains are forced into the ceramic
material to abrade away microscopic particles. Thus the cutting action takes
place continuously over the entire surface of the workpieces. The abrasives
come into contact with the abrasive slurry backed by a lapping plate. This
FIGURE 3.4 Ceramic plate. (From www.engis.com.)
FIGURE 3.5 Tin or lead plate. (From www.engis.com.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 102 20.10.2006 12:34pm
102 Handbook of Lapping and Polishing
type of abrasive machining action is unique when compared with other forms
of machining. The abrasives mixed with slurry can be of a variety of shapes
and sizes. Three abrasive cutting mechanisms—rolling, sliding, and charged
plate mechanisms—simultaneously occur in lapping. Rolling abrasives and
sliding abrasives act very similar except that the sliding abrasives are more plate-
like and act like tiny scrapers whereas rolling abrasives are more round in shape.
Charged plate abrasives are the abrasives that have imbedded into the lapping
plate. The size and size distribution of the abrasives are the important factors
in the surface obtained by lapping and so the size of the abrasive is directly
proportional to the material removal rate and surface roughness [6–8].
Abrasives are either natural or artificial crystalline forms. Natural abra-
sives are diamond, corundum, garnet, emery, quartz, and other softer mater-
ials found in the Earth. Artificial abrasives, such as diamond, borazon TM
CBN, silicon carbide, aluminum oxide, boron carbide, and various aluminas
that are divided into two groups, fused and unfused, are manufactured.
. Fused abrasives are the result of tremendously high electric furnace
temperatures, which produce hard crystals.. Unfused alumina abrasives are the result of lower temperatures and
chemical additives. They do not have the hard crystalline structure of
fused abrasives.
The following are the different types of abrasives and their respective appli-
cations:
Diamond: Both a natural and human-made synthetic abrasive of Mohs
10 and is the hardest and the sharpest abrasive known. It is best suited for
FIGURE 3.6 Tin plate. (From www.engis.com.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 103 20.10.2006 12:34pm
Lapping of Ductile Materials 103
tungsten carbide and other very hard materials. Since it is so hard it should not
be used on softer metals where embedding may be a factor. When a plate has
been embedded with the diamond abrasive, it cuts faster and produces fine
finishes. In recent years, synthetic fine diamond powders have been increas-
ingly used in industrial applications.
Cubic boron nitride: Commonly known as borazon TM CBN, cubic boron
nitride is a human-made synthetic abrasive that is almost as hard as diamond
on the Mohs scale. This abrasive material is well suited to ferrous metals in a
lapping operation, as it will not carbonize like diamond when interacting with
Fe (iron). Borazon TM CBN is especially well suited for lapping 52100
bearing steel, cast iron, die steel, tool steel, stellite, super alloys, and in
some cases ceramic materials.
Norbide abrasive: A fused abrasive with high grain strength and of Mohs
9.7 has a hexagonal structure and is not easily friable. It is useful only for
unusual or special lapping operations.
Silicon carbide: A fused, hard crystalline abrasive of Mohs 9.5 is fast
cutting with a good crystal breakdown when used to lap either high or low
tensile strength material. It is well suited for rough lapping operations, forged
or hardened gears, valves, toolroom work, and general maintenance where
polish is not essential. With silicon carbide, all lapped material will have a
frosty or gray finish.
Aluminum oxide: A fused crystalline abrasive of Mohs 9, which is just
under silicon carbide, has very hard crystal structure that is slowly dulled and
hard to fracture. It is best suited for use on high tensile strength materials,
rough lapping operations, hardened gears, ball bearing grooves, or lapping
operations where pressure can be exerted to break down the crystals. It does
not lend itself to fine finishes or precision lapping.
Fused alumina: With hardness of Mohs 9, another form of fused alumina
is the 38 white aluminum oxide abrasive, white in color with friable crystals.
The pressure on (friable) crystals, when lapping, causes them to keep break-
ing down into still smaller particles, which perform the finishing operation, to
produce the low rms finishes or polish. 38 white aluminum oxide is valuable
for lapping stainless steel, chrome plate, beryllium, and ferrite whose hard-
ness range is below the 62–63 Rockwell C scale.
Corundum: A natural abrasive of Mohs 9 is found in the Earth with softer
crystalline structure than silicon carbide or aluminum oxide. It breaks down
readily and is important for lapping a great variety of medium hard metals
(Rockwell C 35–45). It gives a medium polish or reflective finish.
Garnet: A natural abrasive of Mohs 8–9, mined from the Earth with a
blocky crystalline structure that does not readily embed itself in lapped parts.
Its greatest asset is that it may be safely used for lapping cast iron gears, brass
or bronze running seals, and instrument gears where such nonembedding
qualities are desired. It has a medium polishing quality.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 104 20.10.2006 12:34pm
104 Handbook of Lapping and Polishing
Unfused alumina (hydrate–calcined): Aluminas are produced in a wide
variety—g and a, hydrate and calcined. Hydrate alumina is relatively soft and
is used for polishing. Calcined aluminas are produced by heat treatment and
the degree of calcination determines the characteristics of the product. The
terms soft, medium, and hard relate to them as mild, medium, and high degree
of calcination. The calcined types are recommended for the lapping and
polishing of harder metals (Rockwell C 45–63). Their shape, unlike the
blocky crystals, is composed of flat or platey crystals with their thickness
about one-sixth their diameter. Unfused aluminas allow more equal pressure
to be distributed over a larger surface area than with fused because of their
platey shape.
The disk-shaped particles work with a shaving action rather than the
rolling and gouging action of ordinary abrasives and are not apt to scratch
the work being lapped [9].
3.2.3 ABRASIVE SLURRY
The purpose of any vehicle is to carry the abrasive grains and to position them
to work efficiently. The vehicle also lubricates the surfaces and carries away
the abraded material removed from the workpieces. The abrasive slurries are
mainly divided into three groups as water-soluble slurries, oil-based slurries,
and oil–water emulsion slurries. Water-based vehicles are commonly used for
lapping although oil vehicles can be and are used. Oil is a good vehicle;
however, it is often objectionable because of its tendency to penetrate into the
pores of some materials. In addition, oil is expensive to purchase, and its
disposal is difficult and expensive because according to industrial regulations,
disposal of oil is now considered a hazardous waste. Water-soluble slurries
are preferred to avoid health hazards. Oil-based slurries are the products that
are formed by the mixture of oil and abrasives. These slurries work well
because surface tension of lapping oil is similar to that of the ceramic
workpiece. Modern oil-soluble slurries are capable of suspending super abra-
sives like diamond and CBN without clumping and lubricating and cooling
the workpiece, absorbing the swarf, and thereby providing easy cleanup while
maintaining viscosity. Similarly, water-based slurries are comprised of water-
soluble and water-emulsifiable materials. These slurries are used for soft lap
plates like brass, tin, lead, and copper. Water-soluble slurries can achieve fine
finish when compared to oil-based slurries. To prevent rusting of the machine
components, inhibitors are added to water vehicles. In some cases, depending
on the equipment, the vehicle acts as a cooling agent to carry away the heat
generated by the lapping process. Suspension agents are occasionally added to
prevent settling of the abrasive. These agents do not necessarily improve the
actual lapping action. There are a variety of methods that can keep the abrasive
in suspension with minimal negative effect at the area where the machining
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 105 20.10.2006 12:34pm
Lapping of Ductile Materials 105
takes place. Apart from these two groups, oil–water emulsions can also be
used for lapping. But it has many disadvantages such as shorter shelf life than
oil-soluble emulsions, difficulty in suspending and resuspending super abra-
sives, and a viscosity that varies on use. In oil–water emulsions, droplets
break up during lapping, allowing their oil components to coat the workpiece.
The oil-based slurries are cleaner than the water-based slurries and they can
be wiped off with the mineral spirits. The concentration of abrasives that is
the amount of abrasives per volume of carrier affects the stock removal and
surface finish. When the concentration, i.e., the number of grains in contact
with the surface of the workpiece material varies, the load distribution varies
thus resulting in an uneven surface [3,10]. When once applied on the lap plate,
how these different slurries work during the process are shown in Figure 3.7.
Oil-soluble slurry
Oil−water emulsion slurry
Water-soluble polymer slurry
Oil or resinWater
FIGURE 3.7 The action of abrasives in three different slurries. (From Emond, G.T.,
Ceramic Industry, 30, 1987.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 106 20.10.2006 12:34pm
106 Handbook of Lapping and Polishing
3.2.4 CONDITION RINGS
The condition rings are mainly aimed at consistently regenerating surface
textures and flatness of lap plates. Mainly there are solid ceramic conditioning
rings, stainless steel rings, diamond-plated rings, and even rubber pads are
used (Figure 3.8). Conditioning rings are specially designed to correspond
with the various lap plates mentioned previously. These rings serve a dual
purpose; they are used primarily for retaining parts during processing and at
the same time maintain lap plate flatness. Some examples include
. Cast iron—general engineering
. Hardened steel—for use with hardened steel plates
. Ceramic—polishing applications
. Micarta—polishing applications
. Polycarbonate—polishing applications
Solid ceramic condition rings: These are ideal for use in the electronics,
automotive, medical, audio and visual, and optical industries. These high-
quality alumina ceramic rings provide an unmatched level of control in the
final finishing process and 12 serrations per ring. These are available for 12 in.
and 15 in. lap plates.
Stainless-steel-backed ceramic conditioning rings: These are backed with
304 stainless steel for greater tensile strength, and these rings are highly
resistant to corrosion and available for 12, 15, 24, 28, 36, and 42 in. lap plates.
FIGURE 3.8 Various condition rings used in lapping process. (From www.engis.com.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 107 20.10.2006 12:34pm
Lapping of Ductile Materials 107
Diamond-plated conditioning rings: These diamond-plated rings are
designed specifically for composite lapping plates. These are engineered to
consistently regenerate surface texture and flatness of composite lap plates, and
also these rings eliminate varying results usually associated with conventional
abrasive reconditioning and are available for lap plates from 12 in. up to 42 in.
3.2.5 PARTS CARRIERS
These are mainly designed to increase productivity while attaining preci-
sion surface flatness and finish. These are available in fiberglass and conven-
tional phenolic plastic and a range of other materials, in various diameters
and thickness.
Polishing pads: Polishing pads are available in a wide range of sizes and
materials to fit almost any application. The pads come with adhesive backing
for mounting to machine plates and can be used for polishing a wide variety
of materials including metals, glass, semiconductor materials, and more.
Rubber pads: These pads enable greater productivity when lapping parts
of varying thickness and are designed to apply even pressure to all parts and
are available in 1=2 in. thick soft rubber and 1=8 in. hard rubber.
3.2.6 CARRIER
The carrier’s main purpose is to carry the abrasive grains and position them to
work most efficiently. It also lubricates the surfaces and carries away the abraded
material removed from the workpieces. Inhibitors are added to avoid rusting and
suspension agents are occasionally added to prevent settling of the abrasive.
When using imbedded abrasives, the vehicle is made as a paste and is applied
initially to the lap to charge them. It can be applied as either paste form or liquid
form as the case demands. Water-based carriers are used more than oil-based
carriers, but oil slurries are used extensively in diamond lapping.
Carriers can be machined using punch and die tooling, computer numer-
ical control (CNC) machining, electrical discharge machining (EDM), and
laser cutting. Work holes can be custom-cut to suit any application and any
geometry. Different materials can be used in the production of carriers.
Steel: Spring steel is the strongest, most durable carrier material. Closer
tolerances of thickness and flatness are obtainable with spring steel material
making it ideal for thin work.
Lamitex: Lamitex, also known as G-10 and FR-4, is an epoxy-based
material reinforced with fiberglass. Lamitex is an excellent alternative to
steel offering excellent machining capabilities, high mechanical strength,
noncorrosive, and low water-absorbing properties. Lamitex is also an excel-
lent choice for large thick parts.
PVC: PVC is a thermoplastic vinyl. Combining chemical inertness, high
strength-to-weight ratio and economical cost, PVC is an excellent choice for
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 108 20.10.2006 12:34pm
108 Handbook of Lapping and Polishing
corrosion-resistant applications. PVC can be sanded to achieve tight thickness
tolerances and is commonly used for lapping thick parts.
Phenolic: Phenolic is a resin binder reinforced with cotton fabric. Phen-
olic is very wear-resistant and stronger than most plastics. It is typically used
with oil-based slurries due to its water-absorbing qualities. Phenolic is an
excellent choice when steel or fiberglass is not permitted in a process.
Lexan: A plastic without fiber reinforcement, this material gives up
some of the strength of lamitex but does not cause scratches in polishing
applications.
Vinyl: Softer and less expensive than lexan, vinyl is an economical choice
for short runs on smaller lapping machines.
Zinc: This zinc alloy is a soft material that helps protect the edges of
fragile parts. This material is only available for use on small machines.
3.2.7 AUTO STIRRER
This unit stirs and keeps abrasive slurry completely dispersed during usage.
To accelerate dispersion, shake bottle thoroughly and then place in the auto
stirrer. It will not be necessary to shake bottle again. It is especially helpful
when the grain grit size is 15 and coarser. It has the ability to keep an equal
dispersion of abrasives, consistent stock removal and finish at all times. Lap
Master accomplishes this by providing a unit capable of accommodating both
diamond slurry and lubricant. The diamond slurry mixture is kept in suspen-
sion by means of a magnet driven by an electric motor [11].
Work parameters that affect the efficiency of the lapping process are the
process parameters: speed, pressure, and time; abrasives: types, shape, grit
size, distribution, carrier types, and finally material properties of workpiece
and lapping plate. Among all the parameters, lap plate is considered to be the
major variable because all the other parameters depend on it in one way or the
other, as the concerned properties of the mechanism, dimensional accuracy,
and surface finish.
3.2.8 LAPPING METHODS
The machining methods used in lapping operations can be classified as
. Single-side flat lapping
. Double-side flat lapping
. Cylindrical lapping between laps
. Lapping with bonded abrasives
3.2.8.1 Single-Side Lapping
It is the best known and most widely used process (Figure 3.9). Many ceramic
workpieces require one flat surface. Single-side lapping is the most frequently
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 109 20.10.2006 12:34pm
Lapping of Ductile Materials 109
used machining process for producing such flat surfaces. The advantages of
this type of lapping is that many pieces can be machined at one time and
besides this, work holding is very simple, cut rates are consistent, and close
accuracies are inherent with the process. The machines used in this lapping
have a rotating annular-shaped lap plate and workpieces are placed on the flat
rotating wheel. To keep the wheel flat and also to guide the workpieces
numerous devices are employed when pressure is applied on the wheel. In
addition, the abrasive slurry is then added, often automatically, to the wheel
surface. Designers have strived to overcome some of the problems that are
common in this type by keeping the wheel flat, by applying uniform and
predictable pressure, and lastly by applying and maintaining a uniform
and consistent flow of abrasive. These three variables are to be taken care
of well because these are the most influencing factors on the surface finish.
The two major causes of wheel out of flatness condition are deflection and
uneven wear. Deflection in the lap wheel due to pressure applied on top of the
Looseabrasive Workpiece Pressure
Conditioningring
Lap plate
Lap plate
FIGURE 3.9 Single-side lapping process. (From Corsini, A.M. Proceedings of Grind-ing and Machining of Advanced Materials, Pittsburg, PA, October 11–13, 1995.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 110 20.10.2006 12:34pm
110 Handbook of Lapping and Polishing
workpieces must be kept to a minimum by providing heavy and sturdy
support of the rotating wheel. Thermal expansion due to heat can cause an
out of flatness condition and therefore a temperature-resistant wheel is an
essential requirement. However, modern production machines have devices to
carry away the heat generated during the process, and ideal systems are
designed to keep the hot spots and cold spots to a minimum. Uneven wheel
wear is another factor to be considered during this single-side lapping process.
The lapping action on the workpiece tends to wear the wheel away where the
workpieces contact the wheel, causing the out of flatness condition to de-
velop. In most instances, condition rings are applied to wear away the higher
points on the wheel that do not contact the workpieces, thus keeping the wheel
face flat. These rings are rotated either by the force of action from the rotating
lap wheel face or by some drive device in the center of the wheel. To provide
control of lap flatness and uniformity of lapping action, the condition rings
should be rigidly supported and always power driven in one direction only. In
addition, position means should be provided to correct for either a concave or
a convex lap wheel condition. These are corrected by positioning the rings
more to the center of the wheel. Generally, this type of machining normally
requires uniform pressure on the top of the workpieces and uniform abrasive
slurry flow to obtain the desired surface smoothness. The abrasive feeding
system should distribute the abrasive slurry evenly over the working area of
the wheel utilizing the forces such as gravity, centrifugal force, and rotating
action of the wheel and condition rings.
3.2.8.2 Double-Side Lapping
This method is considered as the most accurate one in terms of parallelism
and uniformity of size as it machines on both sides of the workpieces
simultaneously using batch-mode type processing (Figure 3.10). The concept
used in this machining is very similar to that of the single-side machining but
here the machining is done on both sides. There is no chance of intrusion of
any foreign particles into the process to settle between the workpiece and the
device applying the pressure because of the relative motion between the
wheels. When the lapping or wheel surfaces are flat, the pressure is applied
to the thicker portions of each workpiece, thereby reducing the size at these
specific points. The double-sided machine consists of two rotating lap plates,
upper and lower laps, which increases lapping efficiency as the machining of
two surfaces of the workpieces takes approximately the same time as it takes
to machine one surface. Abrasive for lapping is automatically fed into the
workpiece through the top lap during the cycle to provide necessary abrasive
action on both the sides of the complete load of workpieces. Pressure is
applied gradually by the upper lap against the workpiece until an optimum
pressure is reached. Hence, there is no separate loading on the workpiece as in
single-side machining. The typical process cycle consists of the following
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 111 20.10.2006 12:34pm
Lapping of Ductile Materials 111
sequences: (1) loading of the workpieces, in which the top wheel swings from
the load position on the left to center over the lower wheel; (2) top wheel is
lowered gently to rest lightly on top of the workpieces; (3) rotation of the
lower wheel, upper wheel, and carrier drive units starts slowly either auto-
matically, or by operator; (4) abrasive for lapping is automatically fed through
the top wheel during the cycle to provide the necessary abrasive action on
both sides of the complete load of workpieces; (5) pressure of the top wheel
against the workpieces is initially light and as the high points are machined
away, the pressure is gradually increased automatically until the optimum
pressure best suited for the job is reached; (6) cycle continues until the desired
size is obtained at which time the rotation stops, the top wheel lifts and swings
to the left for unloading the finished workpieces.
Workpiece is exposed to minimal internal stress and surface damage as
abrading takes place from both sides and generates no heat. Cut rate is
uniform and repeatable. Hence it is preferred for large stocks. Operational
costs by double-side lapping are reduced because condition rings are not
wearing away the lap and themselves, more efficient use of the abrasive
slurry takes place, and cost of consumables is less per workpiece. The
advantages of this type of lapping process is that it has the ability to machine
two sides of a workpiece in the same time as required to machine one side;
the process produces a large number of workpieces simultaneously; ability to
hold nonmagnetic materials; capability of machining any kind of material
from plastic to diamond; best available method to obtain close tolerances for
Slurry
Work holder
Lower lap
Workpiece
Upper lap
FIGURE 3.10 Double-side lapping process. (From Indge, J.H., Tooling and Produc-tion, 55, 116, 1990.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 112 20.10.2006 12:34pm
112 Handbook of Lapping and Polishing
flatness, parallelism, and size; removing stock from both sides of a workpiece
helps to relieve internal stress of the workpiece, thus making it easier to
achieve flatness; simple work holder design with no need to clamp or rigidly
hold the workpiece eliminates stresses in the workpiece thus improving
tolerances for flatness, parallelism, and size; accuracy with double face
lapping is achieved by using flat lap faces and a free floating top wheel, no
critical machine alignment, precision high speed spindle bearing, or accur-
ately machined sliding ways are involved; workpieces exposed to minimal
stress and surface damage because it generates no heat; cut rate is uniform and
repeatable, no dulling of the abrasive takes place because fresh sharp abrasive
particles are gradually fed to the lapping area continuously during the pro-
cessing cycle; and less handling, higher efficiency, and the feasibility of
combining machining operations often result in lower operation costs.
3.2.8.3 Cylindrical Lapping
Basically, the cylindrical type of lapping is aimed to lap the outer diameter of
cylindrical-shaped parts between flat laps (Figure 3.11). The machine consists
of two annular-shaped laps each mounted on a vertical spindle. This is aimed
at lapping outer diameter of cylindrical-shaped parts using flat laps. One or
both wheels rotate depending on the type of the machine and parts that are
placed in work holder are guided against the laps to produce an abrading
action. The work holder is generally disk-shaped and thinner than the
Upper lap rotation
Lower lap rotation
Cylindrical lapping setup
Rolling cylindricalworkpieces
FIGURE 3.11 Cylindrical lapping process. (From Indge, J.H., Tooling and Produc-tion, 55, 116, 1990.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 113 20.10.2006 12:34pm
Lapping of Ductile Materials 113
diameter of the workpieces. This is guided in the center by a rotating pin that
can be adjusted to move eccentric to the center of the lap. The cylindrical
workpieces are placed in the slots, the centerline of which are tangent to a
circle in the center of the work holder. The rolling action of the parts causes
the work holder to rotate. Controlled lapping occurs as the part slide and slip
during rolling, caused by the nonradial position of the work holder slots. Lap
flatness and operational procedure are the two major factors that determine
the machine capability to lap to extremely close tolerances. Accuracy of size
and straightness up to 0.125 mm, surface finish of 0.025 mm, and roundness of
the parts up to 0.125 mm can be achieved.
3.2.8.4 Lapping with Bonded Abrasives
This is a lapping method, which contrasts with the conventional lapping
process. In conventional lapping method, the main principle characteristic is
that it undergoes machining with loose abrasives. The machines that use
bonded abrasives for tools closely resemble the grinding machines in their
general appearance and function. However, the methods, which such ma-
chines serve, differ from grinding in various significant respects, some of
which may be considered technological sequences of the divergent primary
objectives of grinding and lapping. In particular applications, lapping with
bonded abrasives has several potential advantages that warrant the use of this
method instead of conventional lapping using loose abrasives. When lapping
with bonded abrasive wheels, there is no need for an abrasive distribution
system as the abrasive bonded into the wheel structure lasts through the life of the
lap. Although the work produced has essentially the same dimensional charac-
teristics and finish as that resulting from processing with cast iron, and conse-
quently, in the work free from embedded abrasives the need for subsequent
washing and polishing is eliminated.
3.2.9 ADVANTAGES OF LAPPING PROCESS
The following are the main advantages of the lapping process when compared
to other finishing processes:
1. Since there is no or very less stress induced, little distortion occurs
when compared with conventional machining or grinding on thin parts,
parts made of dissimilar material, or stress and heat-sensitive materials
like stainless steel. Using lapping techniques, it is possible to remove
as little as 2 106 in. or as much as desired. Typical stock removal
after grinding is 2 104 to 5 104 in.
2. Produces highly accurate surface geometry. Components can be pro-
duced with flatness, parallelism, or squareness tolerances of 0.5 mm
(2 106 in.) over areas as large as 32 18 sq. in.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 114 20.10.2006 12:34pm
114 Handbook of Lapping and Polishing
3. Sizes and dimensions can be held to very close tolerances. Overall size
can be maintained to within 0.5 mm (2 105 in.), angularity to within
5 arc seconds. Size tolerance to 0.1 mm can be maintained at a given
temperature. These figures can be greatly improved through optical
polishing.
4. Very good quality and excellent repeatability of surface finish on all
types of materials. Soft nonferrous materials as well as hardened tool
steel, carbide ceramics, and even diamond can be lapped to surface
roughness below 2 m in. [7,12,13].
Single-sided high-speed lapping allows a competitive alternative to grind-
ing with better-finished part quality. Some of the applications of this process
are first surface reference for subsequent operations; small, thin, or hard-to-
hold pieces can be finished; fuel injector components; any sealing face or
surface; gear and rotary pumps; slitter knives and spacers; valve plates and
bodies, ceramic, carbide, titanium, molybdenum, stainless steel, inconel, and
other hard-to-machine materials; hard coat anodized surfaces; extrusion,
CNC, and screw machine cutoff sizing.
Double-sided machining is the most desirable choice when processing is
required on both sides of a part and exacting requirements for thickness,
parallel, or flatness exist. Some of the applications that can be noted here are
precision computer components, gauging blocks, precision spacers, slitter
knives and spacers, seals, valve components, cutting inserts, precision piston
rings, automotive sensing devices, hydraulic gear pump components.
Among all the applications, fine lapping of silicon wafers is a demanding
technique in the electronics field.
Thin parts—washers, stampings, gaskets, spacers, wafers, clutch disks,
rings, seals, thrust washers.
Screw machine products—bushings, collars, spacers, jam nuts, bearings,
valve and fitting components, air motor components.
Mechanical seals—metallic, ceramic, carbon, plastic, sintered materials,
chrome pump and compressor seals, rotating unions, valve seats, recondition-
ing of worn seals.
3.3 MECHANISM OF THE PROCESS
Generally, lapping is a finishing process used to obtain dimensional accuracy,
surface flatness, and fine finish. The lapping mechanisms are very complex as
there are many variables and work parameters that should be considered in the
process. The different variables and work parameters that should be considered
in the process. The different variables and work parameters that have signifi-
cant effect on the total lapping process are: (1) abrasives: grit size, type, shape,
and distribution; (2) work parameters: speed, normal force, lapping time;
(3) materials: workpiece material and lapping plate materials; (4) carrier: oil
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 115 20.10.2006 12:34pm
Lapping of Ductile Materials 115
based and water based; (5) slurry: concentration of the abrasive and the flow
rate of the slurry.
The concerned properties in this mechanism are basically
. Stock removal rate
. Surface roughness
. Surface flatness
. Surface and subsurface damage
. Residual stress
A lapping machine consists of one or more lapping plates on which carriers
are placed to hold the workpieces. Condition rings are also arranged on these
plates. The workpieces are arranged in the respective work holders and then
load is applied by keeping in mind the material of the workpiece, material
of the lap plate, and the desired surface finish. The abrasive slurry is applied to
the lap at a constant flow rate and an automatic flow controller is set to adjust
the constant flow rate of the abrasive slurry. Then the lap plate is given
some velocity that should be low enough to do the machining. The lap plates
move in the opposite directions in double-side machining and in the case of
single-side lapping the load is applied on the workpieces at a constant rate so
that the abrasives in between the workpieces and the lap plate penetrate into
the workpiece and abrade the workpieces. Three-body abrasion takes place in
this process. The condition rings carry away the chips or the waste material,
which also helps the abrasive slurry to distribute equally between the lap plate
and the workpieces. Loads are applied at a constant rate depending on the stock
removal rate and dimensional tolerances. Lapping is a very low-speed process
and due to the mechanism of the abrasion, it is considered as a cold process.
Hence there will be very little or no thermal distortion. As shown in Figure 3.12
the abrasive grains will first roll, slide, and then the actual chipping action
starts. Figure 3.12 depicts the lapping process in a chronological sequence.
From this figure it is clearly understood that the abrasives will distribute all
along the workpiece, i.e., in between the workpiece and lapping plate with the
help of the carriers. First the abrasives roll along the workpiece and then slide
and with the application of load, the pressure on the grains constantly rise,
leading to chipping action. This is the basic mechanism of the lapping process.
As the lapping process is basically an abrasive process, the motional
pattern of the abrasive and its action on the workpieces is a very important
factor to be considered.
1. The real active abrasive percentage: It is very important to clarify how
much percentage abrasive bears the active force. The average normal
force per active abrasive can be determined if the percentage and the
total normal force are detected. On the action of abrasives we can study
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 116 20.10.2006 12:34pm
116 Handbook of Lapping and Polishing
the happenings on the workpieces. There are two methods to detect the
contact percentage. The first method is based on experiment. A pol-
ished cast iron pin is placed on the workpiece and the slurry is put
between the two surfaces. Here the pressure is very similar to the real
lapping situation. The total number of the abrasives per unit area can be
counted under microscope. After the loading process, by observing the
workpiece under the microscope we can directly count the contact
number in the surface of the cast iron. The other method is based on
calculation. If the diameter of abrasives and its parameters and the
distance between the pin and the workpiece are known then the contact
percentage can be calculated very easily.
2. The motional pattern on the contact abrasive: In general, the action of
the abrasives is of three forms: stationary, rolling, and sliding. The
three forms can be determined by the repeated single abrasive particle
experiments. If the abrasive is stationary then only one indentation is
observed on the cast iron pin, if it slides then there would be grooves on
Workpiece
Lapping film
Movement and effect of grainsin lapping film. Points a throughe identify lapping impressionsin chronological sequence.
Lapping plate
Tim
e se
quen
ce
FIGURE 3.12 The rolling and sliding action of abrasives when lapping. (From Indge,
J.H., Tooling and Production, 55, 116, 1990.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 117 20.10.2006 12:34pm
Lapping of Ductile Materials 117
the pins surfaces, and if it rolls then there would be a set of indentations
along a straight line. We can calculate the percentage of motional
pattern of the abrasives if we know the total distance the pin moved
and the set of indentations.
The indentation models that are observed in a lapping process are
1. Fracture theory: This theory proposes that during indentation process,
three types of cracks will occur and they are lateral, radial, and medial
cracks. Lateral cracks usually take place during the unloading process
and play an important role in material removal whereas medial cracks
result in workpiece damage.
2. Shear theory: This theory states that there is a plastic zone formed
between abrasives and the indenter and this zone transmits the pressure
in all directions and it can exert normal and tangential forces against
surround material and hence the surround materials are sheared off. These
phenomena are basically observed when scratching glass and the shear
marks show that the nature of scratching is the same as that of indentation.
Lapping mainly produces flat and round surfaces compared to any un-
defined shape. Although it can lap some irregular shapes, the dimensional
sizes may not be to the specified tolerances. Hence it is preferred for regular
flat, square, and round surfaces. Lapping produces very accurate dimensions
and close tolerances besides producing the desired surface roughness, surface
finish, and surface flatness.
Lapping is basically a slow material removal operation, though it tends to
decrease the surface roughness, its main purposes are to remove material and
modify the shape. It is used less for finishing and more for form accuracy such
as flatness in the case of flat objects or spherical shape in the case of balls.
Lapping is used for many work materials including glass, ceramic, plastic,
metals and their alloys, sintered materials, stellite, ferrite, copper, cast iron,
steel, etc. The relative speeds in lapping are much lower than grinding.
Consequently, the concentration of the energy in the contact area is much
lower. The benefit is that average temperatures tend to be lower than in
grinding and may be negligible, and the disadvantage is that specific energy
is higher although the volume of material to be removed is small. The main
abrasions observed in the lapping processes are two-body abrasion mechan-
ism and three-body abrasion mechanism.
3.3.1 TWO-BODY AND THREE-BODY ABRASION MECHANISMS
Wear is the term that represents gradual material removal from a surface due to
a mechanical movement or chemical process. Abrasive wear means detachment
of material from surfaces in relative motion, caused by protrusions of hard
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 118 20.10.2006 12:34pm
118 Handbook of Lapping and Polishing
particles between the opposing surfaces or fixed in one of them. The common
classifications of abrasive wear into the categories two-body abrasion and
three-body abrasion are widely used by most researchers in the field. The
primary meaning of the two-body or three-body concept is to describe whether
the abrasive particles are bound (two-body) or free to roll or slide (three-body).
So the term three-body abrasion refers to wear caused by free and loose
abrasive particles existing as interfacial elements between a solid body and a
counter body, whereas two-body abrasive wear is caused by abrasive particles
or asperities that are rigidly attached in the second body. Because of that, the
abrasive particles in a two-body mechanism are able to cut deeply into
the workpiece material whereas in the case of three-body abrasion, the abrasive
grains may spend only part of their time cutting into the material. Therefore, the
first mechanism is considered to produce wear rates three times bigger than the
second mechanism using the same loading condition. Lapping is basically a
three-body abrasion process due to the fact that it uses free abrasives that can
roll or slide between the workpiece surface and the lapping plate although some
grains become embedded in the lap, leading to two-body abrasion. Although
the mechanisms are the same, there are some obvious differences between the
two methods. In two-body abrasion, the abrasive grains are constrained against
the abraded surface and the pressures that can be exercised by them. Another
difference is the effect of particle size on wear rate. In three-body abrasion, the
distribution of grains in the contact is subject to greater uncertainty. With an
ample supply of abrasive, the average pressure on the grains is likely to be
lower than in a two-body process. The pressures exerted by an abrasive particle
also tend to depend on the grain size. The pressures are likely to be higher with
large grain sizes and this affects the scratch depths on the workpiece surface.
With low pressure and fine particle sizes, the scratches will be very small.
Hence in three-body abrasive system with nonreplenished slurry, the removal
rate will decrease with time and increase with increasing load. Figure 3.13 is
the schematic diagram of the two-body and three-body abrasion [14–16].
Load
Two-bodyand brittlemachining
Workpiece
Two-bodyand ductilemachining
No contact
Three-body
Lapping plate
Cracks Directcontact
FIGURE 3.13 Two-body and three-body abrasion. (From Grinding and LappingCompounds, internal publication of United States Products Co, 1995.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 119 20.10.2006 12:34pm
Lapping of Ductile Materials 119
The main outcomes of the lapping process are stock removal rate, rough-
ness, and flatness. These are experimentally calculated against different
variables like speed, lapping time, type of abrasive slurry, the grit size of
the abrasives in the abrasive slurry, and the pressure applied on the work-
pieces. As the silicon carbide workpieces were already ground, we expected
very few stock removal rates. The roughness however decreased thereby
increasing the surface parallelism. The further chapters deal in great detail
about the experimental part.
REFERENCES
1. M. Matsunga, Fundamental Studies of Lapping, Internal Report of the Institute of
Industrial Science, University of Tokyo, 1949, 16(2).
2. Anon., What’s new in machining systems, Manufacturing Engineering, 135, 1990,
34–36.
3. S. Jahanmir, M. Ramulu, and P. Koshy eds., Machining of Ceramics and Com-posites, Marcel Deckker Inc., New York, 1999.
4. I.D. Marinescu et al., Study of the influence on flat lapping, SME, Michigan
Mr86-633, 1986.
5. J.H. Indge, Lapping: More of a science, less an art form, Peter Wolters of
America, Inc., Ceramic Industry, 1990, 135, 26–28.
6. S. Runnels, Feature scale fluid based erosion modeling for chemical mechanical
polishing, Journal of Electrochemical Society, 1994, 141(7), 1900–1904.
7. J. Simons, Lapping: Grand finale of machining symphony, Manufacturing Engin-eering, 1990, 135, 39–41.
8. G.R. Abrahamson, E.J. Duwell, and W.J. McDonald, Wear and lubrication as
observed on a lap table with loose and bonded abrasive grit, Journal of Tribology,
1991, 113, 249–254.
9. Grinding and Lapping Compounds, internal publication of United States Products
Co, 1995.
10. A.M. Corsini, Abrasive micro machining of advanced materials to precision
tolerances, Grinding and Machining of Advanced Materials, October 11–13,
1995, Pittsburgh, PA.
11. www.engis.com
12. A.W. Barylski, Surface contamination of cast iron after lapping, LubricationEngineering, 1996, 52(1), 63–67.
13. P.C. Metler, Lapping machine boosts quality output, Tooling and Production,
1986, 52, 60–61.
14. J.D. Gates, Two body and three body abrasion: A critical discussion, Wear, 1998,
214, 139–146.
15. M.A. Verspui and G. de With, Three-body abrasion: Influence of applied load on
bed thickness and particle size distribution in abrasive process, Journal of Euro-pean Ceramic Society, 1997, 17, 473–477.
16. J.H. Indge, The nitty gritty machining of ceramic, Peter Wolters of America, Inc.,
Tooling and Production, 1990, 55, 116–119.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 120 20.10.2006 12:34pm
120 Handbook of Lapping and Polishing
BIBLIOGRAPHY
Anon. Optimizing a lapping process, American Ceramic Society Bulletin, 1990, 69,
211.
Bozzi, A.C. and De Mello, J.D.B. Wear resistance and mechanisms of WC–12%Co
thermal sprayed coatings in three-body abrasion, Wear, 1999, 233–235,
575–587.
Emond, G.T. Water soluble slurry for fine finish lapping, Ceramic Industry, 1987,
67, 30–31.
Indge, J.H. Lapping, honing and polishing, Peter Wolters of America Inc., EngineeringMaterials Handbook Ceramics and Glasses, vol. 4, ASM International, Metals
Park, OH, 1991, pp. 353–356.
Kim, J.-D. and Choi, M.S. Stochastic approach to experimental analysis of cylindrical
lapping process, Research Report 1995, Department of Precision Engineering
and Mechatronics, Korea Advanced Institute of Science and Technology,
Taejon, Korea.
Marinescu, I.D. High-performance ceramics: Laser assisted grinding of ceramics,
Interceram, 1998, 47(5), 314–316.
Millar, J. Lapping and polishing technology, Abrasive Engineering Society, 1991,
30(4), 9–13.
Narayan, P.B., Brar, A.S., and Sharma, J.P. Lapping and polishing of ceramics: Some
concerns and solutions, Solid State Technology, 1998, 31, 150–153.
Somiya, S. Advanced Technical Ceramics, Academic Press, San Diego, CA, 1984.
Tonshoff, H.K., Karpuschewski, B., and Mandrysch, T. Grinding process achieve-
ments and their consequences on machine tools challenges and opportunities,
Annals of CIRP, 1998, 47(2), 669–688.
Wilfield, K. and Matthias, P. Precision machining of advanced ceramics, AmericanCeramic Society Bulletin, 1989, 68, 550–553.
www.LapMaster.com
www.carbo.com
www.carborundum.com
www.mime.eng.utoledo.edu=people=faculty=imarinescu=imarinescu.html
www.matweb.com
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 121 20.10.2006 12:34pm
Lapping of Ductile Materials 121
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C003 Final Proof page 122 20.10.2006 12:34pm
4 Lapping of BrittleMaterials
Ioan Marinescu, Ion Benea,and Mariana Pruteanu
CONTENTS
4.1 Introduction......................................................................................... 1254.2 Background Information..................................................................... 127
Mariana Pruteanu
4.2.1 Ceramic Materials................................................................... 1274.2.2 Fundamentals of Lapping Process.......................................... 129
4.2.2.1 Lapping Plate............................................................ 1294.2.2.2 Abrasive .................................................................... 1304.2.2.3 Lapping Fluid ........................................................... 132
4.2.3 Two-Body and Three-Body Abrasive Mechanisms............... 1334.2.4 Tool Formation and Material-Removal Mechanisms
in Lapping Process.................................................................. 1374.2.5 Characteristics of the Lapping Process .................................. 141
4.3 Nontraditional Lapping Processes...................................................... 142
Hitoshi Suwabe and Ken-ichi Ishikawa
4.3.1 Vibration Lapping................................................................... 1424.3.2 Lapping Using Low-Frequency Vibration ............................. 143
4.3.2.1 Principle and Features of Vibration Lapping .......... 1434.3.2.2 Low-Frequency Vibration Lapping Model and
Experimental Technique........................................... 1464.3.2.3 Processing Characteristics and Mechanism ............. 1474.3.2.4 Processing Surface Roughness................................. 151
4.3.3 Low-Frequency Vibration Correcting of LappingPlate Using Rectangular Correcting Carrier .......................... 1524.3.3.1 Correcting of Lapping Plate..................................... 1524.3.3.2 Friction Distance Characteristics of Rectangular
Correcting Carrier..................................................... 1534.3.3.3 Experimental Apparatus and Method ...................... 1554.3.3.4 Correcting Process by Rectangular Correcting
Carrier ....................................................................... 1564.3.4 Lapping by Ultrasonic Vibration............................................ 158
4.3.4.1 Principle of Ultrasonic Exciter................................. 1584.3.4.2 Application to Lapping of Ultrasonic Vibration ..... 158
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 123 23.10.2006 6:29pm
123
4.4 ELID-Lap Grinding ............................................................................ 159
Hitoshi Ohmori
4.4.1 Introduction ............................................................................. 1594.4.2 Principle of ELID-Lap Grinding ............................................ 1604.4.3 Experimental Systems............................................................. 1624.4.4 Experimental Method.............................................................. 1634.4.5 Characteristics of ELID-Lap Grinding................................... 163
4.4.5.1 Effects of Grain Size on Surface Roughnessand Removal Mechanism......................................... 163
4.4.5.2 Efficient Mirror Surface Finish by ELID-LapGrinding.................................................................... 165
4.4.6 Desk-Top ELID-Lap Grinding System .................................. 1664.4.6.1 Background............................................................... 1664.4.6.2 Concept of the System ............................................. 167
4.4.7 Experimental System and Method.......................................... 1684.4.7.1 Experimental System................................................ 1684.4.7.2 Experimental Method ............................................... 168
4.4.8 Experimental Results .............................................................. 1684.4.8.1 Grinding Characteristics of Cemented
Carbide Alloy ........................................................... 1684.4.8.2 Grinding Characteristics of Nitrided Steel .............. 1704.4.8.3 Grinding Characteristics of Sapphire....................... 172
4.4.9 Conclusions ............................................................................. 1744.5 Materials, Experimental Setup, and Testing Procedure
(Study Case)........................................................................................ 174
Mariana Pruteanu
4.5.1 Materials.................................................................................. 1744.5.1.1 Workpiece Materials ................................................ 1744.5.1.2 Abrasives .................................................................. 177
4.5.2 Experimental Equipment and Lapping Setup ........................ 1784.5.3 Testing Procedure ................................................................... 182
4.5.3.1 Lapping Setup........................................................... 1824.5.3.2 Measuring Procedures .............................................. 184
4.6 Experimental Results and Discussion ................................................ 188
Mariana Pruteanu
4.6.1 Test A...................................................................................... 1884.6.2 Test B ...................................................................................... 2044.6.3 Summary of Test A and Test B.............................................. 2114.6.4 Test C ...................................................................................... 212
4.6.4.1 Fractional Factorial Experiment............................... 2144.6.5 Modeling of Lapping Process................................................. 2354.6.6 Conclusions of the Case Study............................................... 242
References.................................................................................................... 244Bibliography ................................................................................................ 246Appendix A.................................................................................................. 249Appendix B.................................................................................................. 261
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 124 23.10.2006 6:29pm
124 Handbook of Lapping and Polishing
4.1 INTRODUCTION
Abrasive finishing processes are manufacturing methods used to produce surfaces
of desired characteristics with an adequate rate of surface generation. They operate
with wear-resistant abrasives in the surface generation process. Often, these
processes are described as ‘‘finishing methods using random cutting edges’’ [1].
Lapping and polishing are precision finishing processes, which involve a
different mechanical arrangement. Lapping is a slow material-removal oper-
ation; though lapping tends to decrease the original surface roughness, its main
purpose is to remove material and modify the shape. It is used less for finish and
more for form accuracy, such as flatness in the case of flat objects or sphericity
in the case of balls. In contrast, the term polishing implies better finish with
little attention for form accuracy. Lapping is used for many workmaterials
including glass, ceramic, plastic, metals and their alloys, sintered materials,
stellite, ferrite, copper, cast iron, steel, etc. Typical examples of the diverse
range of processed components are pump parts (seal faces, body castings,
rotating valves, impellers), transmission equipments (spacers, gears, shims,
clutch plates), cutting tools (tool tips, slitter blades), hydraulic and pneumatics
(valves plates, seals, cylinder bodies, castings, slipper plates), aerospace parts
(lock plates, gyro components, seals), inspection equipment (test blocks,
micrometer anvils, optical flats, surface plates), stamping and forging (spacers,
type hammers, bosses, and a variety of other complex shapes).
The relative speeds in lapping are much lower than in grinding. Conse-
quently, the concentration of energy in the contact area is much lower. The
benefit is that average temperatures tend to be lower than in grinding and may
be negligible. The disadvantage is that specific energy is higher, although the
volumes of material to be removed are small, this is not usually important.
Lapping is a loose abrasive machining process that uses abrasive particles
combined within an oil or aqueous medium depending on the material being
finished. Fine abrasive is applied, continuously or at specific intervals, to a
work surface to form an abrasive film between the lapping plate and the parts to
be lapped. Each abrasive grain used for lapping has sharp irregular shapes and
when a relative motion is induced and pressure applied (by means of load
positioned on the top of the workpiece), the sharp edges of the grains are forced
into the workpiece material. Each loose abrasive particle that comes into
contact with the surface of the part acts as a microscopic cutting tool that either
makes an indentation or causes the material to cut away very small particles.
Even though the abrasive grains are irregular in size and shape, they are
used in large quantities and thus a cutting action takes place continuously over
the entire surface in contact (Figure 4.1). The depth of the marks and scratch
grooves will determine the roughness of the surface, which is usually meas-
ured with a surface analyzer and described in terms of parameters such as Ra,
Rt in microns or microinches. The larger and harder the abrasive grains,
the rougher will be the finish. Conversely, the finer the abrasive grains, the
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 125 23.10.2006 6:29pm
Lapping of Brittle Materials 125
smoother will be the finish. There is often a limit to the smoothness that can
be obtained by lapping, even when very fine abrasive grains are used.
Abrasive processes have a large number of parameters that can be varied
in order to obtain the desired process output. The lapping process is influ-
enced by load, rotation of the lapping plate, material of the lapping plate,
lapping time, type of slurry used (monocrystalline or polycrystalline diamond
slurry), grain size of the abrasive, flow rate, slurry concentration, etc. It is
almost impossible to vary all the parameters that influence the lapping
process; one solution is to limit the number of variables and thus narrow the
research field.
Lap
Chip
Crack
a b c d
p
Lapping pressure p
Lap
a b c d
Workpiece
a
Lap
Crack
b c d
p
FIGURE 4.1 Model of the lapping process for hard, brittle materials.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 126 23.10.2006 6:29pm
126 Handbook of Lapping and Polishing
The following are the most significant areas the lapping optimization
research was focused on:
. Surface quality
. Dimensional and form accuracy of the part (flatness in the case of flat
parts and sphericity in the case of round parts). Material-removal rate (MRR). Behavior of different types of diamond slurries
The main objectives of this research are as follows:
. Study the correlation between the output parameters (surface roughness
and MRR). Optimization of process parameters (rotation of the lapping plate, load,
lapping time, different materials of the lapping plate)
4.2 BACKGROUND INFORMATIONMARIANA PRUTEANU
4.2.1 CERAMIC MATERIALS
The engineering materials can be generally classified as metals, polymers,
semiconductors, and ceramics. Ceramics are known as solid compounds that
are formed by the application of heat, and sometimes heat and pressure,
comprising at least one metal and a nonmetallic elemental solid or a non-
metal, a combination of at least two nonmetallic elemental solids, or a
combination of at least two nonmetallic elemental solids and a nonmetal [2].
Ceramics were the primary materials of the Stone Age and have remained
the most used materials ever since. Ceramic materials have become increas-
ingly important in today’s world of materials even though they are difficult
and expensive to machine due to their high hardness and brittle nature. The
successful application of ceramics depends to a great extent on their special
properties (mechanical, chemical, optical, and magnetic) that require their use
in almost every production line: health, energy, transportation, agriculture,
information/communication, civil construction, automotive industry, and
defense [3].
Traditional ceramics refer to bricks and other clay products and traditional
glasses include normal window glass, optical glasses, and different glasses
used for sealing. Most advanced ceramics are composed of oxides, carbides,
nitrides, silicides, and borides of all metals that lead to a vast number of
compounds. They are made from fine porous particles that are formed,
pressed, and thermally treated under precisely controlled conditions [4]. The
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 127 23.10.2006 6:29pm
Lapping of Brittle Materials 127
motivating factors for the use of this kind of materials are excellent chemical
and corrosion resistance in a wide range of environments and temperatures,
superior electromagnetic properties, very high hardness and resistance to
wear, special electrical characteristics, and optical transparency over a wide
range of wavelengths from the ultraviolet to the infrared.
Ceramics are available as single crystal or in polycrystalline form, con-
sisting of many grains. Grain size has a major influence on the strength and
properties of ceramics. The finer the grain size, the higher the strength and
toughness of ceramic materials. The properties of ceramics result from a
combination of the effect of atomic bonding and microstructure. The atomic
bond is reflected in the intrinsic properties: chemical, physical, thermal,
electrical, magnetic, and optic. The microstructure has major effect on the
mechanical properties.
In accordance with their chemical composition, the technical ceramic
materials can be classified into several important groups:
1. Oxide ceramics: the materials in this group consist 90% of single phase
and single component metal oxides. These materials are no or low
glass-phase. Aluminum oxide (Al2O3), magnesium oxide (MgO), zir-
conium oxide (ZrO), aluminum titanate (AT), and piezoelectrical cera-
mic (PZT) belong to this category. The main oxide materials are
alumina (in spark plugs, substrates, and wear applications), zirconia
(in oxygen sensors, wear applications, and thermal barrier coatings),
titanates, and ferrites.
2. Silicate ceramics: these materials combine the basic electrical, mech-
anical, and thermal properties of technical ceramics. Amongst this kind
of ceramics are technical porcelain, steatite, cordierite, and mullite-
ceramic.
3. Nonoxide ceramics: ceramic materials such as compounds of silicon
and aluminum with nitrogen or carbon fit in this category. Generally,
they have covalent bonding that provides them with very good mech-
anical properties. Carbide ceramics and nitride ceramics are examples
of nonoxide ceramic materials. Carbides (mainly silicon carbide SiC
and boron carbide BC) are used in wear applications whereas nitrides
(primarily silicon nitride Si3N4 and Sialon) are used in wear applica-
tions and cutting tools.
Research and development have generated new technologies for machining
ceramic materials. Because of their hardness and brittle nature, ceramics
cannot be successfully machined with the type of cutting tools used for
metals; the tools need to have a higher hardness than the ceramic being
machined and must be of a configuration that does not cause surface
fracture and subsurface damage of the part. Ceramic materials can be
detached by mechanical, thermal, or chemical action. Mechanical approaches
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 128 23.10.2006 6:29pm
128 Handbook of Lapping and Polishing
are used most frequently and they can be divided into three big categories:
mounted-abrasive machining, free-abrasive machining, and impact machin-
ing [5]. The first refers to small abrasive particles (e.g., Al2O3, SiC, Si3N4,
diamond) bonded or immersed in a softer matrix (rubber, organic resin, glass,
or a crystalline ceramic composition softer than the abrasive grains). The
second category consists of the use of loose abrasives and is typically used for
achieving the final surface finish and dimensional accuracy. Impact machin-
ing is generally performed by accelerating loose abrasive particles to high
velocity such that they cause local impact damage when they hit the part to be
machined. Examples of impact abrasive machining include sandblasting,
water-jet machining, and ultrasonic machining.
4.2.2 FUNDAMENTALS OF LAPPING PROCESS
Lapping is a three-body abrasion process in which grinding is performed with
loose abrasive particles [6]. It is preferentially chosen in the case of rigorous
requirements as to flatness and dimensional accuracy of the parts being
machined. The main factors influencing the lapping characteristics are the
type of the lapping plate, the type and size of the abrasive grains, and the type
of the lapping fluid [7].
4.2.2.1 Lapping Plate
The material of the lapping plate is important since a workpiece can be
badly scratched and contaminated with abrasives if the lapping plate is too
hard [8]. The composition of the lapping plate is of great importance because
it can affect the results of the lapping process. A hard lapping plate resists
being embedded with abrasive particles. Therefore, the grains roll more than
slide so that most of the material removal is by stress-induced microfracture.
Also, the grains are more likely to become embedded in the workpiece. A
softer lapping plate allows abrasives to partially embed themselves in the
lapping plate, resulting in more sliding motion and material removal by
ploughing. The result is a finer surface finish from soft plates but less
planarity. To obtain a better surface quality with respect to planarity, modern
lapping often uses a hard plate and very fine grit abrasives.
To produce a plane surface, it is important that the abrasive slurry be
distributed uniformly between the workpiece and the lap. There is a tendency
for abrasive particles to build up in some areas, increasing the local pressure
and hence increasing the removal rate in those areas [9]. Also, the leading
edge of the lap will tend to engage the grains more quickly causing a barreled
lap shape. Consideration should therefore be given to rotation of the lap and
use of the three-lap technique described in workshop technology texts to
maintain the planarity of the tools as well as the workpieces [9].
To produce a perfectly smooth surface, free from scratches, the lapping
plate should be charged with a very fine abrasive. When the entire surface of
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 129 23.10.2006 6:29pm
Lapping of Brittle Materials 129
the lap is charged, one should examine the lap for bright spots; if there are any
visible bright spots, the charging will continue until the entire surface has a
gray appearance (this is done when using cast iron lapping plates). If a lap is
once charged it should be used without applying more abrasives until it ceases
to cut. If a lap is overcharged and an excessive amount of abrasive is used,
there is a rolling action of the abrasive grains between the workpiece and the
lapping plate that results in inaccuracy.
A large variety of lapping plates is accessible for almost any application:
cast iron (for general engineering purposes), ceramic (for ceramic and other
hard materials), glass (for electro-optic materials), aluminum/stainless steel,
and many more (Figure 4.2).
Serrated or grooved lapping plates are best for flat surfaces with large
areas, also for flat areas with holes in the surface. Laps with no serration or
grooves are preferred for cylindrical lapping.
4.2.2.2 Abrasive
There is a variety of abrasives that can be used for lapping: aluminum oxide
(for general lapping with low surface roughness), silicon carbide (fast stock
removal for hard or soft materials), boron carbide (for use with ceramic,
carbide, and other hard materials), calcined alumina (for use with metals,
optics, silicon wafers, and other semiconductor materials), diamond slurries
and pastes (available in a wide variety of micron sizes and concentrations).
FIGURE 4.2 Types of lapping plates.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 130 23.10.2006 6:29pm
130 Handbook of Lapping and Polishing
Abrasives are either natural (diamond, corundum, emery, garnet, quartz)
or artificial crystalline forms (diamond, silicon carbide, aluminum oxide,
cubic boron nitride, boron carbide, etc.). The second category can be divided
into two groups:
. Fused abrasives that are the result of very high electric furnace temper-
atures that produce hard crystals.. Unfused abrasives that are the result of lower temperatures and chem-
ical additives. Unfused abrasives are not as hard as the fused abrasives.
The most widely used abrasives in industry include the following:
. Diamond is the hardest and sharpest known abrasive. It is both a natural
and human-made synthetic abrasive, which measures 10.0 on the Mohs
scale of hardness (Table 4.1) and so is the hardest material. Diamond is
best suited for tungsten carbide and other very hard materials. When a
plate is embedded with the diamond abrasive, it cuts fast and produces
fine finishes.. Cubic boron nitride (CBN) is a synthetic abrasive almost as hard as
diamond on the Mohs scale (9.9). It is recommended for lapping ferrous
metals and especially for lapping bearing steel, cast iron, tool steel,
stellite, super alloys, and in some cases ceramic materials.
TABLE 4.1Mohs Scale of Hardness
Abrasives Mohs Scale
Diamond 10.0
Cubic boron nitride (Borazon CBN) 9.9
Silicon carbide 9.5
Aluminum oxide 9.0
38 White aluminum oxide 9.0
Corundum 9.0
Chromium oxide 8.5
Garnet 8–9.0
Quartz 7.0
Aluminas (hydrates) 5–7.0
Observations:
Notes:
Abrasives though of equal or nearly equal hardness on Mohs scale do not have equal
cutting, lapping, or metal abrading power nor do they produce the same lapped finish.
Crystalline shapes, lines of cleavage, friableness, chemical composition, etc. are responsible for
lapping variables.
Aluminas have a softer lapping action.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 131 23.10.2006 6:29pm
Lapping of Brittle Materials 131
. Silicon carbide (SiC) is a fused, hard crystalline abrasive, 9.5 on Mohs
scale. Fast cutting is achieved with good crystal breakdown to maintain
abrasive sharpness when used to lap either high or low tensile strength
materials. It is well suited for rough lapping operations, valves, tool
room work, and general maintenance where polish is not essential.. Aluminum oxide (Al2O3) is a fused abrasive with a very hard crystal
structure that ishard to fracture. It isutilized for lapping high tensile strength
materials, rough lapping operations, ball bearing grooves or lapping oper-
ations where pressure can be exerted to break down the crystals.. Corundum is a natural abrasive found in the earth with a softer crystal-
line structure than silicon carbide or fused Al2O3. It is used for lapping a
great variety of medium hard metals.. Unfused alumina (hydrate-calcined) is relatively soft and used for pol-
ishing. Calcined aluminas are produced by heat treatment and the degree
of calcination determines the characteristics of the product. The terms
soft, medium, and hard relate to abrasives resulting from mild, medium,
and high degree of calcination. Unfused alumina abrasives are recom-
mended for the lapping and polishing of harder metals. The shape,
unlike the blocky crystals, is composed of flat or plated crystals with
a thickness of about one-sixth the diameter. Unfused aluminas allow
more equal pressure to be distributed over a large surface area than
the fused ones because of their plated shape. The disk-shaped particles
work with a shaving action rather than the rolling and gouging action of
blocky abrasives and are less likely to produce deep scratches on the
workpiece.
The size and size distribution of the abrasive are important factors in the
surface obtained by lapping (Figure 4.3). The MRR and surface roughness are
directly proportional to the size of the abrasive. Larger grain sizes have a
higher MRR than smaller abrasive grains but the latter would produce a lower
surface roughness than the former.
Another important factor is the concentration of abrasive (the amount of
abrasive per unit volume of carrier) that influences the number of grains in
contact with the surface of the workpiece material. When the concentration
varies, the load distribution changes. This means that with an increase in the
number of grains, the effective load per grain decreases because of the larger
number of contact points. If the size of the abrasive grains decreases below
submicron sizes, the number of grains in contact with the workpiece increases
accordingly.
4.2.2.3 Lapping Fluid
Abrasive grains are transported to the lapping zone suspended in an oil or
aqueous medium with the aim of achieving a continuous and even distribution
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 132 23.10.2006 6:29pm
132 Handbook of Lapping and Polishing
across the lapping plate (Figure 4.4). This liquid carrier is available in various
viscosities to cover almost any process requirement.
The carrier function is to lubricate the two surfaces. Lubrication is
necessary to reduce friction between the abrasive and the workpiece, help
disperse the abrasive product uniformly across the lap plate, and remove the
abraded debris from the work zone. Probably the most important character-
istic of the carrier is its ability to suspend and uniformly disperse abrasive
particles throughout the interface between the workpiece and the lap plate [8].
These days, environmental considerations of waste disposal are pushing
lapping processes in the direction of water-based carriers. During operation,
the abrasive grains in the slurry suffer a process of rounding and comminu-
tion, which reduces the grain size, and the effectiveness of the abrasive as a
machining tool. With wear, replacement of the abrasive with fresh slurry is
essential to maintain constant cutting conditions. If the fluid is always deliv-
ered to the same position on the lap, the increased concentration of slurry in
that area can lead to uneven wear rates, a situation that is best to be avoided.
4.2.3 TWO-BODY AND THREE-BODY ABRASIVE MECHANISMS
Wear is the term that represents gradual material removal from a surface due
to a mechanical movement and/or chemical process [10]. There are many
types of wear: erosion wear, adhesive wear, etc. Among these, one of the most
important due to its frequency is abrasive wear, which means detachment of
FIGURE 4.3 Abrasives used in lapping process.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 133 23.10.2006 6:29pm
Lapping of Brittle Materials 133
material from surfaces in relative motion, caused by protrusions and/or hard
particles between the opposing surfaces or fixed in one of them.
The common classification of abrasive wear into the categories two-body
abrasion and three-body abrasion is widely used by most researchers in the
field. The primary meaning of the two-body/three-body concept (Figure 4.5)
FIGURE 4.4 Lapping fluids.
Two-bodyand ductilemachining
No contact
Workpiece
Load
Three-body
Lapping plate
Cracks Two-bodyand brittlemachining
Directcontact
FIGURE 4.5 Schematic showing two-body and three-body abrasions.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 134 23.10.2006 6:29pm
134 Handbook of Lapping and Polishing
is to describe whether the abrasive particles are bound (two-body) or free to
roll or slide (three-body). So, the term ‘‘three-body abrasion’’ refers to wear
caused by free and loose abrasive particles existing as interfacial elements
[11] between a solid body and a counterbody, whereas two-body abrasive
wear is caused by abrasive particles or asperities which are rigidly attached
(embedded) in the second body [12]. Because of that, the abrasive particles in
a two-body mechanism are able to cut deeply into the workpiece material
whereas, in the case of three-body abrasion, the abrasive grains may spend
only part of their time cutting into the material.
Therefore, the first mechanism is considered to produce wear rates
three times greater than the second mechanism using the same loading
condition [13].
In 1998, Gates illustrated that this categorizing of two-body and three-
body abrasion is ambiguous because there are situations when these two
concepts could create misinterpretations [4]. In his opinion, this classification
can be used only when describing if abrasive grains are rigidly held or free to
roll. From a tribological point of view, one should take into account the
severity of wear behavior: mild, severe, and extreme.
Consideration should be given to the specific situation: gouging abrasion,
high-stress (or grinding) abrasion, and low-stress (or scratching) abrasion.
The difference between high-stress and low-stress abrasion is whether or not
the abrasive grains are broken during abrasion. This is important since
fracturing could create sharp cutting edges and give higher wear rates. So,
Gates proposes that this new classification (Table 4.2 and Table 4.3) is an
improvement (without being considered precise).
Another group of researchers (R.I. Trezona, D.N. Allsopp, and I.M.
Hutchings) explained that new terms should be adopted in order to explain
TABLE 4.2Possible Situation-Based Classification for Abrasive Wear
Contact Stress
Low (Particles Do
not Fracture)
High (Particles
Fracture)
Extreme
(Gross Deformation)
Abrasive
particles
Free
Constrained
Low-stress
free-abrasive
High-stress
free-abrasive
Extreme-stress
fixed-abrasive
Low-stress
fixed-abrasive
High-stress
fixed-abrasive
Source: Ishikawa, K., Suwabe, H., Ichikawa, K., and Moriya, N. High efficiency correcting
process of lapping plate using correcting carrier electro deposited diamond grains. Proceedings
of ABTEC93, 305, 1993.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 135 23.10.2006 6:29pm
Lapping of Brittle Materials 135
abrasive particles’ behavior. According to them, the expression ‘‘two-body
abrasion’’ is better to be replaced by ‘‘grooving abrasive wear’’ and ‘‘three-
body abrasion’’ by ‘‘rolling abrasive wear’’ [14]. The term ‘‘grooving abrasive
wear’’ describes an abrasive wear process in which the same region of the
abrasive grain is in contact with the workpiece surface throughout the process
and the grooves are parallel to the direction of sliding. Consequently, rolling
abrasive wear is an abrasive wear process in which the region of the abrasive
grain in contact with the surface of the part being machined is repeatedly
varying. The surfaces produced by this type of mechanism have no directionality
and are characterized by a heavily deformed, multiply indented appearance [14].
TABLE 4.3Proposed Severity-Based Classification for Abrasive Wear
Abrasive Wear Mode
Typical Situations Mild Severe Extreme
Particle size Small Moderate Large
Constraint Unconstrained Partially
constrained
by counterface
Strongly
constrained
Particle shape Rounded Sharp Sharp
Contact stress Low insufficient to
fracture particles
Moderate sufficient to
fracture particles
Very high may
cause macroscopic
deformation or brittle
fracture of material
being worn
Dominantb
mechanism
Microploughing Microcutting Microcutting and=
or microfracture
Equivalent termsc . Low-stress abrasion . High-stress abrasion . Gouging abrasion
. Scratching abrasiond . Grinding abrasiond . High-stress two-bodye
. Low-stress
three-bodye
. High-stress
three-bodye
. Low-stress two-bodye
a Not all aspects of the ‘‘typical’’ situation necessarily apply simultaneously.b Debris-removal mechanism is highly material-dependent.c It has already been demonstrated at length that these alternative terminologies are ambiguous,
therefore, no fully reliable correspondence with the proposed new terms can be expected.d Term not favored even within the alternative classification scheme.e Dominant sense of two-body=three-body distinction.
Source: Ishikawa, K., Suwabe, H., Ichikawa, K., and Moriya, N. High efficiency correcting
process of lapping plate using correcting carrier electro deposited diamond grains.
Proceedings of ABTEC93, 305, 1993.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 136 23.10.2006 6:29pm
136 Handbook of Lapping and Polishing
They concluded that both these mechanisms (grooving abrasive wear and
rolling abrasive wear) could be produced in a nominally three-body situation
by varying the normal load, abrasive type, and concentration of abrasive in
the slurry. Lapping is a three-body abrasion mechanism because the abrasive
particles may roll or slide between the lapping plate and the workpiece
surface. Sometimes the particles indent only one or both of the surfaces.
In a sliding mode, the wear process is basically that of two-body abrasion
but usually for a short period of time. In a rolling mode, the wear pro-
cesses involve plowing damage and wear debris formation from the exposed
lips of deformed material adjacent to the grooves. The abrasive particle
shape influences the sliding mode and the rolling mode. If the particles are
round and of the same size, the probability of rolling is increased. If the
particles are rectangular, with larger width than thickness, the probability of
sliding is enhanced.
The abrasive wear rate is expected to increase with contact pressure and
this means an increased number of contact points per unit area and a deeper
penetration of the abrasive grains, leading to deeper groove formation. Also,
the contact pressure influences the fracture of the abrasive grains. At lower
pressures, particle fracture may not be possible and the potential for wear is
low while higher contact pressure causes some particle fracture (new sharp
edges are produced on a greater number of particles) and the potential for
wear may increase.
4.2.4 TOOL FORMATION AND MATERIAL-REMOVAL MECHANISMS
IN LAPPING PROCESS
During the lapping operation, two active movements of the abrasive grains
have an important influence on surface formation and removal rate. These
are rolling and sliding of the individual abrasive grains within the working
gap [15].
The formation of the lapping tool, which means all abrasive grains being
in the working gap connecting the workpiece and lapping plate, is illustrated
in Figure 4.6. Because of the relative motion between lapping plate and
workpiece the abrasive grains act differently during the process: grain 1 is
too big, grain 2 is too small and is not actively involved in the cutting process,
grains 4 and 5 are actively implicated because one can roll and the other
can slide in the working gap whose height H is fitting to the lapping pressure.
The structure of the lapping tool changes all the time because the grains
that are strongest loaded might break leading to fragments, which will
take part in further machining depending on their size and shape. Thus, the
percent of the bigger grains decreases while the percent of the smaller
grains increases.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 137 23.10.2006 6:29pm
Lapping of Brittle Materials 137
The abrasive grains that actively take part in the cutting process seal off
the chain between lapping plate and workpiece surface, transmit the normal
forces, get into the workpiece and edge out a proportionate material volume.
During the abrasive finishing processes, the interactions between the
abrasive grains and the workpiece material can be grouped into cutting
(material-removal process), plowing (material displacement process), and
sliding (surface modification process). Therefore, each of these finishing
methods is an attempt to maintain equilibrium between cutting (surface
generation) and plowing/sliding (which controls the modification of the
surface and, consequently, its characteristics).
The mechanism of material removal during free-abrasive machining of
brittle materials is very different from that of ductile materials (metals) due to
the difference in material properties and structure. The nature of atomic
bonding determines the hardness and Young’s modulus of the material. For
ductile metallic-bonded materials the ratio E/H is about 250, whereas for
covalent-bonded brittle materials, the ratio is about 20 [4]. Depending on the
atomic bond, various material-removal mechanisms can happen. The inden-
tation of ceramics causes vertical cracks during application of the load and
lateral cracks during removal of the load. The development of lateral cracks
causes chipping, which is the most important mode of material removal. The
formation of vertical cracks influences the surface and subsurface damage
(Figure 4.7).
While machining ductile materials, substantial plastic deformation occurs
prior to material removal. The mechanisms of stock removal are very well
described, according to Rabinowicz and Samuels, by microcutting and plastic
zone, lateral cracks, median/radial cracks and abrasion.
Observations during lapping of brittle solids confirm the fact that frac-
ture plays an important role in material removal except in ductile regime
machining [4]. Ductile regime machining is based on the fact that all mater-
ials will deform plastically if the scale of deformation is very small. In fact,
material removal takes place by a combination of plasticity and extensive
microfracture.
Workpiece
1
Lap plate
2 3 4 5 6 H
FIGURE 4.6 Formation of the lapping tool. (From Heisel, U. and Avroutine, J., Annu.CIRP, 48(2), 229, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 138 23.10.2006 6:29pm
138 Handbook of Lapping and Polishing
The mechanisms of material removal in glasses and ceramics consist of
brittle fracture and plastic deformation. The first one is analogous to inden-
tation on brittle material by a hard indenter causing lateral and median cracks.
The second one is comparable to the chip formation process in metal grinding
that involves scratching, plowing, and formation of chips. The applied load
and the properties of the workpiece material control the extent of brittle
fracture. Plastic deformation is favored in the following cases: (1) the load
applied on the abrasive particle is small; (2) the abrasive grain is blunt or
blunts during machining; and (3) the ratio of fracture toughness to hardness of
the material is high [16]. Indentation fracture will occur when: (1) the load on
the abrasive particle is high; (2) the abrasive is sharp or remains sharp,
because it fractures during contact with the workpiece; and (3) the ratio of
fracture toughness to hardness of the material is low.
All materials will undergo a transition from brittle to ductile machining
region below a critical depth of cut (according to P.S. Sreejith, ceramics can
be machined in a ductile manner if the depth of cut is below 10 nm).
Median/radialcracks
Lateral cracks
Plastic zone
FIGURE 4.7 Plastic zone, radial, and lateral cracks caused by indentation. (From
Sreejith, P.S. and Ngoi, B.K.A., Int. J. Mach. Tools Manuf., 41, 1831, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 139 23.10.2006 6:29pm
Lapping of Brittle Materials 139
This transition is described in terms of energy balance between strain
energy and surface energy [17]. The critical penetration depth dc for fracture
initiation is described by the following equation:
dc ¼ bKc
H
2 E
H
(4:1)
where Kc is the material fracture toughness, H is the hardness, E is the elastic
modulus, and b is a constant depending on tool geometry. Equation 4.1
illustrates that plastic deformation is favored over fracture when the indenta-
tion depth is small or when the ratio fracture toughness to hardness is high.
Williams and Hyncica [18] have studied the transition between three-body
and two-body abrasion in lubricated contacts. They showed that an abrasive
particle between two surfaces undergoes a transition from grooving to rolling
at a critical value. It was found that there exists a critical ratio called ‘‘critical
particle size: film thickness ratio’’ (D/h), which divides the material-removal
mechanisms:
D
h
crit
¼ sec b (4:2)
where D is the longest diagonal of the cross section of the abrasive grain, h is
separation of the surfaces, and b is the angle that describes the shape of the
abrasive grain (Figure 4.8).
The value h is determined by the load applied and by the number of
particles within the contact (equivalently, by the load per grain). The model
they found to predict wear mechanism is determined by load per particle,
length of the major axis of the grain D, surface hardness, and a constant B,
which is a geometry factor dependent on the particle shape.
FIGURE 4.8 Abrasive particle described by parameters D and b.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 140 23.10.2006 6:29pm
140 Handbook of Lapping and Polishing
When the size ratio is low the recorded wear rate is small and tiny erosion
pits similar to indentation marks cover the worn surface. When the ratio is
high excessive wear is found to occur.
4.2.5 CHARACTERISTICS OF THE LAPPING PROCESS
In summary, the lapping process has the following characteristics, which vary
in degree according to the particular system and equipment:
1. The rate of material removal is low due to the low cutting speeds
and low superficial penetration of the abrasive grains into the work
surface.
2. The lapping process does not generate significant temperatures; it is
considered a cool process, which does not cause thermal damage. Since
lapping is a relatively slow motion operation, there is less friction to
build up high temperatures.
3. An important advantage is the ease of workholding. For the most parts
the fixtures are elementary, inexpensive, and easily constructed.
4. Since there is no or very low stress induced, little distortion occurs
compared with conventional machining. Using lapping techniques it is
possible to remove as little as 0.0000200. Typical stock removal after
grinding is 0.000200 to 0.000500.5. The general shape of the parts worked by lapping is mainly limited to
flat, cylindrical, and spherical surfaces. However, irregularly shaped
parts can be processed.
6. The accuracy of form produced by lapping can be very good with
appropriate techniques, especially for flat surfaces:. Flatness to less than one light band (He) 0.000011600/0.3 mm. Roughness of less than 1 min. Ra/0.025 mm Ra
. Size control to less than 0.000100/2.5 mm
. Parallelism within 0.0000500/1.3 mm
7. Lapping may be successfully applied to brittle materials and fragile
parts because a relative uniform pressure is exerted on the workpiece.
8. Sizes and dimensions can be held to very close tolerances. Overall size
can be maintained within 0.5 mm (0.00002000).9. Very good quality and excellent repeatability of surface finish on all
types of materials. Soft nonferrous materials as well as hardened tool
steel, carbide ceramics, and even diamond can be lapped to surface
roughness below 2 min.
10. The lapping operation produces a gray mat finish (a nonreflective
surface) due to the configuration of the randomized nondirectional
pattern left by the rolling abrasive grains.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 141 23.10.2006 6:29pm
Lapping of Brittle Materials 141
4.3 NONTRADITIONAL LAPPING PROCESSESHITOSHI SUWABE AND KEN-ICHI ISHIKAWA
4.3.1 VIBRATION LAPPING
The sound frequency audible to the human ear is about 20 Hz to 20 kHz.
Therefore, frequency vibration exceeding 20 kHz is usually classified as
ultrasonic vibration. On the other hand, frequency vibration below 100 Hz
is called low-frequency vibration. Processing machinery that applies this
vibration enables a broad range of processing methods such as slicing of
ID-blade saws [19], OD-blade saws [20], multiwire saws [21], dicing [22],
cutting [23], drilling [24], and surface finishing [25], etc. In most cases, these
machines use ultrasonic vibration within 20 to 60 kHz and low-frequency
vibration within a few Hz to 100 Hz.
Vibration applied to the processing will impart vibration energy
during processing, allowing high processing efficiency and accuracy. Appli-
cation of vibration to processing, however, requires special attention to the
following points:
1. Low-frequency vibration is regarded as solid vibration that operates the
entire tool or workpiece in the same action, while ultrasonic vibration
is elastic vibration with vibration characteristics completely different
from those of solid vibration (see Table 4.4).
2. As vibration is avoided in ordinary processing machinery, random
vibration that includes noise should strictly be avoided as well.
TABLE 4.4Difference between Ultrasonic Vibration and Low-Frequency Vibration
Low-Frequency Vibration Ultrasonic Vibration
Frequency: 0 to 100 Hz Frequency: 20 to 60 kHz
Amplitude: About 0 to 1 mm Amplitude: About 0 to 20 mm
Solid vibration (concentrated
constant system)
Elastic vibration (de-concentrated
constant system)
Vibration is dependent on the
frequency of the excitation source
Vibration is inherent in the frequency of
the vibration element itself
The entire vibration element vibrates
with the same amplitude
Amplitude differs according to the position
because of the nodes
Because the excitation source can be
relatively simple, handling is easy
Because excitation is not possible
if resonance frequency is misaligned
even slightly, handling is difficult
Frequency is within the audible
range so that excitation
sound can be audible
Frequency out of the audible range is used
so that excitation sound is not audible
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 142 23.10.2006 6:29pm
142 Handbook of Lapping and Polishing
3. When imparting vibration, an appropriate type of vibration (related to
vibrating direction and method, frequency, amplitude, etc.) needs to be
selected for each processing method.
To take the above points into account, it goes without saying that reasonable
experience and knowledge are essential. Surface finishing in particular needs
special attention, as vibration applied in the direction of hitting the processing
surface may deteriorate the surface roughness.
Lapping in the mechanical engineering field, in a broad sense of the term,
includes all processing methods that use working fluid consisting of oil (or
water) and abrasive grains. Therefore, a substantial number of processing
methods fall under this category including the previously cited methods using
vibration. This section describes low-frequency and ultrasonic vibration lap-
ping methods limited to the surface finishing field only.
4.3.2 LAPPING USING LOW-FREQUENCY VIBRATION [26]
4.3.2.1 Principle and Features of Vibration Lapping
In lapping, the lapping plate is contacted with the workpiece surface under
some lapping pressure, and working fluid (sometimes called slurry) is supplied
to both the lapping plate and the workpiece. Lapping is one of the surface
finishing methods in which microprojections and waviness on the workpiece
surface are removed by moving of abrasive grains in the working fluid. In this
lapping, the processing amount depends on the friction distance caused by
relative motions based on the working fluid supply status and the rotational
motions of the lapping plate and the workpiece. The friction distance, however,
may not be increased easily according to the workpiece dimensions and shape.
If the friction distance caused by the relative motions is limited, the lapping
plate or the workpiece can be excited at higher vibration speed.
Assuming that the working fluid is supplied in a constant status, process-
ing characteristics are in proportion to the friction distance. The following is a
calculation of the friction distance when vibration is applied to lapping.
Firstly, vibration applied in the lapping plate movement direction is defined
as the X direction vibration and vibration applied in the direction perpendicu-
lar to the X direction on the same horizontal plane is defined as the Y direction
vibration (see Figure 4.9).
When a and b are the amplitudes in respective directions and v is the
angular frequency, vibration displacement in each direction is as shown below:
x ¼ a sin vt
y ¼ b sin vt (4:3)
As harmonic vibration indicated by Equation 4.3 is applied in each direction,
the friction distance between the lapping plate and the workpiece increases
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 143 23.10.2006 6:29pm
Lapping of Brittle Materials 143
compared to that of nonvibration processing. Therefore, the friction distance
while vibration is applied needs to be calculated.
4.3.2.1.1 Friction Distance When the X Direction VibrationIs Applied
When the X direction vibration is applied, the relative speed Vx between the
lapping plate and the workpiece is calculated as below. The lapping plate
speed is indicated as _XX at this time:
Vx ¼ _xx _XX ¼ av cos vt _XX (4:4)
Times t1 and t2 when the relative speed Vx is zero are calculated as below
from Equation 4.4:
t1 ¼ cos1 V
t2 ¼ 2p cos1 V (4:5)
where V¼ _XX/av (speed ratio).
The friction distance DL for one cycle of vibration can be divided among the
following three cases based on the time obtained from the Equation 4.5. When
the relative friction distance between the lapping plate and the workpiece is
DL1, DL2, and DL3 for each case, the following calculation is available:
X = Lapping plate speed
X
X
y
Y
..
.
.
.
x
0
FIGURE 4.9 Moving direction of lapping plate and vibration directions.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 144 23.10.2006 6:29pm
144 Handbook of Lapping and Polishing
DL1 ¼ a sin t1 _XXt1
v(0 < vt < t1)
DL2 ¼ 2a sin t1 þ _XXt2 t1
v(t1 < vt < t2)
DL3 ¼ a sin t2 _XXt1
v(t1 < vt < 2p) (4:6)
DL can be calculated as below:
DL ¼ DL1 þ DL2 þ DL3 (4:7)
As the friction distance between the lapping plate and the workpiece for
each unit time is DL f from the relation of f¼v/2p, the friction distance for
arbitrary processing time T is as shown below:
Lx ¼ _XX 1þ 2
p
ffiffiffiffiffiffiffiffiffiffiffiffiffiffi1 V2p
V cos1 V
!( )T (4:8)
When V 1, the lapping plate movement speed becomes larger than the
vibration speed, eliminating the effect of vibration. From this fact, it is
important to realize that the vibration has effect or no effect when vibration
is applied in the same direction with the movement speed of the lapping
plate. Otherwise, the vibration processing machine that has a hard time to
make it will just become a insignificant machine with no vibration effect
at all.
4.3.2.1.2 Friction Distance When the Y Direction VibrationIs Applied
When vibration is applied in the perpendicular direction to the lapping plate
movement direction on the same horizontal plane, displacement in each
direction is as shown below:
x ¼ _XX ty ¼ b sin vt (4:9)
When V¼v/ _XX from the above equation, y becomes as shown below if t is not
considered:
y ¼ b sin Vx (4:10)
When the microlength on the locus of the lapping plate is ds ( ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi(dx)2 þ (dy)2
p),
the friction distance S for one cycle of vibration is calculated as shown below:
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 145 23.10.2006 6:29pm
Lapping of Brittle Materials 145
S ¼þ ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1þ dy
dx
2s
dx
¼ bffiffiffiffiffiffiffiffiffiffiffiffiffiffi1þ U2
p þ ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi1 k2 sin2 f
qdf (4:11)
where f¼Vx, U¼ _XX/bv (speed ratio), k2¼ 1/(1 þ U2).
The friction distance L between the lapping plate and the workpiece when
the arbitrary processing time T is as shown below:
L ¼_XX
2p
T
U
ffiffiffiffiffiffiffiffiffiffiffiffiffiffi1þ U2
p þ ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi1 k2 sin2 f
qdf (4:12)
From the above equation, the friction distance when the Y direction vibration
is applied is as shown below:
Ly ¼ _XX2
p
ffiffiffiffiffiffiffiffiffiffiffiffiffiffi1þ U2p
UE
p
2, k
n oT (4:13)
where Ep/2, k is the complete elliptic integral of Grade 2.
4.3.2.2 Low-Frequency Vibration Lapping Model and ExperimentalTechnique
Figure 4.10 shows a model of low-frequency vibration lapping by the pin disk
system. The prototype lapping machine is composed of
X
X
X
f, a
f, b
Workpiece
Y
Y
X
2
2
1
1
. .
.
Vibration lapping in X direction Vibration lapping in Y direction
Lapping plate
FIGURE 4.10 Model of low-frequency vibration lapping using pin disk system.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 146 23.10.2006 6:29pm
146 Handbook of Lapping and Polishing
1. Abrasive grain applying section
2. Exciting section
3. Workpiece support section
Working fluid is stirred in the working fluid tank to prevent abrasive grains
from settling, and pumped up to be supplied to the processing section
continuously. Working fluid used is machine oil no. 120 or the equivalent
and the type of abrasive grain is C#180–#1500. Concentration of working
fluid is based on the weight. A series of experiments was performed by
exciting pins (FC30) as workpieces with frequency of 80 Hz and amplitude
of 0–1 mm. Table 4.5 shows the major conditions of the experiments.
4.3.2.3 Processing Characteristics and Mechanism
Figure 4.11 shows the relationship between the processing time and process-
ing amount in nonvibration lapping and X/Y direction vibration lapping. In
vibration lapping, the friction distance per unit time increases, and the curve
indicating the increase of processing amount inclines sharply accordingly, as
shown in the result.
Figure 4.12 shows the relationship between the processing pressure and
processing efficiency (processing amount per unit friction distance) in non-
vibration lapping based on the working fluid concentration as a parameter. In
nonvibration lapping, the processing efficiency is significantly affected by the
working fluid concentration, and the processing efficiency becomes saturated
when the working fluid concentration is about 55%wt or less, and the limit
processing pressure is regarded as 0.6 MPa or less. When the working fluid
concentration is over 60%wt, the processing pressure does not show any limit
value within the range of this experiment. As the working fluid concentration
becomes higher, the number of abrasive grains entering between the lapping
plate and the workpiece decreases. When the abrasive grain lapping effect
cannot be expected any longer even if the processing pressure is increased,
such processing pressure is called ‘‘limit processing pressure PL.’’
TABLE 4.5Experimental Conditions of Vibration Lapping
Friction distance 100 m
Lapping pressure 0.294 MPa
Concentration of slurry 50%wt
Frequency 80 Hz
Amplitude 1 mm
Grain C#180–#1500
Working fluid Machine oil no. 120
Lapping plate FC30
Workpiece S45C
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 147 23.10.2006 6:29pm
Lapping of Brittle Materials 147
Figure 4.13 and Figure 4.14 show the relationship between the processing
efficiency and processing pressure in vibration lapping. Figure 4.13 shows the
case when vibration is applied in the X direction, and Figure 4.14 shows
the case when vibration is applied in the Y direction. According to the
direction of vibration, the different effects on the processing efficiency and
limit processing pressure are shown. Nonvibration lapping and X direction
0
1.0
Lapp
ing
amou
nt (
mg/
cm2 ) 2.0
102
10 20 30Lapping time (min)
40 50 60
Wet lappingLapping pressure 0.294 MPaConcentration of slurry 50%wtC#180
X direction
Y direction
Non-vibration
FIGURE 4.11 Relationship between lapping time and lapping amount.
x
x
x
0
1.0
2.0
Nonvibrationwet lappingC#180
Lapp
ing
effic
ienc
y (m
g/cm
2 /m
)
3.0
0.1 0.2 0.3 0.4
Lapping pressure (MPa)
0.5 0.6 0.7 0.8
x
x
x
x
x
50
55
6070%wt
40
20
FIGURE 4.12 Relationship between lapping pressure and lapping efficiency
(nonvibration).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 148 23.10.2006 6:29pm
148 Handbook of Lapping and Polishing
vibration lapping, however, show relatively similar tendencies. In the Ydirection vibration lapping, the limit processing pressure is observed similarly
when the working fluid concentration is below 55%wt. However, it is not
observed when the working fluid concentration is over 60%wt. The process-
ing efficiency decreases instead.
The effect of vibration on lapping is considered next. Figure 4.15 shows
respective processing methods and models of abrasive grain conditions before
and after each processing. In nonvibration lapping as shown in Figure 4.15a,
x
x
x
x x
x
0
1.0
2.0
Vibration lapping (X direction)C#180Frequency 80 Hz
70%wt
60
50
20 40
0.1 0.2 0.3 0.4 0.5
Lapping pressure (MPa)
Lapp
ing
effic
ienc
y (m
g/cm
2 /m
)
0.6 0.7 0.8 0.9
x
FIGURE 4.13 Relationship between lapping pressure and lapping efficiency
(X direction).
0.30.2 0.4 0.5 0.6 0.7
40
5055
70
20
0.80.10
Lapp
ing
effic
ienc
y (m
g/cm
2 /m
)
1.0
2.0
Lapping pressure (MPa)
60%wt
Vibration lapping (Y direction)C#180Frequency 80 Hz
FIGURE 4.14 Relationship between lapping pressure and lapping efficiency
(Y direction).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 149 23.10.2006 6:29pm
Lapping of Brittle Materials 149
the size of abrasive grains after processing the workpiece becomes d (d0 > d)
when the grain size is d0, for example, before processing.
In Y direction vibration lapping as shown in Figure 4.15b, vibration of the
workpiece is applied in the direction perpendicular to the lapping plate
movement direction. In this case, the size of abrasive grains after processing
the workpiece becomes d0 (d0 > d0) when the grain size is d0 before process-
ing. The effect of vibration is that the limit processing pressure (PLY¼ 0.6
MPa) that indicates the limit of processing efficiency increases considerably
compared to the case of nonvibration (PLO¼ 0.4 MPa) when the working
fluid concentration is rather low (Q % 50%wt). From this fact, it is considered
that dynamic energy due to vibration is applied to abrasive grains during
vibration processing so that abrasive grains easily enter between the work-
piece and the lapping plate. As a result, processing efficiency improves
compared to that of nonvibration lapping.
Figure 4.15c shows a processing mechanism of vibration lapping in the Xdirection. As the workpiece vibration direction is identical to the lapping plate
movement direction, it does not always indicate that the workpiece is pro-
cessed only with grains of diameter d0 under the condition of V < 1. In one
cycle of vibration, processing is done with abrasive grain size of d0 before
(a) Nonvibration lapping
(b) Vibration lapping (Y direction)
(c) Vibration lapping (X direction)
Workpiece
Moving directionof lapping plate
Moving directionof lapping plate
Moving directionof lapping plate
Before process: d0 After process: d
Before process: d0 After process: d
Before process: d0 After process: d
Vibration direction
Vibration direction
FIGURE 4.15 Vibration lapping mechanism.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 150 23.10.2006 6:29pm
150 Handbook of Lapping and Polishing
process at the time t0 and processing is done with abrasive grain size of d 00
after process at the time of t. From the relationship of d0> d, the processing
amount is dependent on the abrasive grain size so that the processing effi-
ciency in the X direction decreases compared to that of nonvibration pro-
cessing or Y direction vibration processing. The limit processing pressure
(PLX¼ 0.3 MPa) is thought to decrease as well.
4.3.2.4 Processing Surface Roughness
Figure 4.16 shows one example of limit processing surface roughness (pro-
cessing surface roughness that cannot be improved even by increasing the
friction distance and processing pressure). As shown in this figure, the limit
processing surface roughness is reached at the friction distance of 100 m
when the initial processing surface roughness is 12 mm, abrasive grain type is
C#800, processing pressure is 0.294 MPa, working fluid concentration
is 50%wt, and Y direction vibration (amplitude: 1 mm, vibration: 80 Hz) is
applied.
Figure 4.17 shows the relationship between the limit processing surface
roughness and the limit friction distance in case of Y direction vibration
processing. From this figure, it is found that the limit friction distance to
achieve the limit processing surface roughness of 1 mm is 220 m when the
average abrasive grain diameter is 10 mm, and that the limit processing
surface roughness depends on the average abrasive grain size and friction
distance. Using this figure, vibration conditions and processing time can be
calculated easily.
3 30 300 Lapping time s0.3
Sur
face
rou
ghne
ss (
µm)
5
12 µm
10
15
0.1 1
Concentration of slurry 50%wt
10 100 Friction distance m
Lapping pressure of 0.294 MPaC#800Frequency 80 Hz
FIGURE 4.16 Relationship between friction distance (time) and surface roughness
(Y direction).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 151 23.10.2006 6:29pm
Lapping of Brittle Materials 151
4.3.3 LOW-FREQUENCY VIBRATION CORRECTING OF LAPPING PLATE
USING RECTANGULAR CORRECTING CARRIER [27]
4.3.3.1 Correcting of Lapping Plate
One of the lapping plate correcting techniques is to use a disk-type or circular-
type correcting carrier (ring) with parallel planes and correct the lapping plate
by revolving the correcting carrier while rotating. This method has many
merits because it does not require any particular device and can easily be
applied to lapping plates that are larger in size. To achieve appropriate
correcting surface, however, correcting conditions including the shape of
the optimum correcting carrier according to the abrasion status of the lapping
plate need to be set. It still depends on the workers’ long years of experience
and intuition, and such know-how remains only in the field of craftsmen’s
skills in general.
Concerning the lapping plate correcting technique using a correcting
carrier, the authors have been investigating theoretically the correcting con-
ditions that are dependant on the workers’ experience, so that the conditions
can be used easily by anybody. As a result, the lapping plate can be worn in
the concave or convex shape by using various kinds of correcting carriers.
This abrasion pattern has been demonstrated theoretically and experimentally.
One of the processing methods, to ensure efficient correcting using the same
shape of correcting carrier regardless of the abrasion pattern on the lapping
plate, is vibration processing using a rectangular correcting carrier as intro-
duced below.
2010
Lim
it su
rfac
e ro
ughn
ess
(µm
)
Limit surface roughness
Limit friction distance
Lim
it fr
ictio
n di
stan
ce (
m)
0
5
10
15
30 40 50 60
Grain size (µm)
70 80 90 100
100
200
Lapping presure 0.294 MPaFrequency 80 HzConcentration of slurry 50%wt
FIGURE 4.17 Behavior of limit processing surface roughness and limit lapping
distance.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 152 23.10.2006 6:29pm
152 Handbook of Lapping and Polishing
4.3.3.2 Friction Distance Characteristics of RectangularCorrecting Carrier
Figure 4.18 shows a part of the lapping plate and a model of the rectangular
correcting carrier set on it. As shown in this figure, it is assumed that the
middle point of rectangular correcting carrier is set on the X axis of the X–Yplane of the lapping plate when the processing time t¼ 0. When the rect-
angular correcting carrier is used, rotation of the rectangular correcting carrier
is absurd at the point in using it. Therefore, it has been so designed that the
combined speed of the lapping plate rotation and the correcting carrier
vibration only works in correcting operation.
The friction distance using rectangular correcting carrier considers
the speed component that works on an arbitrary point Na(t) on the lapp-
ing plate, which is separated by the distance a from the center O on the
lapping plate. The speeds that work on the point Na(t0) in t0 seconds after
the start of processing are the lapping plate rotation speed and the correcting
carrier vibration speed. When the combined speed of the lapping plate
Na(0)
Na(t)
V1r2
r3
V
w1
q(t)
a
c
Lapping plate
Correcting carrier
Oi
FIGURE 4.18 Components of velocity at an arbitary point Na.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 153 23.10.2006 6:29pm
Lapping of Brittle Materials 153
rotation speed V1 and the correcting carrier vibration speed V is V(a) at the
arbitrary point Na(t) on the lapping plate, the following equation holds:
V(a) ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi(V1 sin u(t) V)2 þ (V1 cos u(t))2
q(4:14)
where u(t) is the angle made by the X axis and a straight line ONa(t) in time t,V¼ b sin vt, where b is the amplitude, v the circular vibration, and V1¼ av1,
v1 is the plate rotation angle speed.
The friction distance L(a) until the arbitrary point Na(t) on the lapping
plate passes the rectangular correcting carrier is calculated as shown below:
L(a) ¼ða
ta
V(a) dt (4:15)
ta is the time required for the arbitrary point Na(t) on the lapping plate to pass
half of the width of the rectangular correcting carrier in the direction of
friction, and needs to satisfy the following conditions:
c
2¼ a sin u(t) (4:16)
where c in the above equation indicates the width of the rectangular correcting
carrier in the direction of friction.
The rectangular correcting carrier is always in contact with the lapping
plate during correcting process, so the friction distance L(a) of the rectangular
correcting carrier is expressed as shown below:
L0(a) ¼ðtb
0
V(a) dt (4:17)
where tb is the time for one rotation of the lapping plate.
Figure 4.19 shows the result of the above calculation. Figure 4.19a and
Figure 4.19b show respectively the friction distance characteristics of the
lapping plate and the rectangular correcting carrier. The horizontal axis
indicates the ratio of the distance a between the lapping plate center and an
arbitrary point Na(t) to the lapping plate outer radius r3. The vertical axis
indicates the ratio of the friction distance obtained from the calculation result
to the plate width (r3 – r2). The result of calculation proved that abrasion on
the lapping plate becomes flat when the rectangular correcting carrier is used,
and that abrasion on the outer periphery of the correcting carrier is larger than
that of the inner periphery. This indicates that the rectangular correcting
carrier can be an effective method for the deteriorated lapping plate only if
abrasion of the carrier can be prevented during correcting process.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 154 23.10.2006 6:29pm
154 Handbook of Lapping and Polishing
4.3.3.3 Experimental Apparatus and Method
Figure 4.20 shows the outline of the experimental apparatus. In this apparatus,
a commercially available lapping machine is equipped with an arm having a
carrier holder to support the rectangular correcting carrier. The rectangular
correcting carrier is mounted on the carrier holder that is guided by direct-
acting bearing in the direction vertical to the contacting surface with the
lapping plate, in order to restrict the moment generated from misalignment
of the center of gravity of contacting surface and the correcting carrier center
(b) Correcting carrier
0.60
10
14
18
0.7
C/(r3 − r2) = 0.91
C/(r3 − r2) = 0.91
L(b)
/(r 3
− r
2)
0
0.4
0.8
1.2
L(a)
/(r 3
− r
2)
a /r3
0.8 0.9 1.0
(a) Lapping plate
0.6 0.7
a /r3
0.8 0.9 1.0
FIGURE 4.19 Friction distance characteristics.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 155 23.10.2006 6:29pm
Lapping of Brittle Materials 155
point. And, low-frequency vibration (amplitude, 5 mm; frequency, 0–5 Hz)
can be applied to the correcting carrier in the direction vertical to the lapping
plate rotation by using the link mechanism.
In the experiment, a ground lapping plate of the initial surface waviness of
less than 1 mm is used. Working fluid is continuously supplied to the
processing area by the working fluid pump. The main experimental condi-
tions are as shown in Table 4.6.
4.3.3.4 Correcting Process by Rectangular Correcting Carrier
The result of correcting process on the deteriorated lapping plate using a
rectangular correcting carrier with electrodeposited diamond grains is as
shown in Figure 4.21. This figure shows a profile of the deteriorated lapping
plate, a profile of the lapping plate after being corrected for 1 h using the
electrodeposited rectangular correcting carrier under conditions of amplitude
of 5 mm and frequency of 0.8 Hz, and a profile of the lapping plate after being
corrected for an additional 4 h (total 5 h). This figure proves that the profile of
the lapping plate after being corrected for 1 h is almost flat. The profile of the
lapping plate after being corrected for the additional 4 h (i.e., 5 h from the
start of correcting) also shows that the processing amount is increased without
deteriorating the flat shape formed in about 1 h after the start of processing.
Device forsupplying slurry
Lapping machine
Lapping plate Motor
Linkage
Carrier holder
Arm
Correctingcarrier
FIGURE 4.20 Outline of experimental apparatus.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 156 23.10.2006 6:29pm
156 Handbook of Lapping and Polishing
This concludes that the profile of the processing surface ensures accurate
profile because of diamond grains electrodeposited on the carrier surface.
Correcting the deteriorated lapping plate in the concave shape can be done
similarly only in about 1 h.
The above explains that highly efficient and accurate correcting surface is
possible by applying low-frequency vibration to the rectangular correcting
TABLE 4.6Experimental Conditions of Low-Frequency Vibration
Correcting Process
Lapping Pressure 5.0 kPa
Lapping plate Material FC300
Inner radius 99.0 mm
Outer radius 154.0 mm
Angular velocity 4.82 rad/s
Correcting carrier Material FC300
Width 50.0 mm
Amplitude 5.0 mm
Vibration angular velocity 5.0 rad/s
Slurry GC#600 þ water
Concentration of slurry 35%wt
Working time 0–10 h
100 110 120 130 140 150
0
Thi
ckne
ss o
f lap
ping
pla
te (
µm)
10Track width of lapping plate
20 30 40 50
−35
−30
−25
−20
−15
−10
−5
0
Distance from center point of lapping plate (mm)
Wom surface before correction
Profile of lapping surface after 1 h
Profile of lapping surface after 5 h
A = 0.0, 5.0 mmf = 0.0, 0.8 HzC = 50.0 mmP0 = 5.0 kPa
FIGURE 4.21 Machining characteristics of lapping plate.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 157 23.10.2006 6:29pm
Lapping of Brittle Materials 157
carrier with diamond grains or CBN grains irrespective of the deteriorated
profile of the lapping plate.
4.3.4 LAPPING BY ULTRASONIC VIBRATION
4.3.4.1 Principle of Ultrasonic Exciter
A model of the ultrasonic exciter we currently use is shown in Figure 4.22
[22]. This exciter generates ultrasonic vibration while rotating the tool, and
the spindle shaft for mounting a tool has a vibration element and an ultrasonic
cone in it. The ultrasonic cone in the spindle shaft is fixed by supporting the
node of cone. When processing, a special tool for ultrasonic processing is
mounted on the end of the spindle shaft and used for drilling and cutting. This
type of exciter is commercially available for each application within the
frequency range of 20–60 kHz and amplitude range of 5–100 mm, and some
spindle shafts rotate at more than 10,000 rpm. Recently, the smaller exciters
for drilling are developed by Takesho Co. (Fukuoka in Japan), to be used with
the automatic tool changer of machining centers.
In ultrasonic vibration processing, commercial power is converted to the
designated ultrasonic frequency by ultrasonic generator, and excites the
vibration element as shown in Figure 4.22. Vibration generated by this vibration
element is transmitted until the end of the spindle shaft as longitudinal wave.
The tool is excited in either the longitudinal or torsional direction according to
the shape of hone in the tool mounted on the end of the spindle shaft. If the tool
resonance frequency deviates from the generator frequency, amplitude gener-
ated at the tool becomes smaller. If this deviation is excessive, no vibration will
be generated. Pay special attention to the design and fabrication of tools.
4.3.4.2 Application to Lapping of Ultrasonic Vibration [28]
Lapping process using ultrasonic vibration includes drilling, surface finishing,
and microstructure processing. This section introduces a lapping method
Drive motor Bearing
BearingSpindle shaft
Ultrasonicvibrationelement
Utrasonicvibrationconel
FIGURE 4.22 Ultrasonic vibration exciter.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 158 23.10.2006 6:29pm
158 Handbook of Lapping and Polishing
of die with gear teeth and round steps inside the through hole as shown in
Figure 4.23.
Figure 4.24 shows the outline of the system. An aluminum pipe mounted
on the end of the hone is used for elliptical vibration by exciting the pipe in
both the longitudinal and radial directions at the same time. The tool vibration
has frequency of 27 kHz and amplitude of 3 mm, and the workpiece is made
of heat-treated SKD11 with hardness of 58–62 HRC. Working fluid is
composed of diluted water soluble coolant with tap water and mixed with
abrasive grains #400, #800, #1500, or #3000. The amount of working fluid to
supply to the processing area is 17 mL/min.
Figure 4.25 shows one example of the experimental result. Within the
range of the size of abrasive grains used in the experiment, improvement in
surface roughness is observed in ultrasonic vibration lapping compared to that
of nonvibration lapping even if processing pressure is changed, under all but
some special conditions. Processing using ultrasonic vibration has the prob-
lem of air bubbles in the processing section due to cavitation. Therefore, it is
important to find and use the optimum conditions of processing.
4.4 ELID-LAP GRINDINGHITOSHI OHMORI
4.4.1 INTRODUCTION
Mirrors and lens are usually finished by loose abrasive lapping and polishing,
but these methods have such disadvantages as wastewater processing prob-
lems, mechanical damage, wear by scattering abrasive, dirty workplace, and
difficulty in using different grains on the same machine. For these reasons,
alternative grinding methods are required for finishing process applying loose
abrasives. Ohmori and Nakagawa have proposed a lap grinding method
Geartooth
Roundstep
FIGURE 4.23 Metal mold with complex form. (From Mizuno, M. and Iyama, T. Adv.Abrasive Technol., 3, 359, 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 159 23.10.2006 6:29pm
Lapping of Brittle Materials 159
applying ELID [29], namely ‘‘ELID-lap grinding,’’ and carried out experi-
mental studies to pursue efficient and highly accurate finishing [30,31]. In this
section, ELID-lap grinding using metallic-bonded wheels with different grain
size diamonds (#1200–#3000000 wheels) is demonstrated as a finishing
technique realizing nanometer-level smoothness.
4.4.2 PRINCIPLE OF ELID-LAP GRINDING
Figure 4.26 shows the schematic illustration of ELID-lap grinding. This
grinding is a constant pressure grinding technique using rigid metallic bond
diamond/CBN wheels with the assistance of special pulse electrolytic in-
process dressing. In this process, the wheel functions as the positive pole by
means of a brush that smoothly contacts its surface. The negative electrode is
fixed at a short distance of about 0.3 mm from the wheel surface. In this
small clearance between the fixed electrode and wheel surface, electrolysis
Tool holder
Slider
Linear guide
Agitator
Slurry
Pump
Rotary encorder
Stepping motor
Ball screw
BLT
Hone
Dynamometer
Duralumin rod
Nozzle
Epoxy resin tool
Metal mold
XY table (x,y )
x
z
y
FIGURE 4.24 Outline of experimental lapping machine. (From Mizuno, M. and
Iyama, T. Adv. Abrasive Technol., 3, 359, 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 160 23.10.2006 6:29pm
160 Handbook of Lapping and Polishing
occurs through the grinding fluid and an electric current. This process stabil-
izes the protruding grains during grinding. The ELID-lap grinding system
is composed of components essential for generating typical electrolyzing
phenomena. These are composed of an electric conductive wheel, power
supply, grinding fluid, and electrode. Figure 4.27 shows a close-up view of
the ELID-lap grinding machine.
(a) #400
(c) #1500
Lapping pressure (kPa)
40
Sur
face
rou
ghne
ss (
Ry,
µm
)
0
1
2
3
4
5
6
7
50 60
Before
After
70 80
Lapping pressure (kPa)
40
Sur
face
rou
ghne
ss (
Ry,
µm
)
0
1
2
3
4
5
6
7
50 60
Before
After
70 80
(b) #800
Lapping pressure (kPa)
40S
urfa
ce r
ough
ness
(R
y, µ
m)
0
1
2
3
4
5
6
7
50 60
Before
After
70 80
(d) #3000
Lapping pressure (kPa)
40
Sur
face
rou
ghne
ss (
Ry,
µm
)
0
1
2
3
4
5
6
7
50 60
Before
After
70 80
FIGURE 4.25 Effect of lapping pressure and abrasive grain size on surface rough-
ness. (From Mizuno, M. and Iyama, T. Adv. Abrasive Technol., 3, 359, 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 161 23.10.2006 6:29pm
Lapping of Brittle Materials 161
4.4.3 EXPERIMENTAL SYSTEMS
The specifications of the experimental equipment used for the ELID-lap
grinding are as follows:
Grinding machine: A single-sided lapping machine was used in this
experiment. The workpiece is mounted onto the main spindle, which
rotates the holder. The contact pressure of the workpiece can be regu-
lated by adjusting the air pressure of the main spindle.
Grinding wheel: Metal–resin bonded diamond wheels of different grain sizes
from #1200 to #3000000 (#1200 to #3000000MRB-D wheels) were used.
This metal–resin bonding system was developed for the purpose of im-
proving the surface qualities in the ELID-lap grinding [32]. These wheels
have a diameter of 250 mm and width of 55 mm.
Power source and grinding fluid: An ELID power supply generating high-
frequency pulse voltage was used. A chemical solution type grinding
fluid was diluted to 2% with water.
Workpiece: The workpiece used was CVD-SiC.
Work
Wheel
Electrode
Coolant
Power sourcePress
FIGURE 4.26 Schematic illustration of ELID-lap grinding.
FIGURE 4.27 External view of ELID-lap grinding machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 162 23.10.2006 6:29pm
162 Handbook of Lapping and Polishing
4.4.4 EXPERIMENTAL METHOD
After the usage of truing wheels, the electrical behavior of the pre-dressing of
these wheels was measured and grinding characteristics were investigated.
The surface roughness and surface properties were studied. Grinding tests
were carried out at a wheel speed of 100 rpm, workpiece speed of 100 rpm,
and applied pressure of 150 kPa.
4.4.5 CHARACTERISTICS OF ELID-LAP GRINDING
4.4.5.1 Effects of Grain Size on Surface Roughnessand Removal Mechanism
Figure 4.28 shows the difference in the ground surface roughness by different
mesh numbers. The surface finish improved as the grain diameter decreased.
With this method and use of the #3000000 wheel, the surface could be ground
to a very good finish of PV 5.0 nm. Figure 4.29 shows the scanning electron
microscopy (SEM) photographs of the ground surface of CVD-SiC. The
surface ground by the #1200 wheel shows the typical brittle fracture removal
in the SEM observation. On the surface ground by the #4000 wheel, no brittle
fracture along the grain paths can be observed. The surfaces ground by the
#8000, #120000, and #3000000 wheels are smoother than that by #4000
wheel. In the case of this material, brittle–ductile transition was achieved
using wheels over #4000 with ELID-lap grinding. For the purpose of inves-
tigating removal mechanism of ELID-lap grinding, the surface ground by
the #3000000 wheel was observed by atomic force microscopy (AFM).
Figure 4.30 shows the AFM image of the ground surface by the #3000000
wheel. AFM observation shows that the ground surface is very smooth and
consists of fine grinding marks that cross each other. Through the analysis of
the cross section of parts A-A and B-B as shown in Figure 4.30, the depth
of the grinding mark (A-A) is very shallow (approximately 2.8 nm) and the
surface irregularities of the smooth part (B-B) were 1.5 nm. The experiment
confirmed that ELID-lap grinding using this wheel enables mechanical
removal of materials in the order of several nanometers.
1 10 100 1,000 1,00001
510
50100
5001000
Grain size (nm)
PV
(nm
)
#1200
#4000#8000
#120000#3000000
Wheel speed: 100 rpmWork speed: 100 rpmApplied pressure: 150 kPa
FIGURE 4.28 Effects of grain size on surface roughness.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 163 23.10.2006 6:29pm
Lapping of Brittle Materials 163
#1200 Wheel
#8000 Wheel
#4000 Wheel
#120000 Wheel
#3000000 Wheel
1µm
FIGURE 4.29 SEM photographs of ground surface.
AA
BB
10
10
5
0
15
155
010
0 [n
m]
FIGURE 4.30 AFM image (#3000000 wheel).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 164 23.10.2006 6:29pm
164 Handbook of Lapping and Polishing
4.4.5.2 Efficient Mirror Surface Finish by ELID-Lap Grinding
For realizing excellent mirror surface, it is necessary to grind the workpiece in
the ductile resume. From the above results, it was clear that ductile mode
grinding can be achieved using wheels over #4000 with ELID-lap grinding.
The detailed grinding characteristics of CVD-SiC using fine grit diamond
wheels (#4000, #8000, and #120000MRB-D wheels) were investigated.
4.4.5.2.1 Stability of Grinding Performance on Fine GritDiamond Wheels
Figure 4.31 shows the stability of the grinding performance. For all wheels, the
stock removal increased linearly as the grinding time increased, indicating that
stable grinding was achieved without clogging. Like the results of stock
removal, the surface roughness values were stable during grinding tests.
These results show that ELID-lap grinding can realize the stable use of fine
grit diamond wheels and is a very useful method for stable mirror surface
finish.
4.4.5.2.2 Relation between Grinding Time and Surface RoughnessFor the purpose of realizing efficient mirror surface finishing, the relation
between the grinding time and surface roughness was studied. In this experi-
ment, rough grinding was performed using the #1200MRB-D wheel, followed
by mirror surface finishing using the #4000, #8000, and #120000MRB-D
wheels, and the change in the obtained surface roughness was investigated.
Figure 4.32 shows the relation between the grinding time and obtained surface
roughness. The workpieces used were ground by the #1200MRB-D wheel to
equalize the initial conditions before testing. The obtained surface roughnesses
were about 400 nm Ry. In the case of #4000MRB-D wheel, when grinding
starts, the surface roughness rapidly improved within 1 min of grinding, and
then the surface roughness showed constant values. For #8000MRB-D
wheel, like the results of the #4000MRB-D wheel, the surface roughness rapid-
ly improved within 2 min of grinding, and then the surface roughness gradually
improved and stabilized. After 5 min of grinding, the surface roughness
improved from 395 to 25 nm Ry. The above results indicate that efficient
0 50 100 1500
10
20
30
40
50
60
0
100
200
Grinding time (min)
Ry
(nm
)
Sto
ck r
emov
al (
µm)
Wheel speed: 100 rpmWorkpiece speed: 100 rpmApplied pressure: 150 kPa
Ry
Stock removal
0 50 100 1500
5
10
0
5
10
Grinding time (min)
Ry
(nm
)
Sto
ck r
emov
al(µ
m)
Wheel speed: 100 rpmWorkpiece speed: 100 rpmApplied pressure: 150 kPa
RyStock removal
(a) #4000MRB-D wheel (b) #120000MRB-D wheel
FIGURE 4.31 Stability of grinding performance.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 165 23.10.2006 6:29pm
Lapping of Brittle Materials 165
mirror surface grinding can be realized in this system. On the other hand, for
the #120000MRB-D wheel, although the surface roughness improved when
grinding started, it took a long time for the surface roughness to show constant
values. In this case, efficient mirror surface finishing could not be achieved
under these conditions, but it must be realized to perform middle grinding
using the #4000 or #8000MRB-D wheel after rough grinding.
4.4.6 DESK-TOP ELID-LAP GRINDING SYSTEM
4.4.6.1 Background
The increasing emergence of high-tech compact mobile equipments is the
strong reason why parts need to be even smaller. The precision grinding of
such microparts does not necessarily require conventional large grinding
machines. In some cases, a compact grinding machine may prove more
efficient by enabling easier handling and saving energy. From this viewpoint,
a compact grinding machine applying ELID ultraprecision grinding has been
developed, and experimental studies aiming to realize high-quality grinding
of microparts have been conducted. The newly developed desk-top ELID-lap
grinding system, as well as the results of investigations into the grinding
characteristics of cemented carbide alloy, nitrided steel, and sapphire by the
system, is introduced.
0 1 2 30
100
200
300
400
Grinding time (min)
Ry
(nm
)Wheel speed: 100 rpmWorkpiece speed: 100 rpmApplied pressure: 150 kPa
0 5 100
100
200
300
400
Grinding time (min)
Ry
(nm
)
Wheel speed: 100 rpmWorkpiece speed: 100 rpmApplied pressure: 150 kPa
(a) #4000 (b) #8000
0 4020 600
100
200
300
400
Grinding time (min)
Ry
(nm
)
Wheel speed: 100 rpmWorkpiece speed: 100 rpmApplied pressure: 150 kPa
(c) #120000
FIGURE 4.32 Relation between working time and surface.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 166 23.10.2006 6:29pm
166 Handbook of Lapping and Polishing
4.4.6.2 Concept of the System
The desk-top ELID-lap grinding system was developed based on the principle
of ELID lap-grinding shown in Figure 4.26. This system is a desk-top
type ELID-lap grinding equipment developed to realize precision mirror
surface grinding of microcomponents made of various hard and brittle
materials simply and easily by applying the principle of ELID lap-grinding.
The wheels and work axis of this machine are rotated independently at a
speed of 0 to 120 rpm. Up to 200 N, air pressure can be applied during
grinding. The wheel used was a w150 40 mm disk wheel. Figure 4.33 shows
the external view of the grinding system. The main body dimensions are
W390 D515 H430 mm and its weight is approximately 41 kg. The salient
features are as follows:
FIGURE 4.33 External view of grinding machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 167 23.10.2006 6:29pm
Lapping of Brittle Materials 167
1. It can use a commercial electric power line of 100 V.
2. Use of fixed abrasives (wheel) enables easy maintenance of clean
working environment.
3. Use of fixed abrasives (wheel) allows various grinding processes from
roughing to mirror surface grinding to be performed on one machine.
4. Compact and lightweight features allow the machine to be moved
easily, thus saving energy and space.
4.4.7 EXPERIMENTAL SYSTEM AND METHOD
4.4.7.1 Experimental System
The experimental setup includes the following equipment and test conditions:
Machine: Desk-top ELID-lap grinding system ‘‘Lap de Top’’(The Nexsys
Corp.)
Wheel: #1200 to #20000 metal–resin bonded (copper:resin, 7:3) diamond
wheel (NX-GW-KFSI) of size specifications of w150 w40, conc. 100
[33]
Electrolytic power supply: High-frequency electrolytic power supply for
ELID (NX-ED910)
Grinding fluid: Chemical solution type grinding fluid (CM2) diluted 50
times by tap water
Work: Cemented carbide alloy (equivalent to K10, w35 t2 mm), nitrided
steel (SKD61, w10 t3 mm), sapphire (w4 t1 mm)
For some of the grinding experiments, the #325 cast iron bonded diamond
wheel was used.
4.4.7.2 Experimental Method
In the experiment, at first the grinding wheels were trued, and initial electrolytic
dressing is performed. The grinding experiments are then carried out on the
newly developed grinding machine using cemented carbide alloy, nitrided hot
die steel, and sapphire as specimens to determine their grinding characteristics.
The grinding characteristics included the wheel and work rotational speed of
100 rpm, and the electrolytic dressing conditions were open voltage of 90 V,
maximum current of 10 A, and the pulse power supply and stopping time of 2 ms.
4.4.8 EXPERIMENTAL RESULTS
4.4.8.1 Grinding Characteristics of Cemented Carbide Alloy
Cemented carbide alloy is used broadly for mechanical seal, cutting tool,
cutter, mold, etc., due to its high strength. In recent years, these parts are also
becoming smaller and smaller, and as a result, better efficiency and higher
quality in the grinding of these parts are being sought. To answer to such
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 168 23.10.2006 6:29pm
168 Handbook of Lapping and Polishing
needs, the basic grinding characteristics of cemented carbide alloy on the
grinding machine developed were investigated.
4.4.8.1.1 Effects of Electrolytic Dressing on Grinding Performanceand Ground Surface Roughness
Figure 4.34 shows the change in the number of operation times and stock
removal with the application of electrolytic dressing (ELID) and without it in
grinding using a #8000 metal resin–bonded wheel. One operation time is 15
min. If electrolytic dressing is not applied, the stock removal gradually
decreases with the number of grindings performed, resulting in clogging.
On the other hand, when electrolytic dressing is applied, the stock removal
does not decrease even after eight cycles of grinding, indicating that grinding
is stable. Figure 4.35 shows the relation between the number of cycles of
grinding and ground surface roughness. In grinding with electrolytic dressing,
stable ground surface roughness was achieved from the start to the end of
grinding, confirming that a stable grinding was maintained. If electrolytic
dressing is not used, the ground surface roughness gradually decreases.
This is apparently due to the increase in the number of effective abrasives
contributing to grinding and reduced protrusion of the tip of the abrasives,
caused by the increased wear at the edge of the wheel due to the contact
0 86420
2
4
6
8
10
Cycles of working
Sto
ck r
emov
al (
µm)
Wheel speed: 100 rpmWork speed: 100 rpm Applied pressure: 100 kPa
Eo: 90 V,Ip: 10 A, T on,off: 2 µs
Wheel: #8000MRB-DWork: WC
ELIDNon-ELID
FIGURE 4.34 Effects of ELID on stock removal.
20
18
16
14
12
100 2
Cycles of working
Eo: 90 V,Ip: 10 A, T on,off: 2 µsWheel: #8000MRB-DWork: WC
Wheel speed: 100 rpmWork speed: 100 rpmApplied pressure: 100 kPa
4 6 8
ELID
Non-ELID
Ry
(nm
)
FIGURE 4.35 Effects of ELID on surface roughness.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 169 23.10.2006 6:29pm
Lapping of Brittle Materials 169
between the abrasives of the grinding wheel and work. The application of
electrolytic dressing was confirmed to realize a stable grinding by achieving
both good grinding performance and ground surface roughness.
4.4.8.1.2 Ground Surface Shape and Ground Surface PropertiesFigure 4.36 shows the ground surface shape after eight grinding cycles (when
electrolytic dressing is also employed). A flatness of 0.25 mm was achieved.
Figure 4.37 shows the SEM image and the workpiece. No brittle fracture can be
observed on the ground surface, indicating that ductile mode grinding was
achieved.
4.4.8.2 Grinding Characteristics of Nitrided Steel
Generally electronic equipment, machine parts, and the products we use in daily
life are manufactured by molding. Recent years have seen even higher demands
Wheel: #8000MRB-DWork: WC
P-V: 8.253 (µm)RMS: 8.2526 (µm)
xxxxxxxx
270 (deg)270 (deg)
100
xxxxxxxxxxxx
FIGURE 4.36 Surface flatness of ground surface.
1 µm
FIGURE 4.37 SEM image and workpiece.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 170 23.10.2006 6:29pm
170 Handbook of Lapping and Polishing
for diversification, high precision, and low costs of these products. As a result,
while high precision of forming molds is required, improved mold life is also
keenly sought. Nitriding is one of the methods used to effectively improve mold
life, but it also presents such problems as rough ground surfaces and poor
dimensional accuracy. Moreover, as the nitrided surface has a hardness of
more than HV1000, re-polishing after nitriding is difficult. For this reason,
the application of nitriding is limited to certain cases. Basic grinding experi-
ments were therefore conducted to create high-quality nitrided surfaces.
4.4.8.2.1 Nitriding MethodThe work used in this experiment was made from SKD61 steel quenched
(10308C) and then tempered (5508C) for 3 h at 5808C. Figure 4.38 shows the
work surface structure and the surface hardness distribution after nitriding.
The total nitrided layer depth by this process was approximately 0.15 mm,
whereas the maximum hardness of the surface was 1050 Hv.
4.4.8.2.2 Grinding Experiment ResultsFigure 4.39a shows changes in the ground surface roughness for a nitrided
work ground by using a #1200 metal resin–bonded wheel. The surface
roughness rapidly improves after grinding starts, then reaches 200 nm Ry
after 60 s, and finally stabilizes. Figure 4.39b shows the change in the ground
surface roughness when ground for 60 s using a #1200 wheel, followed by a
Surface
400
0 100Distance from surface (mm)
Temperature 580°CWorkpiece SKD61
200 300
Nitride
Har
dnes
s (H
v) 1000
800
600
FIGURE 4.38 Surface structure and surface hardness distribute after nitriding.
Wheel: 1200MRB-DWheel speed: 100 rpmWork speed: 100 rpmApplied pressure: 100 kPa
Wheel: 20000MRB-DWheel speed: 100 rpmWork speed: 100 rpmApplied pressure: 100 kPa
3000 200
100
2000
Ry
(nm
)
Ry
(nm
)
1000
Grinding time
(a) Result by #1200 wheel
Grinding time
(b) Result by #20000 wheel
020 40 60 80 100 120 0 20 40 60 80 100 120
FIGURE 4.39 Changes in the ground surface roughness for nitrided ground using
MRB-wheels.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 171 23.10.2006 6:29pm
Lapping of Brittle Materials 171
#20000 wheel. High-quality surface with a surface roughness of 13 nm Ry was
achieved in 60 s of grinding. Figure 4.40 shows the microscopic photo of the
ground surface before grinding and after grinding using #1200 and #20000
wheels. These results also confirm that the surface becomes smoother with the
finer wheel abrasives. In particular, the surface ground by the #20000 wheel
was very smooth with fine grinding marks. Figure 4.41 shows the mirror
surface finished sample by the #20000 wheel.
4.4.8.3 Grinding Characteristics of Sapphire
Sapphire has excellent electrical insulation qualities, excellent heat conduct-
ivity, and stable chemical and thermal features, and is therefore used broadly
for semiconductors and optical devices. However, because it has similar
hardness as diamond, high-quality grinding cannot be achieved efficiently.
We therefore investigated the grinding characteristics of sapphire by
ELID-lap grinding using a #325 cast iron bonded wheel, and #8000 metal
resin–bonded wheel.
4.4.8.3.1 Relation between Grinding Time and GrindingCharacteristics
Figure 4.42a shows the relation between the grinding time, grinding perform-
ance, and ground surface roughness using the #325 wheel. Stable grinding
performance and ground surface roughness results were achieved. Figure
4.42b shows the results for the #8000 wheel. Like the results of the #325
wheel, good grinding results were obtained for both grinding performance and
ground surface roughness (approximately 17.5 nm Ry). Stable grinding was
maintained for both wheels with no abnormal electrolytic current values, etc.
FIGURE 4.40 Work surface before and after grinding.
FIGURE 4.41 Example finished by #20000 wheel.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 172 23.10.2006 6:29pm
172 Handbook of Lapping and Polishing
4.4.8.3.2 Changes in Grinding Time and Ground SurfaceThe relation between the grinding time and ground surface state when rough-
ing was performed using the #325 wheel, followed by finishing using #8000
wheel was investigated. Figure 4.43 shows the change in the grinding time
and ground surface state under the applied pressure of 695.8 kPa. The ground
surface using #325 wheel showed both brittle fracture and ductile removal.
When finishing using #8000 wheel was performed in this state, though the
brittle fracture and grinding marks of the grain path, which occurred with the
#325 wheel were observed after 0.5 min of grinding, the ground surface was
more or less smooth. After 2 min of grinding, the overall surface was smooth
with no brittle removal marks. The results confirmed that the grinding
machine developed enables high-quality ground surfaces to be achieved for
sapphire.
600 500
50
40
40
30
30
20 20
1010
300
500
400
400300
Sto
ck r
emov
al (
µm)
Sto
ck r
emov
al (
µm)
Ry
(nm
)R
y (n
m)
200
100
0 10Grinding time (min)
235.25 Pa695.8 kPa
235.25 Pa695.8 kPa
235.25 Pa695.8 kPa
235.25 Pa695.8 kPa
20
00
10
Grinding time (min)
(a) Results by #325 wheel
(b) Results by #8000 wheel
Grinding time (min) Grinding time (min)
20
40 6020 0 40 6020
FIGURE 4.42 Relation between the grinding time, grinding performance, and ground
surface roughness.
50 µm
FIGURE 4.43 Change in the grinding time and ground surface state.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 173 23.10.2006 6:29pm
Lapping of Brittle Materials 173
4.4.9 CONCLUSIONS
For the purpose of realizing efficient grinding of mirror and lens production,
grinding characteristics of CVD-SiC in ELID-lap grinding were studied. The
surface quality achieved by this system using different sized grain wheels was
excellent. The resultant surface roughness was PV 5.0 nm using the #3000000
wheel. SEM observation clarified that brittle–ductile transition was achieved
using wheels over #4000 with ELID-lap grinding. The AFM image of the
surface ground using the #3000000 wheel showed that ELID-lap grinding
using this wheel mechanically eliminates in order of several nanometers.
To realize the ELID-lap grinding in desk-top style, the desk-top ELID-lap
grinding system has been developed, and representative experiments were
performed on cemented carbide alloy, nitrided steel, and sapphire on the
system, and results of investigations of the basic grinding characteristics
suggest that grinding is stable for all these materials, and at the same time
high-quality surfaces could be achieved by the desk-top grinding system for
microcomponents.
4.5 MATERIALS, EXPERIMENTAL SETUP, AND TESTINGPROCEDURE (STUDY CASE)
MARIANA PRUTEANU
4.5.1 MATERIALS
4.5.1.1 Workpiece Materials
The properties of the material to be lapped are certainly the deciding factors
on how the material will be machined. All the material properties such as:
hardness, porosity, wear resistance, chemical inertness, and toughness, are
important among others. In the case of ceramic materials, thermal properties
are not so significant since during the lapping operation the temperature in the
work zone is relatively low.
For the purpose of this project several lapping tests were performed
using different materials for the workpieces. The parts utilized were made of
aluminum oxide (Al2O3) and hexoloy-sintered alpha silicon carbide (hexoloy).
The workpieces made of aluminum oxide were rings having 0.500 ID, 0.800
OD, and 0.200 thickness (Figure 4.44). Physical and mechanical properties of
Al2O3 are listed in Table 4.7
Aluminum oxide is one of the hardest materials known. Its high hardness
promotes a series of applications in mechanical engineering, such as bearings
and seals. It is a good material to use for lapping tests for various reasons.
Because of its hardness it can be lapped to produce very good finishes and
porosity is pretty low.
Hexoloy SiC is a new sintered alpha silicon carbide material designed
specifically for optimum performance in sliding contact applications. It is
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 174 23.10.2006 6:29pm
174 Handbook of Lapping and Polishing
produced by pressureless sintering ultrapure submicron powder. This powder
is mixed with nonoxide-sintering aids, then formed into the desired shapes by
a variety of methods, and consolidated by sintering at temperatures above
20008C (36328F). The sintering process results in single-phase, fine-grain SiC
FIGURE 4.44 Al2O3 workpieces.
TABLE 4.7Ceramic Properties of Al2O3
Material Al2O3—99.8%
Physical properties
Density (g/cc) 3.96
Mechanical properties
Tensile strength (MPa) 310 (at 258C)
220 (at 10008C)
Modulus of elasticity (GPa) 366
Poisson ratio 0.22
Compressive strength (MPa) 3790 (at 258C)
1.929 GPa (at 10008C)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 175 23.10.2006 6:29pm
Lapping of Brittle Materials 175
product that is very pure and uniform, with virtually no porosity. Whether
used in corrosive environments, subjected to extreme wear and abrasive
conditions, or exposed to high temperatures, hexoloy-sintered alpha silicon
carbide outperforms other advanced ceramics. This kind of ceramic material
is ideal for applications such as chemical and slurry pump seals and bearings,
nozzles, pump, and valve trim and more.
Table 4.8 illustrates the outstanding properties of hexoloy silicon carbide.
It is an extremely hard material, lightweight, and low in porosity. This
material has exceptional corrosion and erosion-resisting properties through
the addition of spherical pores.
These pores are discrete, noninterconnecting, and dispersed in a con-
trolled manner throughout the body of the material. The spherical pores act
as fluid or lubricant reservoirs helping to promote the interface of sliding
component surfaces.
The parts made of hexoloy are pump seals having 0.5500 ID, 1.3600 OD, and
0.3800 thickness (Figure 4.45).
Another material utilized as workpiece material was hardened steel W-1.
The chemical composition is presented in Table 4.9. The high content of
carbon allows high hardness to be achieved by hardening and also formation
of carbide, which gives the high wear resistance. The dimensions for the parts
made of W-1 were 0.800 OD and 0.400 thickness (as seen in Figure 4.46).
The initial hardness of the steel was about 10–14 HRC.
The parts were heat-treated and, after quenching in oil, the resulting
hardness was 44–48 HRC. The steps followed for the heat treatment were:
TABLE 4.8Properties of Hexoloy SiC
Properties Units Values
Density g/cm3 3.04
Hardness (knoop) kg/mm2 2800
Elastic modulus at RT GPa 400
Poisson’s ratio 0.14
Flexural strength MPa 240
Weibull modulus 19
Fracture toughness at RT Mpa/m1/2 4.3
Coefficient of thermal expansion at RT to 7008C 106 mm/mmK 4.2
Mean specific heat at RT J/gmK 0.59
Thermal conductivity at RT W/mK 110
Pore volume fraction % 5.0–6.0
Pore size (typical) mm 50
Grain size (SiC) mm 4–6
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 176 23.10.2006 6:29pm
176 Handbook of Lapping and Polishing
(1) preheat oven to 14258F–15008F; (2) place part in the oven for 0.5 h per
inch of thickness; (3) quench the part in oil; and (4) test the hardness.
Hardness was measured with a hardness tester device—ACCUPRO AR-10.
The surfaces of the workpieces were ground after heat treatment and prior
to lapping for the following reasons: (1) the thickness varied slightly on some
parts and this step (grinding) eliminated the variation. Thickness variation is not
a critical problem when large normal forces are used but might initiate problems
when lapping with small normal forces; (2) the initial surface roughness of the
parts averaged approximately 0.8 mm Ra. Surface grinding lowered the rough-
ness values of each workpiece and gave them similar starting surfaces (approxi-
mate Ra¼ 0.65 mm); (3) as a rule, some machining may be done before lapping.
Grinding is an operation that usually takes place before lapping.
4.5.1.2 Abrasives
A large number of abrasives are available to the finishing industry. The type
of abrasive is dependent on the properties of the material being machined. For
ultrahard materials, such as ceramics and carbides, the choices are restricted
to superabrasive powders: diamond and CBN. For the fine finishing of
ceramic materials, diamond is the best option to be considered.
FIGURE 4.45 Hexoloy silicon carbide parts.
TABLE 4.9Chemical Composition of W-1 Steel
%C %Si %Mn %P %S %Cr %V
0.95–1.5 0.1–0.25 0.3–0.4 0.025 0.02 0.15 0.1
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 177 23.10.2006 6:29pm
Lapping of Brittle Materials 177
Throughout all lapping experiments, diamond slurries were utilized as
abrasives. First set of experiments done in lapping used water-based mono-
crystalline (K35 SYN) and polycrystalline (K35 SPD) diamond slurries (grain
size 0.25 mm) provided and produced by Warren Diamond Powder Company.
K35 is a synthetic diamond specifically formulated for free-abrasive lapping.
It provides excellent removal rates, imparts improved surface finish, and
enhances plate life. It has the capability to replenish the lapping plate with
fresh diamond, allowing cut rates and surface finishes remaining consistent
for long periods of time. K35 diamond slurry, as well as all the other slurries
made by this company, offers a greater number of cutting facets that leads to a
smooth, uniform result with less random scratching and subsurface deform-
ation. Also, it presents no environmental or health risks.
The second set of lapping tests was also performed with water-based
monocrystalline (K35W—HS) and polycrystalline (K35W—SPD) diamond
slurries supplied by Warren Diamond Powder Company. Grain sizes for
diamond slurries were 0.75, 1.5, 3, and 6 mm. The slurry used for the third
series of experiments was monocrystalline Hyprez diamond slurry delivered
by Engis Corporation in water-based (S4889)STD–MA formulation and
oil-based (S1313–T4)STD–MA formulation. This is a synthetic diamond
produced in controlled high-temperature and high-pressure process. It is a
manufactured metal-bond monocrystalline diamond having blocky crystals,
regularly shaped, and less friable (tougher) than resin-bond diamond.
4.5.2 EXPERIMENTAL EQUIPMENT AND LAPPING SETUP
The experimental equipment that was used in this research is listed below:
. Lapmaster lapping machine, model 12C, variable speed 90 VDC, 7.5 A
motor (0–60 rpm) with 1200 grooved cast iron plate, 500 cast iron con-
ditioning rings, and a magnetic stirrer. Cole-Parmer peristaltic pump, 7021–24 pump head and Masterflex
Tygon
FIGURE 4.46 W-1 parts.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 178 23.10.2006 6:29pm
178 Handbook of Lapping and Polishing
Other instruments which were utilized:
. Roughness meter Hommel Tester LV 15 and ProfileView 3.44 software
for processing the surface roughness and profile data. Optical flat and monochromatic light source P-5012. Precision electronic scale HR-120 (minimum unit weighing—0.1 mg). Dial indicator (0.000100). Hardness tester ACCUPRO AR-10
The experimental equipment used during the lapping tests is shown in
Figure 4.47. All the experiments were done on Lapmaster International
lapping machine, model 12C. The model 12C uses a 1200 lapping plate and
Lapmaster lapping machine variable-speed DC motor as in Figure 4.47.
It is equipped with a magnetic stirrer and a carrier delivery system. The
90 VDC, 7.5 A motor can vary plate revolutions over a range of 0–60 rpm.
The Lapmaster machine is delivered with radially grooved cast iron
lapping plate and conditioning rings. The machine comes with a carrier
delivery system that could not give a consistent flow rate. The diamond slurry
is supplied in a mist so the quantity of slurry is not exact every time and it is
difficult to measure the slurry dispensed at specific intervals. Due to the
difficulties associated with this system, an alternative arrangement, a Cole-
Parmer peristaltic pump (model 7521–40) was used. This variable-speed
peristaltic pump allows adjustable delivery of the diamond slurry; flow rate
can be continually monitored through the peristaltic pump to assure that exact
slurry delivery requirements are attained (Figure 4.48).
FIGURE 4.47 Lapmaster lapping machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 179 23.10.2006 6:29pm
Lapping of Brittle Materials 179
The peristaltic pump comes with Masterflex Tygon silicon tubing having
0.06100 ID and 0.18100 OD. It is a high-performance precision tubing that has a
thick wall that improves pressure generation suction lift and the capability to
pump viscous fluids.
The flatness of the plate is one of the most crucial factors that can affect
the performance of the lap because the flatness of the lapping plate is
transferred to the workpieces during the process. Consequently, if the lapping
plate is concave, the workpiece will become convex and vice versa. Lapping
plates tend to run out of true as either spherically concave (the most common
condition) or spherically convex surfaces. The lap can be brought back to true
flatness by simple movement of the conditioning rings to the outboard or
inboard positions, as can be seen in Figure 4.49.
The flatness of the lapping plate determines the degree of flatness,
parallelism, size uniformity, and surface finish of the parts being lapped
FIGURE 4.48 Cole-Parmer peristaltic pump.
Outboard Inboard
Ring Ring
Concave lap Convex lap
FIGURE 4.49 Outboard and inboard positions for conditioning rings.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 180 23.10.2006 6:29pm
180 Handbook of Lapping and Polishing
more than any other variable in the lapping process. As a general rule, a 1200.lapping plate should not vary in flatness more than plus or minus one
thousandth of an inch.
Prior to lapping, the plate flatness was measured using a profilometer
device. This was done to assure that deviation from flat was kept to a
minimum. Figure 4.50 depicts the value of the flatness measured over the
entire surface of the lapping plate.
A specific lapping plate, conditioning rings, and slurry delivery tube were
used for each diamond abrasive size. Taking into consideration that many
abrasives were used throughout lapping tests, this was done in order to avoid
the contamination of the lapping plates and, for this reason, the possibility of
imprecise results.
The plates go through two steps throughout the preparation period. The first
step is to machine the plate flat. The cast iron lapping plates were initially faced
using a Blanchard rotary surface grinding machine (Figure 4.51). Because the
surface finish of the lapping plate did not meet the expectations, the next step
was to improve the flatness and the surface roughness of the plate using a
surface grinder machine (Figure 4.52). After this operation was performed, the
plate flatness was according to standard recommendations (see Figure 4.50).
As for the next step, the lapping plate must be charged before efficient
lapping can occur. Usually, during charging the diamond slurry flows over the
plate while the conditioning ring loaded against the plate forces the particles
into the surface. Observations of the plate surface during charging (according
to A. Barylski) showed that the diamond micrograins are compressed, first of
all, into the graphite and, to a lesser degree, into the cast iron metallic matrix.
The smaller micrograins are implanted in the plate graphite and larger ones
are embedded in the nodular graphite inclusions [34].
0.0000"
0.0000"
0.0001"
−0.0001"
−0.0002"
0.0002"
FIGURE 4.50 Flatness of the lapping plate after facing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 181 23.10.2006 6:29pm
Lapping of Brittle Materials 181
4.5.3 TESTING PROCEDURE
4.5.3.1 Lapping Setup
Figure 4.53 illustrates the lapping setup. Two conditioning rings were used:
one to hold the workpieces and the other to distribute the slurry evenly on the
entire surface of the plate. Conditioning rings are not only for retaining the
parts during machining but also for maintaining the lapping plate flatness.
FIGURE 4.51 Blanchard rotary surface grinding machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 182 23.10.2006 6:29pm
182 Handbook of Lapping and Polishing
Diamond abrasive was suspended in a water-based or oil-based carrier
and supplied by the peristaltic pump. This device was used in order to keep a
consistent and measurable flow rate throughout all lapping experiments.
Three workpieces were lapped simultaneously being fixed at the bottom of
a weight with a holder. The part holder has a diameter of 4.1700. (105.92 mm),
slightly smaller than the inner diameter of the conditioning ring. Parts are
positioned 1208 equidistant on a circle having the diameter 2.06700. (52.5
mm) from the edge of the part holder. The slurry was based on either
monocrystalline or polycrystalline diamond grains with identical particle
size distribution.
FIGURE 4.52 Surface grinder machine.
Abrasive slurryapplication
Conditioningring
Lappingplate
Weight
Phenolicdisk
Workpieces
FIGURE 4.53 Lapping setup.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 183 23.10.2006 6:29pm
Lapping of Brittle Materials 183
For all the workpieces the following characteristics were measured before
and after each experiment: thickness, mass, and surface roughness (measured
in three areas, as it can be seen from Figure 4.54).
The lapping machine was set to warm up for at least 60 min, and diamond
slurry and the conditioning rings are set on the lap plate 10 min before lapping
the workpieces; this was done in order to stabilize the system.
4.5.3.2 Measuring Procedures
Surface analysis for all the tests performed was done using a Hommel tester
T1000 E roughness meter, a stylus type profilometer with a stylus tip radius of
0.000200. It has a tracing length lt¼ 4.8 mm and a cut-off length lc¼ 0.8 mm.
The measuring principle is inductive, the tracing speed is 0.5 mm/s, and the
reverse speed is 2 mm/s. It allows surface roughness measurements in the
range of +40 mm (Figure 4.55) with 0.1 mm accuracy.
Roughness, waviness, and form are three parameters when characterizing
surface finish. Roughness is short (fine) wavelength or microirregularities on
a surface. Roughness is of significant interest in manufacturing because it is
the roughness of a surface (given reasonable waviness and form error) that
determines its friction in contact with another surface. The roughness of a
surface defines how that surfaces feels, how it looks, how it behaves in
a contact with another surface, and how it behaves for coating or sealing.
For moving parts, the roughness determines how the surface will wear, how
well it will retain lubricant, and how well it will hold a load. Waviness is long
wavelength or macroirregularities of a surface from its nominal shape. It
can be used as a measure of flatness. Waviness errors are intermediate in
wavelength between roughness and form error. Form is the deviation in
the surface profile from the nominal, other than roughness or waviness
(e.g., form refers to roundness or straightness). Form is not a major issue in
micromachining processes such as lapping since material removal is small
and therefore the form is not altered. Waviness and, more importantly,
Measurementarea
FIGURE 4.54 Schematic representation of measurement areas.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 184 23.10.2006 6:29pm
184 Handbook of Lapping and Polishing
roughness are the significant characteristics to the effectiveness of the
process.
The roughness average parameter (Figure 4.56) is the area between the
roughness profile and its mean line, or the integral of the absolute value of
the roughness profile height over the evaluation length. RMS is the root-
mean-square roughness; it is the standard deviation of the arithmetic mean
Profile view 3.44 software usedfor processing the surfaceroughness and profile data
Hommel roughnessmeter device
FIGURE 4.55 Hommel tester T1000 E.
L
K
Ra = 1 L
Y(X) dxRMS =
Y
L L L
∫L
01 L
Y2(X) dx∫L
0
FIGURE 4.56 Roughness average parameter Ra.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 185 23.10.2006 6:29pm
Lapping of Brittle Materials 185
value Ra. In the above formula, L is the sampling length and Y is the ordinate
of the profile curve. The average roughness is by far the most commonly used
parameter in surface finish measurement and it is accepted as a good param-
eter to describe a surface. The disadvantages of this parameter are: (1) peaks
and valleys are not differentiated and (2) defects in the surface do not change
the result greatly.
Material removal was measured by thickness change and weight loss. The
thickness change was determined using a Mitutoyo micrometer, 0–100 (resolution
0.000100 or 0.001 mm). Measurements were taken before and after each lapping
time as shown in Figure 4.54; a template was used to make sure that the same
location was measured each time. The weight loss was checked every time using
a precision electronic balance HR – 120 having a weighing capacity of 120 g.
The formulas used in order to calculate the MRR were as follows:
MRR¼ (area/lapping time)/(average thickness change) [mm3/min]
MRR¼ (average weight change)/(lapping time) [mg/min]
All the workpieces were ultrasonically cleaned and dried with compressed air
before each measurement took place.
Three sets of experiments were performed in order to have firm conclusions
on the behavior of monocrystalline and polycrystalline diamond slurries.
4.5.3.2.1 Test ATest A consisted of lapping aluminum oxide rings with water-based mono-
crystalline and polycrystalline diamond slurries using cast iron lapping plates.
During the experiments, the following parameters were kept constant:
. Flow rate—0.75 ml/min
. Carrier type—water-based slurry
. Slurry concentration—1.4 g/500 ml (7 ct/500 ml)
. Grain size—0.25 mm
The variable parameters during lapping were:
. Diamond type—mono and polycrystalline diamond slurry
. Rotation of the lapping plate—3, 6, and 9 rpm
. Loads—380, 750, and 1200 g
. Lapping time—5, 15, 30, and 60 min
As mentioned before, workpiece characteristics were measured (surface
roughness, thickness, and weight) before lapping and after each lapping
time. The average initial surface roughness was Ra¼ 0.716 mm.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 186 23.10.2006 6:29pm
186 Handbook of Lapping and Polishing
4.5.3.2.2 Test BTest B was done on W-1 parts (62–64 HRC) using several grain sizes for
diamond abrasive grains. The conditioning rings and lapping plates used for
the experiment were made of cast iron. The initial average roughness of the
W-1 workpieces was 0.65 mm.
The constant parameters were:
. Flow rate—1.5 ml/min
. Slurry concentration—1.4 g/500 ml (7 ct/500 ml)
. Rotation of the lapping plate—56 rpm
. Carrier type—water-based diamond slurry
The variable parameters considered for this test were:
. Diamond type—monocrystalline and polycrystalline
. Diamond grain size—0.75, 1.5, 3, and 6 mm
. Lapping time—5, 15, and 30 min
. Loads—91, 364, 1455, and 5820 g
4.5.3.2.3 Test CThis experiment was carried out in different conditions than the previous
tests. The aim was not only to determine the behavior of diamond slurry
but also to establish the influence of lapping plate material on lapping
performance.
Two types of lapping plates were utilized, composite copper and com-
posite iron. The composite plates are made with a blend of powdered metal or
ceramic, combined in a resin system. They are used in industrial applications
because the special formulation of powders and resins allows composite
plate to take a more uniform charge of diamond, compared to pure
metal plates. The composite material efficiently and rapidly removes stock,
while producing high-quality surface finish and flatness with diamond super-
abrasives.
The diamond slurry, grain sizes 1, 3, and 15 mm, was monocrystalline in
water-based formulation and oil-based formulation. The average initial
roughness for hexoloy silicon carbide parts was Ra¼ 0.057 mm and for
hardened steel W-1 parts Ra¼ 0.65 mm.
Constant parameters for this set of experiments were:
. Flow rate—0.7 ml/min
. Diamond type—monocrystalline
Parameters varied during the tests were:
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 187 23.10.2006 6:29pm
Lapping of Brittle Materials 187
. Carrier type—water-based and oil-based slurry
. Grain size—1, 3, and 15 mm
. Lapping plate material—composite copper and composite iron
. Rotation of the lapping plate—30 and 60 rpm
. Load—3 and 5 psi. 3 psi (4941 g for hexoloy parts and 2130 g for W-1 parts). 5 psi (8239 g for hexoloy parts and 3551 g for W-1 parts)
. Lapping time—1, 3, 6, 11, 21, 36, and 66 min
4.6 EXPERIMENTAL RESULTS AND DISCUSSIONMARIANA PRUTEANU
This section is separated into three sections presenting the results for tests
A, B, and C.
4.6.1 TEST A
A significant component of these lapping experiments is the evaluation of the
performance of monocrystalline versus polycrystalline diamond slurry. The
test was also planned to determine the effect of the machining time, load and
rotation of the plate on the surface roughness, and MRR.
The results for surface finish and material removal are presented in
Table 4.10 and Table 4.11. Each value for Ra and MRR is the average of three
measurements taken on each of the three parts lapped at a time. Figure 4.57 and
Figure 4.58 illustrate the effect of load and time on surface roughness for
different rotations of the lapping plate for both types of diamond.
Figure 4.59 and Figure 4.60 illustrate the influence of time and rotation of
the plate and Figure 4.61 and Figure 4.62 exemplify the effect of load and
rotation of the plate on surface roughness. The roughness average values
represented on the graphs were recorded in micrometers. The variables
considered—time, load, and rotation of the lapping plate (lapping speed)—
were displayed in minutes, grams, and rotations per minute, respectively.
Results for both types of diamond used show that surface finish improves
with time for all rotations of the lapping plate considered. As it can be seen in
the above figures, the surface roughness is decreasing more rapidly with the
time at the beginning of machining. Within the first 30 min the surface finish
was brought down from about 0.716 mm to about 0.2 mm. After approxi-
mately 30 min, the surface roughness does not decrease significantly; con-
tinuing the lapping process after this period of time will not notably improve
the surface finish. Concluding, a 30-min lapping cycle is long enough to
obtain the best surface finish with the tested grit size (0.25 mm diamond grain
size). Also, the surface roughness decreases faster in time when higher loads
are applied for both monocrystalline and polycrystalline diamond slurries.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 188 23.10.2006 6:29pm
188 Handbook of Lapping and Polishing
Regarding the influence of load and lapping speed on surface finish, one
can say that roughness improves at higher speeds and lower loads when
monocrystalline slurry is employed and it improves at both higher speeds
and loads when polycrystalline slurry is utilized.
TABLE 4.10Results for Monocrystalline Diamond (Test A)
Load (g) Lap Speed (rpm)
Elapsed Time
(min)
Roughness
(Ra) (mm)
MRR
(mm3/min)
380 3 5 0.629 0.0677
15 0.553 0.0474
30 0.523 0.0135
60 0.449 0.0089
6 5 0.625 0.0677
15 0.627 0.0613
30 0.559 0.0361
60 0.478 0.0248
9 5 0.448 0.0677
15 0.402 0.0270
30 0.395 0.0180
60 0.362 0.0089
750 3 5 0.523 0.0541
15 0.422 0.0406
30 0.388 0.0225
60 0.320 0.0135
6 5 0.552 0.0670
15 0.415 0.0270
30 0.354 0.0361
60 0.320 0.0135
9 5 0.496 0.1084
15 0.434 0.0406
30 0.374 0.0180
60 0.328 0.0135
1200 3 5 0.530 0.0813
15 0.424 0.0406
30 0.326 0.0185
60 0.270 0.0203
6 5 0.505 0.1220
15 0.358 0.0406
30 0.316 0.0361
60 0.278 0.0225
9 5 1.142 0.1491
15 0.807 0.0406
30 0.663 0.0225
60 0.755 0.0112
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 189 23.10.2006 6:29pm
Lapping of Brittle Materials 189
According to the data represented in the above graphs, load is the param-
eter that plays one of the most important roles in obtaining the final surface
finish. The graphs show that at lower load (380 g) the polycrystalline diamond
produces a slightly better surface finish than the monocrystalline diamond
TABLE 4.11Results for Polycrystalline Diamond (Test A)
Load (g) Lap Speed (rpm)
Elapsed Time
(min)
Roughness
(Ra) (mm)
MRR
(mm3/min)
380 3 5 0.570 0.0813
15 0.528 0.0406
30 0.463 0.0146
60 0.371 0.0068
6 5 0.505 0.0670
15 0.453 0.0338
30 0.445 0.0135
60 0.422 0.0067
9 5 0.496 0.0677
15 0.422 0.0338
30 0.382 0.0180
60 0.371 0.0067
750 3 5 0.537 0.0813
15 0.447 0.0203
30 0.386 0.0316
60 0.710 0.0089
6 5 0.513 0.1220
15 0.418 0.0270
30 0.344 0.0180
60 0.311 0.0112
9 5 0.526 0.0813
15 0.464 0.0338
30 0.369 0.0225
60 0.329 0.0135
1200 3 5 0.540 0.0813
15 0.463 0.0406
30 0.332 0.0270
60 0.286 0.0157
6 5 0.505 0.0677
15 0.395 0.0338
30 0.361 0.0225
60 0.313 0.0225
9 5 0.464 0.1220
15 0.370 0.0474
30 0.341 0.0225
60 0.305 0.0157
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 190 23.10.2006 6:29pm
190 Handbook of Lapping and Polishing
(an average of 0.37 mm for polycrystalline as compared to 0.45 mm for
monocrystalline diamond) whereas at higher load (1200 g) the latter
behaves a little bit better from the roughness point of view (0.27 mm as
compared to 0.31 mm). The rotation of the lapping plate, in the range
considered for this test—3, 6, and 9 rpm—has no substantial influence on
the surface roughness.
Roughness Ra, n = 3 (rpm), mono
Roughness Ra, n = 6 (rpm), mono
Ra (µm)
Time (min) Load (g)
0.6−0.8
0.8
0.6
0.4
0.2
0
05
1530
60
Ra (µm)
Time (min)
0.8
0.6
0.4
0.2
0
05
1530
60
1200750
380
Load (g)1200750
380
0.4−0.6
0.2−0.4
0−0.2
0.6−0.8
0.4−0.6
0.2−0.4
0−0.2
Roughness Ra, n = 9 (rpm), mono
Ra (µm)
Time (min)
0.8
0.6
0.4
0.2
0
0 515
3060 Load (g)1200
750380
0.6−0.8
0.4−0.6
0.2−0.4
0−0.2
FIGURE 4.57 Influence of load and time on surface roughness Ra for monocrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 191 23.10.2006 6:29pm
Lapping of Brittle Materials 191
Roughness Ra, n = 3 (rpm), poly
Roughness Ra, n = 6 (rpm), poly
Roughness Ra, n = 9 (rpm), poly
0.8
0.6
Ra (µm)
Ra (µm)
Time (min) Load (g)
Load (g)
0.4
0.2
0
0.8
0.6
0.4
0.2
0
Ra (µm)
0.8
0.6
0.4
0.2
0
0 515
3060
Time (min)
0 515
3060
Time (min)
0 515
3060
1200750
380
1200750
380
Load (g)1200
750380
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
FIGURE 4.58 Influence of load and time on surface roughness Ra for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 192 23.10.2006 6:29pm
192 Handbook of Lapping and Polishing
Roughness Ra, L = 380 (g), mono
Roughness Ra, L = 750 (g), mono
Roughness Ra, L = 1200 (g), mono
0.8
0.6
0.4Ra (µm)
Time (min)
0.2
0
0.8
0.6
0.4Ra (µm)
0.2
0
0.8
0.6
0.4Ra (µm)
0.2
0
05
1530
60
Time (min)
05
1530
60
Time (min)
05
1530
60
96
3
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
n (rpm)
96
3
n (rpm)
96
3
n (rpm)
FIGURE 4.59 Influence of time and speed on surface roughness Ra for monocrystal-
line diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 193 23.10.2006 6:29pm
Lapping of Brittle Materials 193
Roughness Ra, L = 380 (g), poly
Roughness Ra, L = 750 (g), poly
Roughness Ra, L = 1200 (g), poly
0.8
0.6
0.4
Time (min)
Ra (µm)
n (rpm)
0.2
0
0.8
0.6
0.4Ra (µm)
0.2
0
0.8
0.6
0.4Ra (µm)
0.2
0
05
1530
60
Time (min)
05
1530
60
Time (min)
05
1530
60
96
3
n (rpm)96
3
n (rpm)96
3
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
0.6–0.8
0.4–0.6
0.2–0.4
0–0.2
FIGURE 4.60 Influence of time and speed on surface roughness Ra for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 194 23.10.2006 6:29pm
194 Handbook of Lapping and Polishing
Figure 4.63 through Figure 4.68 illustrate MRR, which was calculated
from workpiece thickness change. The above mentioned figures depict the
influence of time, load, and lapping speed on material removal for both
monocrystalline and polycrystalline diamond slurries. During the lapping
operation, material removal is accomplished less aggressively (smaller
MRRs) than when traditional machining processes are used.
MRR in the case of brittle materials is either based on brittle fracture or
plastic deformation. Although the dominant tendency is for fracture of the
ceramic material, it is agreed that a plastic material-removal mechanism can
exist under certain conditions. The more brittle fracture, the rougher the
finish. Plastic deformation mechanism generates better surface finish.
MRRs decrease in time when using monocrystalline diamond as well as
when using polycrystalline diamond slurry. This material-removal-descending
trend is more accentuated in the first 15 min of lapping aluminum oxide
12009
6
n (rpm)
Ra (µm)
Roughness Ra, t = 5 min, mono
Load (g)
3
750380
0
0.2
0.4
0.6
0.80.6−0.8
0.4−0.6
0.2−0.4
0−0.2
12009
6
n (rpm)
Ra (µm)
Roughness Ra, t = 30 min, mono
Load (g)
3
750380
0
0.2
0.4
0.6
0.8
0.6−0.8
0.4−0.6
0.2−0.4
0−0.2
12009
6
n (rpm)
Ra (µm)
Roughness Ra, t = 60 min, mono
Load (g)
3
750380
0
0.2
0.4
0.6
0.8
0.6−0.8
0.4−0.6
0.2−0.4
0−0.2
12009
6
n (rpm)
Ra (µm)
Roughness Ra, t = 15 min, mono
Load (g)
3
750380
0
0.2
0.4
0.6
0.8
0.6−0.8
0.4−0.6
0.2−0.4
0−0.2
FIGURE 4.61 Influence of load and speed on surface roughness Ra for monocrystal-
line diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 195 23.10.2006 6:29pm
Lapping of Brittle Materials 195
workpieces. This tendency is observed for higher loads and higher lapping
speeds (rotations of the lapping plate). After 15 min of lapping, the removal rate
tends to reach a plateau, meaning that the loads and also the speeds do not
further affect much the process from this point of view.
The stock removal rate is higher with the increase in the lapping pressure
and this phenomenon is more noticeable at the beginning of machining
for both types of diamond used. It is considered that the mechanism of
material-removal process changes from plastic deformation to brittle fracture
with an increase in load. Many plastically deformed grooves were observed
when lower load was used, whereas the brittle fracture became noticeable
at higher loads. When time increases, the amount of material removed reduces
and this could be explained by the fact that many diamond grains become
blunt during machining; they lose their sharp edges and corners. Therefore,
the abrading capacity of the diamond grains diminishes in time and the
removal mechanism changes from brittle fracture to plastic deformation.
12009
6n (rpm)
Ra (µm)
Roughness Ra, t = 5 min, poly
Load (g)
3
750
3800
0.2
0.4
0.6
0.80.6−0.8
0.4−0.6
0.2−0.4
0−0.2
1200 9
6n (rpm)
Ra (µm)
Roughness Ra, t = 30 min, poly
Load (g)
3
750380
0
0.2
0.4
0.6
0.80.6−0.8
0.4−0.6
0.2−0.4
0−0.2
12009
6n (rpm)
Ra (µm)
Roughness Ra, t = 60 min, poly
Load (g)
3
750380
0
0.2
0.4
0.6
0.8
0.6−0.8
0.4−0.6
0.2−0.4
0−0.2
12009
6n (rpm)
Ra (µm)
Roughness Ra, t = 15 min, poly
Load (g)
3
750380
0
0.2
0.4
0.6
0.80.6−0.8
0.4−0.6
0.2−0.4
0−0.2
FIGURE 4.62 Influence of load and speed on surface roughness Ra for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 196 23.10.2006 6:29pm
196 Handbook of Lapping and Polishing
120060
MRR(mm3/min)
Time (min)
Material removal, n = 3 (rpm), mono
Load (g)
750380
30
0
155
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
120060
MRR(mm3/min)
Time (min)
Material removal, n = 6 (rpm), mono
Load (g)
750380
30
0
155
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
120060
MRR(mm3/min)
Time (min)
Material removal, n = 9 (rpm), mono
Load (g)
750380
30
0
155
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
FIGURE 4.63 Influence of time and load on material removal for monocrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 197 23.10.2006 6:29pm
Lapping of Brittle Materials 197
120060
MRR(mm3/min)
Time (min)
Material removal, n = 3 (rpm), poly
Load (g)
750380
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
120060
MRR(mm3/min)
Time (min)
Material removal, n = 6 (rpm), poly
Load (g)
750380
30
0
155
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
120060
MRR(mm3/min)
Time (min)
Material removal, n = 9 (rpm), poly
Load (g)
750380
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
FIGURE 4.64 Influence of time and load on material removal for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 198 23.10.2006 6:29pm
198 Handbook of Lapping and Polishing
960
MRR(mm3/min)
Time (min)
Material removal, L = 380 (g), mono
n (rpm)6
3
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
960
MRR(mm3/min)
Time (min)
Material removal, L = 750 (g), mono
n (rpm)6
3
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
960
MRR(mm3/min)
Time (min)
Material removal, L = 1200 (g), mono
n (rpm)6
3
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
FIGURE 4.65 Influence of time and speed on material removal for monocrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 199 23.10.2006 6:29pm
Lapping of Brittle Materials 199
960
MRR(mm3/min)
Time (min)
Material removal, L = 380 (g), poly
n (rpm)6
3
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
960
MRR(mm3/min)
Time (min)
Material removal, L = 750 (g), poly
n (rpm)6
3
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
960
MRR(mm3/min)
Time (min)
Material removal, L = 1200 (g), poly
n (rpm)6
3
30
0
155
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
FIGURE 4.66 Influence of time and speed on material removal for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 200 23.10.2006 6:29pm
200 Handbook of Lapping and Polishing
MRR decreases when rotation of the lapping plate increases at smaller
loads. If higher weights are utilized, stock removal rate becomes higher when
rotation of the lapping plate increases.
Figure 4.69a is a micrograph of the monocrystalline diamond powder. The
shape of many grains is blocky with sharp edges and corners and this is
different from the shape of polycrystalline diamond powder (Figure 4.69b,
smoother edges without large flat surfaces).
The differences between the aspects of monocrystalline and polycrystal-
line diamond grains lead to different behavior while machining: it can clearly
be seen from Figure 4.63 and Figure 4.64 that material removal is higher for
monocrystalline diamond than for polycrystalline diamond grains. This could
be due to the breakage of polycrystalline grains into much smaller particles
than those resulted after the breakage of monocrystalline diamond. After
91200
MR
R (
mm
3 /m
in)
Load (g)
Material removal, t = 5 min, mono
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
91200
MR
R (
mm
3 /m
in)
Load (g)
Material removal, t = 30 min, mono
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
91200
MR
R (
mm
3 /m
in)
Load (g)
Material removal, t = 60 min, mono
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.16 0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
91200
MR
R (
mm
3 /m
in)
Load (g)
Material removal, t = 15 min, mono
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
FIGURE 4.67 Influence of load and speed on material removal for monocrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 201 23.10.2006 6:29pm
Lapping of Brittle Materials 201
91200
MR
R (
mm
3 /min
)
Load (g)
Material removal, t = 5 min, poly
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
91200
MR
R (
mm
3 /min
)
Load (g)
Material removal, t = 30 min, poly
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.16
0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
91200
MR
R (
mm
3 /min
)
Load (g)
Material removal, t = 60 min, poly
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.16
0.12−0.16
0.08−0.12
0.04−0.08
0−0.04
91200
MR
R (
mm
3 /min
)
Load (g)
Material removal, t = 15 min, poly
n (rpm)6
3
750
0
380
0.04
0.08
0.12
0.160.12−0.16
0.08−0.12
0.04−0.08
0−0.04
FIGURE 4.68 Influence of load and speed on material removal for polycrystalline
diamond slurry.
(a) (b)
FIGURE 4.69 SEM micrograph of diamond grains: (a) monocrystalline and (b)
polycrystalline. (Courtesy of Warren Diamond Powder Company.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 202 23.10.2006 6:29pm
202 Handbook of Lapping and Polishing
lapping, the number of larger grains is bigger for monocrystalline than for
polycrystalline diamond. The different behavior of monocrystalline and poly-
crystalline diamond under the same load was investigated for diamond slurry
of 25 mm size. The particle size distribution was measured before and after
lapping under identical conditions. Figure 4.70 and Figure 4.71 prove the
theoretical assumption that polycrystalline diamond is more friable than
monocrystalline and breaks into smaller particles. This is beneficial for
surface finishes since the abrasive grains resulting after breakage are smaller
in size and have sharper corners and edges than the original grain. On the
other hand, smaller grains cannot remove as much material as bigger ones.
Therefore, the blocky structure of monocrystalline diamond, being respon-
sible for its resistance to breakage, leads to higher removal rates and worse
%PASS %PASS100.0
90.0
80.0
70.0
60.0
50.0
40.0
30.0
20.0
10.0
0.0
100.0
90.0
80.0
70.0
60.0
50.0
40.0
30.0
20.0
10.0
0.00.100 1.000
(a) (b)10.00 100.0 0.100 1.000 10.00 100.0
FIGURE 4.70 Grain size distribution for 25 mm monocrystalline diamond: (a) before
lapping and (b) after lapping. (Courtesy of Warren Diamond Powder Company.)
%PASS
100.0
90.0
80.0
70.0
60.0
50.0
40.0
30.0
20.0
10.0
0.00.100 1.000 10.00
(a) (b)
100.0 0.100 1.000 10.00 100.0
%PASS
100.0
90.0
80.0
70.0
60.0
50.0
40.0
30.0
20.0
10.0
0.0
FIGURE 4.71 Grain size distribution for 25 mm polycrystalline diamond: (a) before
lapping and (b) after lapping. (Courtesy of Warren Diamond Powder Company.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 203 23.10.2006 6:29pm
Lapping of Brittle Materials 203
finish under high loads. This can explain why the MRR for the polycrystalline
grains is lower than that for the monocrystalline diamond grains.
4.6.2 TEST B
This set of lapping experiments was performed on workpieces made of
hardened steel W-1 (62–64 HRC). The goal was to compare the behavior of
mono-versus polycrystalline diamond slurry using different grain sizes and
study the fracture of the diamond grains.
Table 4.12 and Table 4.13 present the experimental results for test B and
Figure 4.72 through Figure 4.79 are graphical representations of the data.
TABLE 4.12Results for Monocrystalline Diamond (Test B)
Grain Size (mm) Load (g)
Elapsed Time
(min)
Roughness
(Ra) (mm)
MRR
(mm3/min)
0.75 91 5 0.268 0.1465
15 0.218 0.0628
30 0.189 0.0209
364 5 0.233 0.1046
15 0.191 0.0523
30 0.188 0.2443
1455 5 0.241 0.1884
15 0.187 0.1046
5820 30 0.162 0.1256
5 0.148 0.7958
15 0.104 0.4083
30 0.104 0.1186
5 0.231 0.2093
1.5 91 15 0.214 0.0523
30 0.203 0.0209
364 5 0.200 0.1465
15 0.159 0.0942
30 0.153 0.0837
1455 5 0.242 0.1046
15 0.181 0.0628
30 0.150 0.0488
5820 5 0.215 0.2094
15 0.102 0.2406
30 0.088 0.1325
3 91 5 0.410 0.0837
15 0.196 0.0628
30 0.0233 0.0348
364 5 0.503 0.3141
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 204 23.10.2006 6:29pm
204 Handbook of Lapping and Polishing
TABLE 4.12 (continued)
Grain Size (mm) Load (g)
Elapsed Time
(min)
Roughness
(Ra) (mm)
MRR
(mm3/min)
15 0.433 0.0732
30 0.401 0.0278
1455 5 0.335 0.6701
15 0.183 0.1570
30 0.077 0.0418
5820 5 0.171 0.5863
15 0.053 0.2722
30 0.069 0.0628
6 91 5 0.226 0.7120
15 0.103 0.2827
30 0.075 0.1465
364 5 0.312 0.3979
15 0.155 0.1465
30 0.082 0.0628
1455 5 0.233 0.3141
15 0.079 0.1570
30 0.066 0.2024
5820 5 0.061 1.1728
15 0.052 0.5130
30 0.159 0.4956
TABLE 4.13Results for Polycrystalline Diamond (Test B)
Grain Size (mm) Load (g)
Elapsed Time
(min)
Roughness
(Ra) (mm)
MRR
(mm3/min)
0.75 91 5 0.503 0.2512
15 0.432 0.0628
30 0.378 0.0418
364 5 0.418 0.2303
15 0.274 0.0314
30 0.202 0.0209
1455 5 0.168 0.5025
15 0.090 0.1256
30 0.084 0.0837
5 0.149 0.0837
5820 15 0.106 0.0628
continued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 205 23.10.2006 6:29pm
Lapping of Brittle Materials 205
TABLE 4.13 (continued)
Grain Size (mm) Load (g)
Elapsed Time
(min)
Roughness
(Ra (mm)
MRR
(mm3/min)
30 0.098 1.0052
1.5 91 5 0.264 0.3141
15 0.196 0.0732
30 0.171 0.0348
364 5 0.333 0.0837
15 0.310 0.0523
30 0.281 0.0278
1455 5 0.203 0.2722
15 0.134 0.1151
30 0.154 0.0418
5820 5 0.138 0.2512
15 0.112 0.1361
30 0.089 0.0557
3 91 5 0.547 1.2498
15 0.449 0.1675
30 0.328 0.0907
364 5 0.540 0.2093
15 0.416 0.0314
30 0.272 0.0348
1455 5 0.431 0.2512
15 0.437 0.0523
30 0.409 0.0348
5820 5 0.150 0.3560
15 0.048 0.0732
30 0.088 0.0418
6 91 5 0.409 0.1046
15 0.348 0.1047
30 0.279 0.0627
364 5 0.200 0.5654
15 0.173 0.1256
30 0.078 0.0627
1455 5 0.162 0.6073
15 0.097 0.3141
30 0.084 0.1605
5820 5 0.119 1.1518
15 0.086 0.6492
30 0.074 0.5863
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 206 23.10.2006 6:29pm
206 Handbook of Lapping and Polishing
From Figure 4.72 and Figure 4.73, it can be seen that surface roughness is
more influenced by time than load for all diamond grain sizes used and for
both monocrystalline and polycrystalline diamond slurries. As time increases,
surface roughness is decreasing and this tendency is more accentuated at the
beginning of machining. Surface finish improves significantly in the first 15
min of lapping from about 0.6 mm to values below 0.1 mm. No significant
improvement in surface finish is obtained with longer lapping times: longer
lapping times did not improve the surface of the workpiece. It can be
concluded that lapping for 15–20 min using these grain sizes (0.75, 1.5, 3,
and 6 mm) will considerably reduce the surface roughness.
Comparing the behavior of monocrystalline diamond versus polycrystal-
line diamond it can be concluded that, from surface roughness point of view,
monocrystalline diamond generates comparable surface finish as polycrystal-
line diamond.
15
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 0.75 µm,mono
Time(min)
500
0.2
0.4
0.60.4−0.6
0.2−0.4
0−0.2
3015
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 1.5 µm,mono
Time(min)
500
0.2
0.4
0.60.4−0.6
0.2−0.4
0−0.2
30
15
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 0.3 µm, mono
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
3015
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 6 µm, mono
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
30
FIGURE 4.72 Influence of load and time on surface roughness Ra for monocrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 207 23.10.2006 6:29pm
Lapping of Brittle Materials 207
This is due to different behavior of polycrystalline function of the grit
size. Larger grits have a higher tendency to break under the same load than
smaller ones. It seems that for the tested grit sizes, the load was not big
enough to break neither monocrystalline nor polycrystalline structure. There-
fore, both monocrystalline and polycrystalline structures behaved similarly
from Ra point of view, the surface finish being influenced only by the grit size
in the sense that smaller grains generate better finish.
The load applied also influences the surface roughness. As load increases,
surface finish improves for monocrystalline as well as for polycrystalline
diamond.
The influence of grain size and time is depicted by Figure 4.74 and Figure
4.75. As mentioned before, surface roughness gets better in time and this
process is faster at the beginning of lapping. Regarding the influence of grain
15
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 0.75 µm,poly
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
3015
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 1.5 µm,poly
Time(min)
500
0.2
0.4
0.60.4−0.6
0.2−0.4
0−0.2
30
15
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 0.3 µm,poly
Time(min)
500
0.2
0.4
0.6 0.4-0.6
0.2-0.4
0-0.2
3015
582014
5536491
Load (g)
Ra (µm)
Roughness Ra, grain = 6 µm,poly
Time(min)
500
0.2
0.4
0.60.4-0.6
0.2-0.4
0-0.2
30
FIGURE 4.73 Influence of load and time on surface roughness for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 208 23.10.2006 6:29pm
208 Handbook of Lapping and Polishing
sizes, they did not behave as assumed. Usually, the surface roughness
improves with a decrease in abrasive size, if ideal conditions exist. In this
test, better surface roughness was obtained for 0.75, 1.5, and 6 mm when
monocrystalline diamond was used and surface roughness was improved for
1.5 and 6 mm when polycrystalline diamond was used.
Generally, lapping with 3 mm grain size did worsen the surface roughness
especially at smaller loads, 91 and 364 g, and at the beginning of machining for
monocrystalline diamond. When higher loads were applied, this tendency was
not so obvious. While lapping with polycrystalline diamond slurry, the same
behavior was noticed for all loads except the heaviest one, 5820 g. Material
removal is graphically illustrated in Figure 4.76 through Figure 4.79. Figure
4.76 and Figure 4.77 show the influence of load and time on stock removal for
monocrystalline and polycrystalline diamonds. As a general tendency, MRR
decreases in time for both types of diamond. This trend is less visible for 0.75
15
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, Load = 91 g,mono
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
30
15
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, Load = 1455 g,mono
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
3015
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, Load = 5820 g,mono
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
30
15
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, Load = 364 g,mono
Time(min)
500
0.2
0.4
0.60.4−0.6
0.2−0.4
0−0.2
30
FIGURE 4.74 Influence of grain size and time on surface roughness Ra for mono-
crystalline diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 209 23.10.2006 6:29pm
Lapping of Brittle Materials 209
mm grain size but is very observable for all the other grain sizes when mono-
crystalline diamond is used. The behavior of polycrystalline diamond in time is
the same: MRR diminishes in time. Regarding the influence of load on MRR, it
can be said that MRR increases with increasing load. With increasing load, the
bed thickness (defined as the distance between the workpiece and the lapping
plate) decreases. Bed thickness depends on the particle size and on the particle
degradation behavior. Two effects are probably involved. Firstly, at higher
loads more particles fracture during abrasion, this means that they remain
sharp. Secondly, at higher loads the penetration depth of the abrasive grains
increases.
Figure 4.78 and Figure 4.79 represent the influence of grain size and time
on MRR. As discussed earlier, MRR decreases in time for both monocrystal-
line and polycrystalline diamonds and is higher for larger grain sizes.
15
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, load = 91 g,poly
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
30
15
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, load = 1455 g,poly
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
30
156
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, load = 5820 g,poly
Time(min)
500
0.2
0.4
0.60.4−0.6
0.2−0.4
0−0.2
30
15
6
31.5
0.75
Grain size (µm)
Ra (µm)
Roughness Ra, load = 364 g,poly
Time(min)
500
0.2
0.4
0.6 0.4−0.6
0.2−0.4
0−0.2
30
FIGURE 4.75 Influence of grain size and time on surface roughness Ra for polycrys-
talline diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 210 23.10.2006 6:29pm
210 Handbook of Lapping and Polishing
4.6.3 SUMMARY OF TEST A AND TEST B
As a general conclusion, one can say that the polycrystalline diamond is
generating better surface finish than monocrystalline diamond. This is due
to its greater friability resulting from the manufacturing process. This leads to
the recommendation to use polycrystalline diamond for good surface finish
and monocrystalline diamond for high MRR. The friability of polycrystalline
diamond is directly dependent on the load applied and, therefore, load is a
lapping parameter that can be used to control the behavior of the diamond
grains according to the primary goal of the operation.
Both surface roughness and MRR decrease in time and this trend is more
noticeable at the beginning of machining. A lapping cycle of approximately
20–30 min is long enough to obtain very good surface finish and have a high
15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 0.75 µm,mono
Time(min)
50
0.2
0.4
0.6
0.80.4−0.6
0.6−0.8
0.2−0.4
0−0.2
305820
1455364
91
15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 3 µm,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
305820
1455364
91 15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 6 µm,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
305820
1455364
91
15
Load (g)M
RR
(m
m3 /
min
)
Material removal, grain = 1.5 µm,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
305820
1455364
91
FIGURE 4.76 Influence of load and time on material removal for monocrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 211 23.10.2006 6:29pm
Lapping of Brittle Materials 211
MRR for workpieces made of aluminum oxide and hardened steel W-1. The
rotation of the lapping plate (lapping speed) does not influence much the
surface roughness when the range considered (3, 6, and 9 rpm) is small.
Regarding the influence of grain size on the output parameters of lapping
process, it can be said that they did not behave as expected. The performance
of small grain sizes is according to the theory: the smaller the grain size, the
smaller the surface roughness. Bigger grain sizes showed unpredictable
behavior: in the case of 6 mm grain size the surface finish noticeably improved.
4.6.4 TEST C
Using the design of experiment (DOE) method is a typical approach
to identify characteristics of any complex process by experiment. The lapping
experiments are efficiently designed and performed by using the DOE con-
cept. The DOE can be considered as a tool for screening unimportant
15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 0.75 µm,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4-0.6
0.6-0.8
0.2-0.4
0-0.2
305820
1455364
91
15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 3 µm,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4-0.6
0.6-0.8
0.2-0.4
0-0.2
305820
1455364
91 15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 6 µm,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4-0.6
0.6-0.8
0.2-0.4
0-0.2
305820
1455364
91
15
Load (g)
MR
R (
mm
3 /m
in)
Material removal, grain = 1.5 µm,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4-0.6
0.6-0.8
0.2-0.4
0-0.2
305820
1455364
91
FIGURE 4.77 Influence of load and time on material removal for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 212 23.10.2006 6:29pm
212 Handbook of Lapping and Polishing
variables and thus reducing time and labor. The number of experiments to be
performed, if full factorial experiments are chosen, is more than 2000, which
consumes a lot of time, workpiece materials, diamond slurry, etc. Therefore,
fractional factorial experimental method was used in order to reduce the
number of experiments to 324.
In order to find out what variables have an effect on the process perform-
ance, Yates computing method of sum of squares (ss) for ANOVA in factorial
designs was used. Yates suggested a product design method that uses signal-
to-noise ratio (S/N ratio). Percentage improvement of surface roughness
before and after lapping is taken as one response variable whereas the
percentage of change in MRR is taken as second evaluation. Here the lapping
performances of both composite copper and composite iron lapping plates are
individually studied and compared to each other with the help of this statistic
approach.
15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 91 g,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75
15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 1455 g,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75 15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 5820 g,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75
15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 364 g,mono
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75
FIGURE 4.78 Influence of grain size and time on material removal for monocrystal-
line diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 213 23.10.2006 6:29pm
Lapping of Brittle Materials 213
The main factors that are considered important in performing experiments
are workpiece material, lapping speed, and pressure applied. The other factors
like the grain size, the type of carrier, and the type of lapping plate material
are regarded as the blocked parameters.
4.6.4.1 Fractional Factorial Experiment
In Table 4.14 the input parameters, the blocked parameters, and the output
parameters with their respective type, levels, and values are presented. In
order to obtain a one-half fraction of the 23 design having the highest possible
resolution, which is 2III 3–1¼ 22, the runs were partitioned into two different
blocks with the highest-order interaction ABC confounded (L¼ x1 þ x2 þ x3).
I¼ABC as generator was employed because it will output a design having the
highest resolution (III). It resulted in a 23–1 III experiment having 3 factors, 4
runs, and 3 replicates. The confounding is presented in Table 4.15.
15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 91 g,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75
15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 1455 g,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75 15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 5820 g,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75
15
Grain size (µm)
MR
R (
mm
3 /m
in)
Material removal, load = 364 g,poly
Time(min)
50
0.2
0.4
0.6
0.8
0.4−0.6
0.6−0.8
0.2−0.4
0−0.2
306
31.5
0.75
FIGURE 4.79 Influence of grain size and time on material removal for polycrystalline
diamond slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 214 23.10.2006 6:29pm
214 Handbook of Lapping and Polishing
The set of aliases and their determination procedure are presented below:
I ¼ ABC
A ¼ A I ¼ A ABC ¼ A2BC ¼ BC
B ¼ B I ¼ B ABC ¼ AB2C ¼ AC
C ¼ C I ¼ C ABC ¼ ABC2 ¼ AB
TABLE 4.14Treatment Combination
Treatment Combination L
(1) 0
a 1
b 1
ab 0
c 1
ac 0
bc 0
abc 1
TABLE 4.15The Experimental Factors and Their Level
Parameter Notation Levels Values Type Obs.
Input Parameters
Experiments e 21 84 4 within
each block
Replicates r 3 1 2 3 Qual.
Piece mat. a 2 W1 SiC Qual.
Load b 2 3 5 Quantit. [psi]
Speed c 2 30 60 Quantit. [rpm]
Blocked Parameters
Slurry type p 2 WB OB Qual.
Grain size q 3 1 3 15 Quantit. [microns]
Plate mat. s 2 Iron Cooper Qual.
Time t 7 1 2 3 5 10 15 30 Quantit. [minutes]
Output Parameters
Surface finish Ra Quantit. [mm]
Material
removal rate
MRR Quantit. [cu.in./min]
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 215 23.10.2006 6:29pm
Lapping of Brittle Materials 215
The 23–1 design is based on 22 basic designs and the third factor C given by
the product of the first two factors: C¼AB. The new design is synthesized in
Table 4.16.
Within each four-run experiment, the sequence of experiments was com-
pletely randomized as presented in Table 4.17 and in Table 4.18. They were
21 one-half-fraction-23-design experiments completed for different values of
blocked (fixed) parameters.
The experimental values of surface roughness Ra and MRR for both
copper plate and iron plate are shown in Appendix A. From the experimental
values, graphs can be drawn for the different combination of speeds, pres-
sures, and type of carrier for all grain sizes and for both lapping plates. A
MATLAB program, shown in Appendix B, was written to compute the values
of Ra and MRR separately for all the sets and the graphs drawn were analyzed
individually. The effect of lapping speed, pressure, and the type of workpiece
material was studied and compared for both lapping plates. Using Yates
algorithm and ANOVA, the effect of the main factors is studied on the overall
lapping performance.
Table 4.19 to Table 4.30 depict the steps of ANOVA analysis for both
copper and iron lapping plates and for both surface roughness Ra and MRR.
(Table 4.19 to 4.21 illustrates ANOVA calculations for Ra when copper plate
was used, Table 4.22 to 4.24 for Ra iron plate, Table 4.25 to 4.27 for MRR
copper plate, and Table 4.28 to 4.30 for MRR iron plate.) From the tables,
the values y1–y7, are percentage improvements of Ra and MRR, respectively,
and y(%) is the averaged value of 7. S/N Ratio is Taguchi’s response statistic.
The use of log-type response variables in this analysis is to reduce the inequal-
ity of variance between treatments and thus satisfy the basic assumption,
equal variance.
The percentage improvement of surface roughness is the main response
variable and, therefore, S/N ratios are calculated for the case of ‘‘Bigger is
Better’’ and the equations used are as follows:
Yi ¼ (DRa=Ra) 100
TABLE 4.1623–1 Design
Factors
Treatment CombinationA B C¼AB
þ c
þ a
þ b
þ þ þ abc
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 216 23.10.2006 6:29pm
216 Handbook of Lapping and Polishing
TABLE 4.17Randomized Sequences of Experiments for Copper Plate
Material W1 SiC W1 SiC
Load 3 5
Copper plate 1 mm WB Lapping Speed 60 30 30 60
1 4 3 2 1
2 2 1 4 3
3 4 2 3 1
5 3 2 1 4
10 1 4 2 3
15 3 4 1 2
30 2 1 4 3
1 1 4 3 2
2 1 2 3 4
3 1 3 2 4
OB 5 1 2 3 4
10 1 3 2 4
15 2 4 1 3
30 1 2 4 3
1 4 1 3 2
2 2 3 1 4
3 2 4 3 1
3 mm WB 5 4 3 1 2
10 1 4 2 3
15 3 4 1 2
30 2 1 4 3
1 4 3 1 2
2 4 3 1 2
3 1 3 2 4
OB 5 2 4 1 3
10 4 3 2 1
15 4 3 1 2
30 2 3 4 1
1 1 3 2 4
2 4 1 3 2
3 3 2 1 4
15 mm WB 5 4 2 3 1
10 3 1 2 4
15 2 3 4 1
30 3 1 2 4
1 3 1 4 2
2 3 4 1 2
3 1 3 2 4
OB 5 1 4 2 3
10 4 3 2 1
15 4 1 3 2
30 4 2 1 3
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 217 23.10.2006 6:29pm
Lapping of Brittle Materials 217
TABLE 4.18Randomized Sequences of Experiments for Iron Plate
Material W1 SiC W1 SiC
Load 3 5
Lapping Speed 60 30 30 60
Iron plate 1 mm WB 1 1 2 4 3
2 1 4 3 2
3 3 1 4 2
5 3 1 2 4
10 1 4 3 2
OB 15 3 1 2 4
30 3 2 1 4
1 2 4 1 3
2 4 1 3 2
2 4 1 3 2
3 3 2 4 1
3 mm WB 5 1 4 2 3
10 2 1 3 4
15 4 2 1 3
30 1 3 2 4
1 3 4 2 1
2 2 4 3 1
3 1 2 4 3
OB 5 2 4 1 3
10 1 2 4 3
15 3 4 1 2
30 4 1 3 2
1 4 2 1 3
2 1 2 4 3
3 4 2 1 3
15 mm WB 5 4 3 2 1
10 1 4 2 3
15 2 1 4 3
30 2 3 4 1
1 2 4 3 1
2 2 1 4 3
3 2 1 4 3
OB 5 4 3 2 1
10 3 4 2 1
15 3 4 1 2
30 1 4 2 3
1 2 3 1 4
2 1 4 3 2
3 3 1 2 4
5 2 1 3 4
10 3 1 2 4
15 1 4 3 2
30 3 4 2 1
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 218 23.10.2006 6:29pm
218 Handbook of Lapping and Polishing
TA
BLE
4.1
9R
a–
Copper
Pla
te(E
xper
imen
tal
Res
ult
)
tcy1
y2y3
y4y5
y6y7
y(%
)S=
N
19
4.2
03
017
48
.04
70
59
2.8
98
55
07
9.7
01
49
25
13
.84
29
75
21
8.1
53
47
72
15
.01
30
55
27
.40
85
18
16
.55
06
57
a2
9.1
37
691
3.4
73
34
41
11
.04
60
25
2.7
28
12
79
3.0
94
77
75
63
4.0
91
81
64
18
.41
83
14
10
.28
43
12
.49
92
34
b9
4.2
74
85
58
.79
60
85
.39
07
135
2.4
07
04
92
1.9
93
70
40
92
5.4
60
38
54
7.5
87
76
92
5.1
30
07
91
1.3
76
14
c5
ab
11
2.3
05
44
7.4
13
36
2.0
05
73
07
1.8
72
65
92
5.4
38
93
12
98
1.0
09
08
17
4.4
95
50
45
24
.93
43
86
.410
81
08
c1
12
.30
54
47
.41
33
62
.00
57
307
1.8
72
65
92
5.4
38
93
12
98
1.0
09
08
17
4.4
95
50
45
24
.93
43
86
.410
81
08
b5
ac
94
.27
48
55
8.7
96
08
5.3
90
71
35
2.4
07
04
92
1.9
93
70
40
92
5.4
60
38
54
7.5
87
76
92
5.1
30
07
91
1.3
76
14
a5
bc
29
.13
76
91
3.4
73
34
41
11
.04
60
25
2.7
28
12
79
3.0
94
77
75
63
4.0
91
81
64
18
.41
83
14
10
.28
43
12
.49
92
34
(1)5
ab
c9
4.2
03
017
48
.04
70
59
2.8
98
55
07
9.7
01
49
25
13
.84
29
75
21
8.1
53
47
72
15
.01
30
55
27
.40
85
18
16
.55
06
57
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 219 23.10.2006 6:29pm
Lapping of Brittle Materials 219
TA
BLE
4.2
0R
a–
Copper
Pla
te(C
om
puti
ng
Pro
cedure
of
YA
TES
’A
lgori
thm
)
tcD
ata
(S=N
-rat
io)
Sum
of
Effe
cts
SSM
ean
Effe
ctI
IIII
I
11
6.5
50
657
29
.04
98
92
46
.83
68
42
93
.67
36
83
99
10
96.8
449
11
.70
92
1
a1
2.4
99
234
17
.78
69
54
6.8
36
842
00
0
b1
1.3
76
14
17
.78
69
5
9.0
16
75
21
00
0
c5
ab
6.4
10
81
08
29
.04
98
92
9.0
16
75
21
1
.827
81
10
60
.417
61
17
0
.456
95
28
c6
.410
81
08
4
.051
42
33
1
1.2
62
941
00
0
b5
ac
11
.37
61
4
4.9
65
32
88
11
.26
29
41
18
.03
35
04
22
40
.65
09
09
4.5
08
37
61
a5
bc
12
.49
92
34
4.9
65
32
88
0
.91
39
055
22
.52
58
82
23
63
.42
69
21
5.6
31
47
06
(1)5
ab
c1
6.5
50
657
4.0
51
42
33
0
.91
39
055
00
0
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 220 23.10.2006 6:29pm
220 Handbook of Lapping and Polishing
TA
BLE
4.2
1R
a–
Copper
Pla
te(A
NO
VA
Tab
leby
YA
TES
Alg
ori
thm
)
tcSS
%SS
TM
ean
Effe
ctM
easu
res
df
MS
FoF0
.05
F0.0
01
Sign
ific
ance
11
09
6.8
44
91
1.7
09
21
aver
age
a0
00
A1
00
b0
00
B1
00
c5
ab
0.4
17
61
17
0.3
99
64
58
0
.456
95
28
AB¼
C1
0.4
17
61
17
5.9
95
.99
35
.51
Sig
nif
ican
t
c0
00
C1
00
b5
ac
40
.65
09
09
38
.90
20
88
4.5
08
37
61
AC¼
B1
40
.65
09
09
58
4.0
48
48
5.9
93
5.5
1N
ot
a5
bc
63
.42
69
21
60
.69
82
66
5.6
31
47
06
BC¼
A1
63
.42
69
21
91
1.2
80
89
5.9
93
5.5
1N
ot
(1)5
ab
c0
00
AB
C1
00
Err
or
0.4
17
61
17
60
.069
60
19
To
tal
10
4.4
95
44
10
07
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 221 23.10.2006 6:29pm
Lapping of Brittle Materials 221
TA
BLE
4.2
2R
a–
Iron
Pla
te(E
xper
imen
tal
Res
ult
)
tcy1
y2y3
y4y5
y6y7
y(%
)S=
N
19
4.4
69
716
41
.20
61
89
4.9
67
77
66
2.1
47
49
93
7.8
83
33
81
46
8.3
69
90
61
4.6
65
89
52
4.8
15
76
13
.78
12
16
a4
7.9
16
667
2.5
06
56
48
1.0
52
88
93
1.5
54
07
08
2.3
10
05
40
96
4.1
90
57
12
5.7
79
74
49
9.3
30
08
02
6.0
20
63
45
b9
5.6
02
265
19
.92
68
74
12
.32
87
67
8.3
33
33
33
8.1
43
93
93
94
3.6
76
97
59
6.9
93
70
24
22
.14
36
94
17
.20
94
3
c5
ab
42
.35
59
08
5.2
43
18
03
5.0
49
29
07
6.0
26
84
22
3.2
33
62
97
49
0.3
13
23
41
2.1
73
34
38
9.1
99
34
7
1.8
03
34
39
c4
2.3
55
908
5.2
43
18
03
5.0
49
29
07
6.0
26
84
22
3.2
33
62
97
49
0.3
13
23
41
2.1
73
34
38
9.1
99
34
7
1.8
03
34
39
b5
ac
95
.60
22
65
19
.92
68
74
12
.32
87
67
8.3
33
33
33
8.1
43
93
93
94
3.6
76
97
59
6.9
93
70
24
22
.14
36
94
17
.20
94
3
a5
bc
47
.91
66
67
2.5
06
56
48
1.0
52
88
93
1.5
54
07
08
2.3
10
05
40
96
4.1
90
57
12
5.7
79
74
49
9.3
30
08
02
6.0
20
63
45
(1)5
ab
c9
4.4
69
716
41
.20
61
89
4.9
67
77
66
2.1
47
49
93
7.8
83
33
81
46
8.3
69
90
61
4.6
65
89
52
4.8
15
76
13
.78
12
16
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 222 23.10.2006 6:29pm
222 Handbook of Lapping and Polishing
TA
BLE
4.2
3R
a–
Iron
Pla
te(C
om
puti
ng
Pro
cedure
of
YA
TES
’A
lgori
thm
)
tcD
ata
(S=N
-rat
io)
Sum
of
Effe
cts
SSM
ean
Effe
ctI
IIII
I
11
3.7
81
216
19
.80
18
53
5.2
07
936
70
.41
58
72
18
61
9.7
99
38
8.8
01
98
4
a6
.02
06
345
15
.40
60
86
35
.20
79
36
00
0
b1
7.2
09
43
15
.40
60
86
2
6.7
73
355
00
0
c5
ab
1
.80
33
439
19
.80
18
52
6.7
73
355
2
2.5
04
383
92
63
.30
59
12
5
.626
09
6
c
1.8
03
34
39
7
.76
05
814
4
.39
57
647
00
0
b5
ac
17
.20
94
3
19
.01
27
73
4.3
95
76
47
53
.54
67
09
67
35
8.4
06
26
13
.38
66
77
a5
bc
6.0
20
63
45
19
.01
27
73
1
1.2
52
192
8.7
91
52
94
19
9.6
61
37
37
2.1
97
88
24
(1)5
ab
c1
3.7
81
216
7.7
60
58
14
1
1.2
52
192
00
0
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 223 23.10.2006 6:29pm
Lapping of Brittle Materials 223
TA
BLE
4.2
4R
a–
Iron
Pla
te(A
NO
VA
Tab
leby
YA
TES
Alg
ori
thm
)
tcSS
%SS
TM
ean
Effe
ctM
easu
res
df
MS
FoF0
.05
F0.0
01
Sign
ific
ance
1619.7
9938
8.8
01984
aver
age
a0
00
A1
00
b0
00
B1
00
c5
ab
63
.30
59
12
14
.67
54
27
5
.626
09
6A
B¼
C1
63
.30
59
12
39
.31
48
51
5.9
93
5.5
1N
ot
c0
00
C1
00
b5
ac
35
8.4
06
26
83
.08
48
96
13
.38
66
77
AC¼
B1
35
8.4
06
26
22
2.5
80
93
5.9
93
5.5
1N
ot
a5
bc
9.6
61
37
37
2.2
39
67
69
2.1
97
88
24
BC¼
A1
9.6
61
37
37
65
.99
35
.51
Sig
nif
ican
t
(1)5
ab
c0
00
AB
C1
00
Err
or
9.6
61
37
37
61
.610
22
89
Tota
l4
31
.37
355
7
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 224 23.10.2006 6:29pm
224 Handbook of Lapping and Polishing
TA
BLE
4.2
5M
RR
–C
opper
Pla
te(E
xper
imen
tal
Res
ult
)
tcy1
y2y3
y4y5
y6y7
y(%
)S=
N
12
5.9
82
801
0.4
70
26
28
26
.28
85
46
1.4
79
15
73
0.9
55
41
40
13
11
.52
96
28
11
.11
76
35
25
.98
28
01
2
3.9
86
48
14
2
a1
8.7
65
743
18
.06
29
22
.778
25
71
9.5
84
66
45
29
.72
12
40
68
31
.07
54
19
18
.33
13
74
18
.76
57
43
2
6.4
13
15
51
8
b6
.688
96
32
16
.42
77
18
8.8
49
17
82
7.9
35
382
0.3
64
49
79
04
14
.47
24
91
2.4
56
371
6.6
88
96
32
2
3.6
26
36
85
4
c5
ab
20
.83
94
71
3.0
20
516
5.2
69
59
02
13
.06
08
48
12
.53
28
93
44
8.6
07
99
28
12
.22
18
85
20
.83
94
7
22
.36
09
30
97
c2
0.8
39
47
13
.02
05
16
5.2
69
59
02
13
.06
08
48
12
.53
28
93
44
8.6
07
99
28
12
.22
18
85
20
.83
94
7
22
.36
09
30
97
b5
ac
6.6
88
96
32
16
.42
77
18
8.8
49
17
82
7.9
35
382
0.3
64
49
79
04
14
.47
24
91
2.4
56
371
6.6
88
96
32
2
3.6
26
36
85
4
a5
bc
18
.76
57
43
18
.06
29
22
.778
25
71
9.5
84
66
45
29
.72
12
40
68
31
.07
54
19
18
.33
13
74
18
.76
57
43
2
6.4
13
15
51
8
(1)5
ab
c2
5.9
82
801
0.4
70
26
28
26
.28
85
46
1.4
79
15
73
0.9
55
41
40
13
11
.52
96
28
11
.11
76
35
25
.98
28
01
2
3.9
86
48
14
2
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 225 23.10.2006 6:29pm
Lapping of Brittle Materials 225
TA
BLE
4.2
6M
RR
–C
opper
Pla
te(C
om
puti
ng
Pro
cedure
of
YA
TES
’A
lgori
thm
)
tcD
ata
(S=N
-rat
io)
Sum
of
Effe
cts
SSM
ean
Effe
ctI
IIII
I
1
23
.98
64
81
5
0.3
99
637
9
6.3
86
936
1
92
.77
387
22
46
45.2
20
7
24
.09
67
34
a
26
.41
31
55
4
5.9
87
3
96
.38
69
36
00
0
b
23
.62
63
69
4
5.9
87
3
1.1
61
23
62
00
0
c5
ab
2
2.3
60
931
5
0.3
99
637
1.1
61
23
62
7.3
84
22
26
68
6.8
15
84
31
1.8
46
05
57
c
22
.36
09
31
2
.426
67
38
4.4
12
33
71
00
0
b5
ac
2
3.6
26
369
1.2
65
43
76
4
.412
33
71
2.3
22
47
23
87
0.6
74
23
47
0.5
80
61
81
a5
bc
2
6.4
13
155
1
.265
43
76
3.6
92
11
13
8
.824
67
41
88
9.7
34
35
93
2
.206
16
85
(1)5
ab
c
23
.98
64
81
2.4
26
67
38
3.6
92
11
13
00
0
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 226 23.10.2006 6:29pm
226 Handbook of Lapping and Polishing
TA
BLE
4.2
7M
RR
–C
opper
Pla
te(A
NO
VA
Tab
leby
YA
TES
Alg
ori
thm
)
tcSS
%SS
TM
ean
Effe
ctM
easu
res
df
MS
FoF0
.05
F0.0
01
Sign
ific
ance
46
45
.22
07
2
4.0
96
73
4A
ver
age
1—
10
00
A1
00
a0
00
B1
00
b6
.815
84
31
39
.57
07
74
1.8
46
05
57
AB¼
C1
1.8
46
05
57
16
.42
80
08
5.9
93
5.5
1N
OT
c5
ab
00
0C
10
0
c0
.674
23
47
3.9
14
40
80
.58
06
181
AC¼
B1
0.5
80
61
81
5.1
66
90
75
5.9
93
5.5
1S
IG
b5
ac
9.7
34
35
93
56
.51
48
18
2
.20
61
685
BC¼
A1
2
.20
61
685
1
9.6
32
645
5.9
93
5.5
1S
IG
a5
bc
00
0A
BC
10
0
(1)5
ab
c4
64
5.2
207
2
4.0
96
73
4A
ver
age
1—
Err
or
0.6
74
23
47
60
.11
23
72
Tota
l1
7.2
24
437
7
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 227 23.10.2006 6:29pm
Lapping of Brittle Materials 227
TA
BLE
4.2
8M
RR
–Ir
on
Pla
te(E
xper
imen
tal
Res
ult
)
tcy1
y2y3
y4y5
y6y7
y(%
)S=
N
11
5.4
92
95
81
5.8
08
081
15
.81
28
37
0.7
69
55
96
16
.48
98
88
31
4.4
70
78
71
3.1
40
685
15
.49
29
58
2
3.0
88
79
19
4
a4
2.3
07
69
21
8.1
77
001
4.2
86
99
55
21
.90
40
25
10
.58
25
35
15
.43
10
34
18
.78
15
47
42
.30
76
92
2
6.9
44
02
72
7
b2
1.5
77
38
14
.996
83
74
12
.07
72
31
6.9
24
125
0.9
93
52
83
91
5.4
96
39
12
.01
09
15
21
.57
73
81
2
2.8
77
87
72
5
c5
ab
14
.14
60
23
52
.33
20
01
31
.01
72
46
18
.97
82
61
1.8
24
52
37
52
8.0
13
11
82
4.3
85
195
14
.14
60
23
2
9.2
50
58
92
7
c1
4.1
46
02
35
2.3
32
001
31
.01
72
46
18
.97
82
61
1.8
24
52
37
52
8.0
13
11
82
4.3
85
195
14
.14
60
23
2
9.2
50
58
92
7
b5
ac
21
.57
73
81
4.9
96
83
74
12
.07
72
31
6.9
24
125
0.9
93
52
83
91
5.4
96
39
12
.01
09
15
21
.57
73
81
2
2.8
77
87
72
5
a5
bc
42
.30
76
92
18
.17
70
01
4.2
86
99
55
21
.90
40
25
10
.58
25
35
15
.43
10
34
18
.78
15
47
42
.30
76
92
2
6.9
44
02
72
7
(1)5
ab
c1
5.4
92
95
81
5.8
08
081
15
.81
28
37
0.7
69
55
96
16
.48
98
88
31
4.4
70
78
71
3.1
40
685
15
.49
29
58
2
3.0
88
79
19
4
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 228 23.10.2006 6:29pm
228 Handbook of Lapping and Polishing
TA
BLE
4.2
9M
RR
–Ir
on
Pla
te(C
om
puti
ng
Pro
cedure
of
YA
TES
’A
lgori
thm
)
tcD
ata
(S=N
-Rat
io)
Sum
of
Effe
cts
SSM
ean
Effe
ctI
IIII
I
1
23
.08
87
92
5
0.0
32
81
9
10
2.1
61
29
2
04
.32
257
15
21
8.4
642
2
5.5
40
32
1
a
26
.94
40
27
5
2.1
28
46
7
10
2.1
61
29
00
0
b
22
.87
78
77
5
2.1
28
46
7
10
.22
79
47
00
0
c5
ab
2
9.2
50
58
9
50
.03
28
19
10
.22
79
47
5
.034
95
34
3.1
68
84
45
1
.258
73
83
c
29
.25
05
89
3
.855
23
53
2
.095
64
73
00
0
b5
ac
2
2.8
77
87
7
6.3
72
71
22
.095
64
73
20
.45
58
94
75
2.3
05
453
5.1
13
97
37
a5
bc
2
6.9
44
02
76
.372
71
2
2.5
17
47
67
4
.613
12
40
12
.66
01
141
1
.153
28
1
(1)5
ab
c
23
.08
87
92
3.8
55
23
53
2
.517
47
67
00
0
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 229 23.10.2006 6:29pm
Lapping of Brittle Materials 229
TA
BLE
4.3
0M
RR
–Ir
on
Pla
te(A
NO
VA
Tab
leby
YA
TES
Alg
ori
thm
)
tcSS
%SS
TM
ean
Effe
ctM
easu
res
df
MS
FoF0
.05
F0.0
01
Sign
ific
ance
15
21
8.4
642
2
5.5
40
321
Av
erag
e
a0
00
A1
00
b0
00
B1
00
c5
ab
3.1
68
84
45
5.4
50
89
28
1
.258
73
83
AB
1
1.2
58
73
83
2.8
39
13
76
5.9
93
5.5
1S
IG
c0
00
C1
00
b5
ac
52
.30
54
53
89
.97
33
08
5.1
13
97
37
AC¼
B1
5.1
13
97
37
11
.53
47
84
5.9
93
5.5
1N
ot
a5
bc
2.6
60
11
41
4.5
75
79
95
1
.153
28
1B
C¼
A1
1
.15
32
81
2.6
01
27
41
5.9
93
5.5
1S
IG
(1)5
ab
c0
00
10
0
Err
or
2.6
60
11
41
60
.44
33
524
Tota
l5
8.1
34
412
10
07
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 230 23.10.2006 6:29pm
230 Handbook of Lapping and Polishing
where DRa¼ (Ra before lappingRa after lapping) and represents improve-
ment of surface roughness.
y ¼ (y1 þ y2 þ y3 þ y4 þ y5 þ y6 þ y7)=7
s2 ¼ S(yiy)2=(n 1)
S=N ¼ 10 log (1=nS(1=y2i ))
The second table (computing procedure of Yates algorithm) shows the
method of Yates algorithm used to obtain the mean effect of each variable
and this is the result of Nelson’s BASIC program. The first column is
generated using the data (S/N) ratio column by the rule that the first four
entries are created by adding adjacent pairwise sets of data from the response
variable column, and the other four entries by subtracting adjacent pair-
wise sets. The second and the third columns are generated by applying
the same rule, column II from column I and column III from column II. The
SS and mean effects for each treatment are calculated from the following
equations:
SS ¼ (III)=8
Mean effect¼ (III)/4, for treatments (III)/8, for overall average.
Mean effects can also be computed by subtracting the mean value of four
experimental data at low level from those at high level. The table of ANOVA
is constructed using SS and mean effects as shown in the tables. The error
term is generated by the 5% pooling rule: the SS values of treatment com-
binations that are less than 5% of the total SS are pooled into error. The same
procedure is applied for surface roughness and MRR.
The following set of graphs (Figure 4.80 through Figure 4.82) shows the
normality of the data, the normality of the residuals, residuals versus expected
values, data versus workpiece material, load and speed, and the influence of
these three parameters on surface roughness. These nine graphs were drawn
only for one set of experiments but they illustrate the general trend of all sets
of experiments.
From the previous graphical representations of the data, it can be said that
the experiment was correctly conducted. The normal probability plot of data
and the normal probability plot of the residuals (Figure 4.80) show that there
is a reasonably linear pattern of the data. There are two points that can be
suspected as outliers but, since only the extremely located data are away from
the normal, one can conclude that the extreme values of roughness parameter
were measured with large errors. This might be due to workpiece material
pressure speed.
Figure 4.81 illustrates that the experiment was consistent and this can be
drawn as a general conclusion. Regarding the influence of the parameters on
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 231 23.10.2006 6:29pm
Lapping of Brittle Materials 231
surface roughness, taking into consideration all graphs for all sets of experi-
ments the following conclusions can be drawn:
. From the graphs drawn for the individual sets for both types of lapping
plates (copper and iron), it is observed that the data generally follow a
trend line.. Analyzing the copper plate from the surface roughness point of view, it
is observed that for all grain sizes used OB (oil-based) slurry was better
0.080.07
0.050.10
0.25
0.75
0.50
0.900.95
0.09Data Residuals
0.1 0.11
0.080.070.06 0.09 0.1 0.11
0.12 −0.01 0 0.01 0.02
−0.01
−0.02
0
0.01
0.02
Normal probability plot Normal probability plot
Pro
babi
lity
0.050.10
0.25
0.75
0.50
0.900.95
Pro
babi
lity
FIGURE 4.80 Normality of the data, normality of the residuals, and residuals versus
expected values.
1 2 3
Workpiece material
Pressure Speed
2
0
0.06
0.08
0.1
0.12
3 4 5 6 200.06
0.08
0.1
0.12
30 40 50 60 70
0.06
0.08
0.1
0.12
FIGURE 4.81 Data versus workpiece material, pressure and speed.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 232 23.10.2006 6:29pm
232 Handbook of Lapping and Polishing
for W-1 parts, 5 psi, and 60 rpm and WB (water-based) slurry was
better for SiC parts, 3 psi, and 30 rpm.. Investigating the iron plate from the surface roughness point of view,
the general tendency is: OB slurry better for W-1 parts, 5 psi, and 60
rpm and WB slurry is better for W-1 parts, 3 psi, and 30 rpm.. Studying the copper plate from the MRR point of view, it can be said
that WB slurry is better (higher MRR) for SiC parts, 5 psi, and 60 rpm
and OB is best for W-1 parts, 5 psi, and 30 rpm.. Evaluating the iron plate from the MRR point of view, it is evident that
WB slurry is good for SiC parts, 5 psi, and 60 rpm and OB slurry is best
for W-1 parts, 5 psi, and 60 rpm.
From the ANOVA analysis (Table 4.19 to Table 4.30) the following general
conclusions can be drawn:
– When lapping using iron lapping plate, the workpiece material is the
most significant factor of the process from the surface roughness point
of view. In the ranges considered, pressure and speed do not influence
the lapping performance.
– When lapping with copper plate, speed is the most significant factor
that influences the surface roughness. The other two factors do not
contribute much in improving the surface roughness.
20.084
0.086
0.088
0.09
0.092
3 4
Pressure
5 600.07
0.08
0.09
0.1
0.11
0.12
1
Workpiece material
2 3
200.082
0.084
0.086
0.088
0.09
0.082
0.094
30 40 50 60 70
Speed
FIGURE 4.82 Influence of workpiece material, pressure, and speed on surface rough-
ness Ra.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 233 23.10.2006 6:29pm
Lapping of Brittle Materials 233
– From the MRR point of view, workpiece material is the most significant
factor for both lapping plates used; the other two factors (pressure and
speed) are not significant. In order to have good conclusions for these
parameters one needs to extend their range of values.
Mean values of the S/N ratio for the mean effect of the three variables are
shown in the following pictures. Figure 4.83 and Figure 4.84 illustrate the
combination of optimal factors required to obtain a larger percentage.
Figure 4.83 shows the optimal combination of factors when a larger
percentage of MRR is required:
. ABþCþfor copper plate meaning W-1 parts, 5 psi, and 60 rpm
. ABC for iron plate meaning W-1 parts, 3 psi, and 30 rpm
Figure 4.84 illustrates the optimal combination of factors for improving
surface roughness: ABC for both materials of lapping plates meaning
W-1 parts, 3 psi, and 30 rpm.
A− A+–29.00A
vera
ge S
/N v
alue
(dB
)
Ave
rage
S/N
val
ue (
dB)
Response graph for S/N value(MRR—copper plate)
Response graph for S/N value(MRR—iron plate)
–28.00
–27.00
–26.00
–25.00
–24.00
–23.00
–22.00
–29.00
–28.00–27.00
–26.00
–25.00
–24.00–23.00
–22.00
B − B+
Level LevelC − C+
A− A+ B − B+ C − C+
FIGURE 4.83 Optimal combination of factors (MRR).
A−0.00A
vera
ge S
/N v
alue
(dB
)
Ave
rage
S/N
val
ue (
dB)
Response graph for S/N value(Ra—copper plate)
Response graph for S/N value(Ra—iron plate)
5.00
10.00
15.00
20.00
0.00
5.00
10.00
15.00
20.00
A+ B −
Level LevelB+ C − C+ A− A+ B − B+ C − C+
FIGURE 4.84 Optimal combination of factors (Ra).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 234 23.10.2006 6:29pm
234 Handbook of Lapping and Polishing
In conclusion, the material of the workpiece is the most significant factor
when lapping with iron lapping plate for both surface roughness and MRR.
When lapping with copper lapping plate, surface roughness is more influ-
enced by pressure and material of the part whereas MRR is more influenced
by pressure and speed. However, in order to have more conclusions on how
copper lapping plate behaves, one should take into consideration expanding
the range for both pressure and speed.
4.6.5 MODELING OF LAPPING PROCESS
The typical procedure after some experiments had been carried out is to
develop a model able to illustrate the phenomena that take place in the
machining area and the influence of the input parameters on the output
ones. Such a mathematical correlation allows conducting the process accord-
ing to a desired goal, which for lapping could be a better surface finish and a
lower MRR.
Finding the correlation between the lapping input parameters and the
outcome of the process could reveal the proper adjustment of the process
parameters that has to be done in order to achieve the best results. The model
is supposed to determine the way in which each process parameter influences
the process and to what degree. This kind of conclusion would help to know
which of the input parameters should be slightly changed in order to achieve
the maximum effect on the outcome of the process.
Basically, the mathematical modeling is helpful in optimizing the process
parameters. Based on the lapping experiments (those in which diamond grain
size was 0.25 mm), a model was developed. It consists of formulae showing
the influence of the input lapping parameters (load, rotation of the lapping
plate, and lapping time) on the output ones (surface roughness and MRR). In
order to find the relationships between the input and output parameters a
program, which finds the regression function that best approximates the
experimental results, was used. It determines the regression function, among
five types of multiple variable functions (Table 4.31), which best approxi-
mates the experimental data.
TABLE 4.31Types of Regression Functions Tried for Approximating the Experimental
Data
Y ¼ a0 þ a1x1 þ a2x2 þ . . .þ anxn Linear dependencyY ¼ a0 xa1
1 xa22 . . . xan
n Power function
Y ¼ a0 ax11 ax2
2 . . . axnn Exponential function
Y ¼ a0 þ a1=x1 þ . . . þ an=xn Hyperbolic function
Y ¼ a0 þ a1x1 þ a2x21 þ . . .þ a2n1xn þ a2nx2
n Second degree polynomial function
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 235 23.10.2006 6:29pm
Lapping of Brittle Materials 235
The functions taken into consideration are the most common ones covering
the basic trends that can be associated to the influence of a process parameter
on the process outcome: monotone, asymptotic, or with an extreme (maximum
or minimum). All of them can be made linear with a proper change of variable
and therefore can be used in a linear regression analysis.
In order to run the program one needs to input the values for the process
independent variables (L, n, t) on one hand and the values of the measured
dependent variables (Ra, MRR) on the other. The program computes the coeffi-
cients for all five types of functions on the basis of the least-square method
and selects, as the best approximation, the function that has the minimum
global root-mean-squared residual errors, given by the following relationship:
e ¼
ffiffiffiffiffiffiffiffiffiffiPn1
e2i
N
vuuut¼
ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiPni¼1
(yi yci)2
N
vuuut(4:18)
where ei is called a residual and describes the error in the fit of the model at
the i-th data point, yi the measured values of the dependent parameters (Ra,
MRR) for the independent parameters taken into account (load, rotation of the
lapping plate, lapping time), yci the computed value of the function obtained
through the least-square method corresponding to the same values of the
independent parameters and N, the number of data points.
By running the program all five types of regression functions were deter-
mined (Table 4.32) for each output parameter (Ra and MRR) for each type of
diamond slurry (monocrystalline and polycrystalline) and the one which has
the smallest global root-mean-squared residual errors was selected as being the
best fit of the experimental data (highlighted rows). The differences among
the values of the global root-mean-square error of the surface fitting for the two
output parameters can be explained by the order of magnitude of the range in
which the output parameters take values: MRR¼ 0.122 . . . 0.00688 mm3/min
and Ra¼ 0.686 . . . 0.238 mm.
The determined regression functions that show the influence of the lap-
ping input parameters on the output parameters (MRR and Ra) and can be
considered as a model for the lapping process are as follows:
(a) For monocrystalline diamond slurry
Ra ¼ 0:7411 3:4460 Lþ 1:3227 107 L2 þ 3:6288 102
n3:6221 103 n2 2:2882 102 tþ 4:4071 104
t [mm] (4:19)
MRR ¼ 0:0937 L0:1985 n0:0755 t0:9962 [mm3=min] (4:20)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 236 23.10.2006 6:29pm
236 Handbook of Lapping and Polishing
(b) For polycrystalline diamond slurry
Ra ¼ 0:7187 1:9849 104 Lþ 9:1309 108 4:4833 104
n 3:5245 104 n2 2:1464 102 tþ 4:0929 104
t2 [mm] (4:21)
MRR ¼ 3:9290 102 L0:3719 n0:0498 t1:1389[mm3=min] (4:22)
where L is the load (g), n the rotation of the lapping plate (rpm), and t the
lapping time (min).
Taking into account that the determined functions are depending on mul-
tiple variables and the global root-mean-squared residual errors are the values
that show the approximation level of experimental data for the entire studied
ranges of variation of all independent parameters; it seems reasonable to find
the intervals in which the approximation is best and which is the magnitude of
the errors.
Figure 4.85 to Figure 4.88 illustrate graphically the errors in each of the
experimental data point for the regression functions determined for surface
roughness and material removal. The fitting error of the regression function is
shown next to each data point. The values for the fitting errors were computed
using the following formula:
yci yi
yi100 [%] (4:23)
TABLE 4.32Selection of the Regression Function as Models of the Process
Output Type of RegressionRoot-Mean-Squared Residual Errors, e
Parameters Function Monocrystalline Polycrystalline
Surface Roughness linear 4.2710-3 2.6210-3
second degree
polynomial
2.1110-3 1.0110-3
power 5.2310-3 3.1410-3
exponential 4.0610-3 2.2410-3
hyperbolic 6.4910-3 4.2310-3
Material Removal linear 5.1810-4 4.3110-4
second degree
polynomial
2.4810-4 1.4310-4
power 2.3610-4 1.2710-4
exponential 4.2910-4 3.2910-4
hyperbolic 2.5910-4 1.3810-4
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 237 23.10.2006 6:29pm
Lapping of Brittle Materials 237
0
0.2
0.3
0.4
0.5
0.6
Load = 1200 g
Load = 750 g
Load = 380 g
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
0.7
0
0.2
0.3
0.4
0.5
0.6
0.7
0.2
0.3
0.4
0.5
0.6
0.7
5 10 15 20 25 30 35
0 5 10 15 20 25 30 35
5 10
Ra,
(µm
)R
a, (
µm)
Ra,
(µm
)
15 20
Time (min)
Time (min)
Time (min)
25 30 35
13.075.369.85 –4.26
–1.8712.27
–5.81–9.297.60
–11.75–5.105.94
–7.40–10.70–2.96
1.46–6.38–7.24
1.34–1.98–1.82 6.13
10.77–6.55
0.3413.10–7.42
7.3710.8226.26
–0.15–0.95–4.34
–7.36–0.58–9.69
–3.38
4.3910.71
0.7414.367.46
13.54–9.20
12.80
FIGURE 4.85 Diagrams of the fit errors of the regression function for surface
roughness (monocrystalline diamond slurry).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 238 23.10.2006 6:29pm
238 Handbook of Lapping and Polishing
L = 380 g0.15
1.54–3.74–6.94
–25.6628.5715.02
0.1
0.05
MR
R (m
m3 /
min
)M
RR
(mm
3 /m
in)
MR
R (
mm
3 /m
in)
0
0
0.15
0.1 –23.64
–20.81–19.69
–6.77
–19.986.44
5.180.08
–3.02
0.05
00
0.15
0.1
0.05
0
0 10 20Time (min)
30
10Time (min)
20 30
10Time (min)
L = 750 g
L = 1200 g
20 30
26.7222.10–8.05
11.4725.60
–34.67
5.80–12.25
–2.34 12.927.51
–13.48
–3.01–3.344.20
–8.77
–12.36–8.97 –4.65
–14.49–25.34
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
FIGURE 4.86 Diagrams of the fit errors of the regression function for material
removal rate (monocrystalline diamond slurry).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 239 23.10.2006 6:29pm
Lapping of Brittle Materials 239
0
0.2
0
0.7
–6.30
0.6
0.5
0.4
0.3
0.2
0 5 10 15 20 25 30 35
5 10 15 20
Time (min)
Time (min)
Load = 1200 g
25 30 35
0.3
0.4
0.5
0.6
0.7
0.2
0.3
0.4Ra
(µm
)R
a (µ
m)
Ra
(µm
)
0.5
0.6
0.7
1.46
–13.37–6.10
Load = 380 g
5Time (min)
Load = 750 g
10 15 20 25 30 35
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
1.855.27
7.76 –2.64
7.736.21
–9.41
3.186.68
–8.83
3.15–7.58
9.74–2.152.35 –3.23
–0.82–6.54 –0.89
–3.38–10.57
0.22
–2.779.29
4.32
6.20–4.84
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
–5.47
–1.073.96
1.23–11.79–9.22
7.079.66
13.751.602.51
16.065.97
–0.36
FIGURE 4.87 Diagrams of the fit errors of the regression function for surface
roughness (polycrystalline diamond slurry).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 240 23.10.2006 6:29pm
240 Handbook of Lapping and Polishing
L = 380 g
L = 750 g
L = 1200 g
0
0
0
0
00
0.05
0.1
0.15
10
10
10
20
20
20Time (min)
Time (min)
Time (min)
30
30
30
0.05
0.05
0.1
0.1
17.03
4.1912.36–5.34
12.23
–14.10–23.5812.51
–3.750.446.12 1.68
15.4513.72
15.110.18
13.39
1.05–10.52 –3.18
7.72–10.00
–13.706.46
20.82
6.55–1.08
3.6315.8914.17 –18.53
16.67–1.55
–15.56–5.64
–20.18
0.15
0.15
MR
R (
mm
3 /m
in)
MR
R (
mm
3 /m
in)
MR
R (
mm
3 /m
in)
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.
n = 6 rpm experim.n = 9 rpm experim.
n = 3 rpm modeln = 6 rpm modeln = 9 rpm modeln = 3 rpm experim.n = 6 rpm experim.n = 9 rpm experim.
FIGURE 4.88 Diagrams of the fit errors of the regression function for material
removal rate (polycrystalline diamond slurry).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 241 23.10.2006 6:29pm
Lapping of Brittle Materials 241
where yi are the measured values of the dependent parameters (Ra and MRR)
for the independent parameters taken into consideration (L, n, t) and yci the
computed value of the function obtained through the least-square method
corresponding to the same values of the independent parameters. The fitting
errors (Equation 4.23) were calculated as a percentage of the approximation
of the regression functions (4.19, 4.20, 4.21, and 4.22) with respect to the
measured values of the output parameters.
As a general conclusion after studying the mathematical model of the
lapping process it can be said that the best fitting for both surface roughness
and MRR was in the case of polycrystalline diamond slurry. The range of
fitting errors for Ra is 15.16% to 26.26% (monocrystalline diamond slurry)
and 13.37% to 9.74% (polycrystalline diamond).
The range of fitting errors for MRR is 25.66% to 28.57% (monocrystal-
line diamond slurry) and 18.53% to 20.81% (polycrystalline diamond).
4.6.6 CONCLUSIONS OF THE CASE STUDY
The research presented in this chapter was focused on the study of lapping
parameters using monocrystalline and polycrystalline diamond slurries, parts
made of ceramic materials as well as hardened steel W-1, different grain sizes
for diamond slurry, and different input parameters. The experiments involved
changing the values for load, lapping speed (rotation of the lapping plate),
lapping time, and diamond slurry carrier in order to study their influence on
lapping performance. The following output parameters were taken into con-
sideration: surface roughness Ra and MRR. To accomplish this, the lapping
process was methodically studied and correspondingly a lapping set-up was
built for experimental purposes.
The experiments were divided into three parts, the first two aiming to
evaluate mainly the influence of diamond type on the lapping output param-
eters, and the third one to examine also the influence of the material of the
lapping plate and the influence of the carrier on the lapping operation. The
results from the first experiment (test A) were used for developing a model
needed to study the degree of influence of each input parameter on the output
lapping parameters that were considered.
From all the experiments done in lapping and from modeling the process,
the following conclusions can be drawn:
1. Surface roughness is decreasing more rapidly at the beginning of
machining for all tests carried out. An approximately 30 min lapping
cycle is long enough to obtain the best surface finish.
2. Surface finish improves to some extent with time for all rotations of the
lapping plate considered.
3. Surface roughness decreases faster in time when higher loads are
applied for both monocrystalline and polycrystalline diamond slurries.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 242 23.10.2006 6:29pm
242 Handbook of Lapping and Polishing
4. Regarding the influence of load and lapping speed on surface finish it
can be concluded that roughness improves at higher speeds and lower
loads when monocrystalline slurry is used and it improves at both
higher speeds and loads when polycrystalline slurry is utilized.
5. Load is the parameter that plays one of the most important roles in
obtaining the final surface characteristics. This was concluded from
all lapping tests performed.
6. At lower load (380 g) the polycrystalline diamond produces a slightly
better surface finish than the monocrystalline diamond while at higher
load (1200 g), the latter behaves a little bit better from the surface
roughness point of view (test A).
7. Rotation of the lapping plate, in the range considered for test A—3, 6,
and 9 rpm—has no substantial influence on the surface roughness.
8. MRR decreases in time for both mono-and polycrystalline diamond
slurries. This trend is more accentuated in the first 15–20 min of
lapping.
9. Stock removal rate is slightly higher with the increase in the lapping
pressure and this phenomenon is more noticeable at the beginning of
machining for both types of diamond used.
10. MRR is higher for monocrystalline diamond slurry than for polycrys-
talline diamond slurry.
11. Lapping with 3 mm grain size did worsen the surface roughness
especially at smaller loads, 91 and 364 g, and at the beginning of
machining for monocrystalline diamond. When higher loads were
applied this tendency was not so obvious (test B).
12. MRR increases with increasing load and is higher for larger diamond
grain sizes.
13. When lapping using iron lapping plate, the workpiece material is the
most significant factor of the process from the surface roughness
point of view. In the ranges considered, pressure and speed do not
influence the lapping performance (ANOVA).
14. When lapping with copper plate, speed is the most significant factor
that influences the surface roughness. The other two factors do not
contribute much in improving the surface roughness (ANOVA).
15. From the MRR point of view, workpiece material is the most signifi-
cant factor for both lapping plates used; the other two factors (pres-
sure and speed) are not significant. In order to have good conclusions
for these parameters one needs to extend their range of values
(ANOVA).
16. Optimal combination of factors when a larger percentage of MRR is
required is ABþCþ for copper plate (meaning W-1 parts, 5 psi, and
60 rpm) and AB–C– for iron plate (meaning W-1 parts, 3 psi, and 30
rpm).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 243 23.10.2006 6:29pm
Lapping of Brittle Materials 243
17. Optimal combination of factors for improving surface roughness is
A–B–C– for both materials of lapping plates meaning W-1 parts, 3
psi, and 30 rpm.
18. Mathematical correlation showing the influence of load, lapping
speed, and lapping time on the lapping output parameters—surface
roughness Ra and MRR—was developed by regression analysis
applied to the experimental data. Surface roughness is modeled by a
second-order polynomial function whereas material removal is mod-
eled by a power function.
19. For both surface roughness and material removal the best fitting was
in the case of polycrystalline diamond slurry.
20. Range of fitting errors for Ra is 15.16% to 26.26% (monocrystalline
diamond slurry) and 13.37% to 9.74% (polycrystalline diamond).
21. Range of fitting errors for MRR is 25.66% to 28.57% (monocrystal-
line diamond slurry) and 18.53% to 20.81% (polycrystalline dia-
mond).
22. Future work to be done in lapping, continuing the idea of this research
work, should expand the range of values for pressure and speed and
also choose more materials for workpieces in order to have more
information about the influence of these parameters on lapping per-
formances. Higher diamond grit sizes (higher than 6 mm) should be
chosen with the purpose of having a better understanding of the
influence of grain size on lapping surface finish.
REFERENCES
1. Golini, D. and Jacobs, S.D. (1991). Physics of loose abrasive microgrinding. Appl.Opt., 30(19):2761–2777.
2. Barsoum, M. (1997). Fundamentals of Ceramics. McGraw-Hill, New York.
3. The Materials Information Society (2000). Engineered Materials Handbook. Vol.4: Ceramics and Glasses. ASM International, The Materials Information Society.
4. Sreejith, P.S. and Ngoi, B.K.A. (2001). Material removal mechanisms in precision
machining of new materials. Int. J. Mach. Tools Manuf., 41:1831–1843.
5. Richerson, D.W. (1992). Modern Ceramic Engineering: Properties, Processing,and Use in Design. Marcel Dekker, New York.
6. Lucca, D.A., Brinksmeier, E., and Goch, G. (1998). Progress in assessing surface
and subsurface integrity. Ann. CIRP, 47(2):669–687.
7. Stachowiak, G.B. and Stachowiak, G.W. (2001). The effects of particle charac-
teristics on three-body abrasive wear. Wear, 249:201–207.
8. Inamura, T. (1998). Computer simulation of microcutting. Int. J. Jpn. Soc. Prec.Eng., 32(4):239–245.
9. Fang, L., Zhou, Q., and Li, Q. (1998). An experimental simulation of cutting wear
in three-body abrasion. Wear, 219:188–194.
10. Stolarski, T.A. (1999). Mechano-chemical wear of ceramics. J. Mater. Sci.,34:3609–3622.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 244 23.10.2006 6:29pm
244 Handbook of Lapping and Polishing
11. Blau, P.J. (1997). Fifty years of research on the wear of metals. Tribol. Int.,30(5):321–331.
12. Grogoroudis, K. and Stephenson, D.J. (1997). Modelling low stress abrasive wear.
Wear, 213:103–111.
13. Beckmann, G., Dierich, P., Gellrich, R., Gotzmann, J., and Pietschmann, F.
(1996). Modelling of severe wear: A survey of the contributions of the Technical
University of Zittau to tribology. Tribol. Int., 29(3):215–220.
14. Trezona, R.I., Allsopp, D.N., and Hutchings, I.M. (1999). Transitions between
two-body and three-body abrasive wear: Influence of the test conditions in the
microscale abrasive wear test. Wear, 225–229:205–214.
15. Heisel, U. and Avroutine, J. (1999). Process analysis for the evaluation of the
surface formation and removal rate in lapping. Ann. CIRP, 48(2):229–234.
16. Verspui, M.A., With, D. de, van der Varst, P.G.T.h., Buijs, M. (1995). Bed thickness
and particle size distribution in three-body abrasion. Wear, 188:102–1070.
17. Bifano, T.G., Dow, T.A., and Scattergood, R.O. (1991). Ductile regime grinding.
A new technology for machining brittle materials. J. Eng. Ind., 113:184–189.
18. Williams, J.A. and Hyncica, A.M. (1992). Abrasive wear in lubricated contacts. J.Phys. D Appl. Phys., 25:A81–A90.
19. Ishikawa, K., Suwabe, H., Uneda, M., and Kuratam, N. (1999). Study on evalu-
ation of ID-blade slicing characteristics utilizing elliptical vibration. J. Soc. Grind.Eng., 65(11):1605–1610.
20. Ishikawa, K., Suwabe, H., and Uneda, M. (1999). Study on vibration OD-blade
slicing with air–mist working fluid for environment—Effect of applied vibration
amplitude on slicing characteristics. Proceedings of the American Society forPrecision Engineering 1999 Annual Meeting, 20:489–492.
21. Ishikawa, K., Suwabe, H., Kitajima, A., and Uneda, M. (2001). A basic study on
slurry actions and slicing characteristics of multi-wire saw. Proceedings of theAmerican Society for Precision Engineering 2001 Annual Meeting, 25:477–480.
22. Ishikawa, K., Suwabe, H., Nokura, K., Take, Y., and Uneda, M. (2002). A basic
study on processing characteristics of OD-blade saw using ultrasonic vibration.
Proceedings of the American Society for Precision Engineering 2002 AnnualMeeting, 27:501–504.
23. Jin, M., Ogasawara, K., and Murakawa, M. (1998). Basic study on step vibration
cutting. Trans. NAMRI=SME, 26:147–152.
24. Ishikawa, K., Suwabe, H., Nishide, T., and Uneda, M. (1998). A study on
combined vibration drilling by ultrasonic and low-frequency vibrations for hard
and brittle materials. J. Am. Soc. Prec. Eng., 22(4):196–205.
25. Brinksmeier, E., Preuss, W., and Schmuetz, J. (1998). Manufacture of microstruc-
tures by ultrasonic lapping. Proceedings of the American Society for PrecisionEngineering 1998 Annual Meeting, 18:169–172.
26. Ishikawa, K. (1989). Applied Vibration Engineering. Press of Kanazawa Institute
of Technology, pp. 153–164.
27. Ishikawa, K., Suwabe, H., Ichikawa, K., and Moriya, N. (1993). High efficiency
correcting process of lapping plate using correcting carrier electrodeposited dia-
mond grains. Proceedings of ABTEC93, pp. 305–308.
28. Mizuno, M. and Iyama, T. (2000). Lapping of small-sized mold with ultra-sonic
conveyor wave. Adv. Abrasive Technol., 3:359–366.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 245 23.10.2006 6:29pm
Lapping of Brittle Materials 245
29. Ohmori, H. and Nakagawa, T. (1990). Mirror surface grinding of silicon wafers
with electrolytic in-process dressing. Ann. CIRP, 39(1):329–332.
30. Itoh, N. and Ohmori, H. (1996). Grinding characteristics of hard and brittle materials
by fine grain lapping wheels with ELID. J. Mater. Process. Technol., 62:315–320.
31. Itoh, M., et al. (2002). Study on efficient grinding of sapphier using ELID method.
Progr. Machin. Technol., 328–333..
32. Itoh, N. and Ohmori, H. (1998). Study of precision machining with metal resin
bond wheel on ELID-lap grinding. Int. J. Electr. Machin., 3:13–18.
33. Itoh, N. and Ohmori, H. (1995). Grinding characteristics of metal-resin bonded
wheel with ELID for hard and brittle materials. Proceedings of the SecondInternational Abrasive Technology Conference, pp. 471–476.
34. Barylski, A. (1985). Contamination of graphite with abrasive during lapping of
cast iron. Stud. Mater. Gorz. Osr. Bad Ekspertyz Nauk, Ref. T.IV. 1–2:303–306.
BIBLIOGRAPHY
Benabdallah, H.S. and Bones, R.J. (1999). Tribological behaviour and acoustic emis-
sions of alumina, silicon nitride and SAE52100 under dry sliding. J. Mater.Sci., 34:4995–5004.
Blau, P.J. (2001). The significance and use of the friction coefficient. Tribol. Int.,34:585–591.
Buijs, M. and Korpel-van Houten, K. (1993). A Model for Lapping of Glass. Chapman
& Hall, London, pp. 3014–3020.
Buijs, M. and Korpel-van Houten, K. (1993). Three-body abrasion of brittle materials
as studied by lapping. Wear, 166:237–245.
Chand, N. and Neogi, S. (1998). Mechanism of material removal during three-body
abrasion of FRP composite. Tribol. Lett., 4:81–85.
Chand, N., Naik, A., and Neogi, S. (2000). Three-body abrasion wear of short glass
fibre polyester composite. Wear, 242:38–46.
Chandra, A., Wang, K., Huang, Y., Subhash, G., Miller, M.H., and Qu, W. (2000).
Role of unloading in machining of brittle materials. J. Manuf. Sci. Eng.,
122:452–462.
Chang, Yu-En. (1995). Monitoring and characterization of grinding and lapping
processes, PhD thesis, University of California, Berkeley, p. 174.
Chang, Y.P., Hashimura, M., and Dornfeld, D.A. (2000). An investigation of material
removal mechanisms in lapping with grain size transition. J. Manuf. Sci. Eng.,
122:413–419.
Chauhan, R., Ahn, Y., Chandrasekar, S., and Farris, T.N. (1993). Role of indentation
fracture in free abrasive machining of ceramics. Wear, 162–164:246–257.
Chen, C., Sakai, S., and Inasaki, I. (1991). Lapping of advanced ceramics. Mater.Manuf. Proc., 6(2):211–226.
Desa, O. and Bahadur, S. (1999). Material removal and subsurface damage studies in
dry and lubricated single-point scratch tests on alumina and silicon nitride.
Wear, 225–229:1264–1275.
Dogan, C.P. and Hawk, J.A. (1995). Effect of grain boundary glass composition and
devitrification on the abrasive wear of Al2O3. Wear, 181–183:129–137.
Dogan, C.P. and Hawk, J.A. (1999). Role of composition and microstructure in the
abrasive wear of high-alumina ceramics. Wear, 225–229:1050–1058.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 246 23.10.2006 6:29pm
246 Handbook of Lapping and Polishing
Dukino, R.D. and Swain, M.V. (1992). Comparative measurement of indentation
fracture toughness with Berkovich and Vickers indenters. J. Am. Ceram.Soc., 75(12):3299–3304.
Dwyer-Joyce, R.S. (1999). Predicting the abrasive wear of ball bearings by lubricant
debris. Wear, 233–235:692–701.
Fang, L., Xing, J., Liu, W., Xue, Q., Wu, G., and Zhang, X. (2001). Computer
simulation of two-body abrasion processes. Wear, 251:1356–1360.
Gahlin, R. and Jacobson, S. (1999). The particle size effect in abrasion studied by
controlled abrasive surfaces. Wear, 224:118–125.
Gatzen, H.H. and Maetzig, J.C. (1997). Nanogrind. Prec. Eng., 21:134–139.
Grinding and Lapping Compound. (1995). Published by United States Products
Co., pp. 1–14.
Heisel, U., Avroutine, J., and Rothmund, J. (1999). Process and simulation model for
lapping. Proceedings of the First EUSPEN Conference, Bremen, Germany,
pp. 314–317.
Hilarov, V.L. (1998). Self-similar crack-generation effects in the fracture process in
brittle materials. Model. Simul. Mater. Sci. Eng., 6:337–342.
http:==warrendiamond.com
http:==www.antexlap.com
http:==www.engis.com
Hutchings, I.M. (1992). Ductile–brittle transitions and wear maps for the erosion and
abrasion of brittle materials. J. Phys. D Appl. Phys., 25:A212–A221.
Indge, J.H. (1990). Lapping: More of a science, less an art form. Ceram. Indust.,6926–28.
Jiang, J., Sheng, F., and Ren, F. (1998). Modelling of two-body abrasive wear under
multiple contact conditions. Wear, 217:35–45.
Khonsari, M.M. (1997). On the modeling of the multi-body interaction problems in
tribology. Wear, 207:55–62.
Komanduri, R., Lucca, D.A., and Tani, Y. (1997). Technological advances in fine
abrasive processes. Ann. CIRP, 46(2):545–596.
Kun, L. and Liao, T.W. (1997). Modelling of ceramic grinding processes. Part I.
Number of cutting points and grinding forces per grit. J. Mater. Process.Technol., 65:1–10.
Lankford, J. (1981). Threshold microfracture during elastic–plastic indentation of
ceramics. J. Mater. Sci., 16:1177–1182.
Lawn, B.R. and Evans, A.G. (1980). Elastic=plastic indentation damage in ceramics:
The median=radial crack system. J. Am. Ceram. Soc., 63(9–10):574–581.
Lawn, B.R., Padture, N.P., Cai, H., and Guiberteau, F. (1994). Making ceramics
ductile. Science, 263:1114–1116.
Marinescu, I.D., Tonshoff, H.K., Inasaki, I., and Pruteanu, M. (2000). Handbook ofCeramic Grinding and Polishing. Noyes Publications=William Andrew
Publishing LLC, Norwich=New York.
Matsunaga, M. (1966). Fundamental Studies of Lapping, vol. 16(2). Report of the
Institute of Industrial Science, University of Tokyo.
Meng, H.C. and Ludema, K.C. (1995). Wear models and predictive equations: Their
form and content. Wear, 181–183:443–457.
Millar, J. (1987). Lapping & polishing technology. Abrasive Eng. Soc. Mag., 30(4):9–13.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 247 23.10.2006 6:29pm
Lapping of Brittle Materials 247
Novak, S., Kalin, M., and Kosmac, T. (2001). Chemical aspects of wear of alumina
ceramics. Wear, 250:318–321.
Rabinovich, V.L. and Sarin, V.K. (1996). Three dimensional modelling of indentation
fracture in brittle materials. Mater. Sci. Eng. A, 206:208–214.
Ravikiran, A. and Jahanmir, S. (2001). Effect of contact pressure and load on wear of
alumina. Wear, 251:980–984.
Schwartz, M. (1992). Handbook of Structural Ceramics. McGraw-Hill, New York.
Shibata, T., Shinohara, K., Uchiyama, T., and Otani, M. (2001). Lapping performance
guide of poly-crystal diamond particles through morphological analysis. Dia-mond Relat. Mater., 10:376–382.
Somiya, S. (1984). Advanced Technical Ceramics. Academic Press, San Diego, CA.
Spur, G. and Engel, H. (1999). Tool engagement and surface formation in lapping of
brittle materials. Int. J. Jpn. Soc. Prec. Eng., 33(3):191–196.
Stachowiak, G.W. (1998). Numerical characterization of wear particles morphology
and angularity of particles and surfaces. Tribol. Int., 31(1–3):139–157.
Suresh, S. (1990). Mechanics and micromechanisms of fatigue crack growth in brittle
solids. Int. J. Fracture, 42:41–56.
Torrance, A.A. and Buckley, T.R. (1996). A slip-line field model of abrasive wear.
Wear, 196:35–45.
Turco, M.D. (1995). Flat lapping of zirconia-toughened alumina with diamond pow-
der. MS thesis, University of Connecticut, p. 146.
Verspui, M.A. and de With, G. (1997). Three-body abrasion: Influence of applied load
on bed thickness and particle size distribution in abrasive processes. J. Eur.Ceram. Soc., 17:473–477.
Verspui, M.A., de With, G., Corbijn, A., and Slikkerveer, P.J. (1999). Simulation
model for the erosion of brittle materials. Wear, 233–235:436–443.
Walsh, R.A. (1994). McGraw-Hill Machining and Metalworking Handbook. McGraw-
Hill, New York.
Williams, J.A. (1999). Wear modeling: Analytical, computational and mapping: A
continuum mechanics approach. Wear, 225–229:1–17.
Zeng, R. (1998). Acoustic emission investigation of ceramic lapping process. MS
thesis, Kansas State University, p. 108.
Zou, Q., Huang, P., and When, S. (1996). Abrasive wear model for lubricated sliding
contacts. Wear, 196:72–76.
Zum Gahr, K.-H. (1998). Wear by hard particles. Tribol. Int., 31(10):587–596.
Zum Gahr, K.-H., Blattner, R., Hwang, D.H., and Pohlmann, K. (2001). Micro- and
macro-tribological properties of SiC ceramics in sliding contact. Wear,
250:299–310.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 248 23.10.2006 6:29pm
248 Handbook of Lapping and Polishing
APPEN
DIX
AEx
per
imen
tal
Val
ues
for
Surf
ace
Rough
nes
san
dM
ater
ial
Rem
ova
lR
ate
(Tes
tC
)
Exper
imen
tal
Val
ues
for
Ra
(Copper
Pla
te)
Tim
e1
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.2
60
.25
30
.254
0.1
79
0.0
75
0.0
57
0.1
16
0.0
66
0.0
5
SiC
33
00
.039
0.0
32
0.0
39
0.0
36
0.0
88
0.0
35
0.0
30
.03
90
.036
W1
53
00
.063
0.1
28
0.2
95
0.0
32
0.0
35
0.0
43
0.0
48
0.0
43
0.0
48
SiC
56
00
.272
0.3
32
0.2
55
0.0
43
0.0
49
0.0
45
0.0
46
0.0
43
0.0
36
OB
W1
36
00
.043
0.0
38
0.0
30
.025
0.0
24
0.0
25
0.0
23
0.0
21
0.0
25
SiC
33
00
.031
0.0
33
0.0
61
0.0
28
0.0
27
0.0
34
0.0
29
0.0
26
0.0
29
W1
53
00
.052
0.0
42
0.1
17
0.0
22
0.0
22
0.0
23
0.0
25
0.0
25
0.0
24
SiC
56
00
.032
0.0
32
0.0
44
0.0
25
0.0
26
0.0
26
0.0
24
0.0
27
0.0
27
3W
BW
13
60
0.0
29
0.0
29
0.0
28
0.0
31
0.0
31
0.0
30
.035
0.0
38
0.0
3
SiC
33
00
.042
0.0
41
0.0
40
.041
0.0
45
0.0
41
0.0
47
0.0
59
0.0
48
W1
53
00
.029
0.1
77
0.0
42
0.0
29
0.0
33
0.0
30
.034
0.0
33
0.0
33
SiC
56
00
.046
0.0
35
0.0
34
0.0
56
0.0
40
.045
0.0
70
.04
60
.044
OB
W1
36
00
.197
0.2
84
0.1
33
0.0
41
0.1
08
0.0
28
0.0
44
0.0
34
0.0
29
SiC
33
00
.06
0.0
68
0.0
85
0.0
76
0.0
65
0.0
56
0.0
55
0.0
54
0.0
49
W1
53
00
.074
0.2
67
0.2
14
0.0
67
0.0
30
.071
0.0
42
0.0
27
0.0
40
6
SiC
56
00
.079
0.0
75
0.0
55
0.0
47
0.0
57
0.0
53
0.0
56
0.0
54
0.0
55
15
WB
W1
36
00
.094
0.0
97
0.1
21
0.0
64
0.0
65
0.0
72
0.0
91
0.0
94
0.1
14
SiC
33
00
.123
0.1
20
.10
.106
0.1
06
0.1
01
0.0
82
0.0
81
0.0
89
W1
53
00
.132
0.1
17
0.1
23
0.0
81
0.0
79
0.0
83
0.0
81
0.0
77
0.0
86
SiC
56
00
.095
0.1
06
0.1
04
0.1
19
0.0
87
0.0
93
0.1
03
0.0
98
0.0
85
OB
W1
36
00
.079
0.0
78
0.0
78
0.0
86
0.0
83
0.0
80
.088
0.0
89
0.0
85
SiC
33
00
.112
0.1
09
0.1
03
0.1
18
0.1
01
0.0
91
0.1
02
0.0
90
.118
W1
53
00
.096
0.0
69
0.1
06
0.0
67
0.0
60
.076
0.0
94
0.0
83
0.0
87
SiC
56
00
.152
0.1
11
0.1
32
0.0
82
0.0
78
0.0
76
0.0
84
0.0
85
0.0
85
con
tin
ued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 249 23.10.2006 6:29pm
Lapping of Brittle Materials 249
Exper
imen
tal
Val
ues
for
Ra
(Copper
Pla
te)
(conti
nued
)
Tim
e11
21
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.0
68
0.0
28
0.0
30
.052
0.0
28
0.0
26
0.0
27
0.0
28
0.0
24
SiC
33
00
.027
0.0
27
0.0
28
0.0
33
0.0
27
0.0
31
0.0
35
0.0
25
0.0
25
W1
53
00
.044
0.0
25
0.0
32
0.0
37
0.0
38
0.0
60
.02
50
.037
0.0
43
SiC
56
00
.034
0.0
39
0.0
44
0.0
38
0.0
38
0.0
41
0.0
30
.035
0.0
32
OB
W1
36
00
.025
0.0
24
0.0
26
0.0
21
0.0
26
0.0
26
0.0
26
0.0
24
0.0
3
SiC
33
00
.025
0.0
25
0.0
26
0.0
25
0.0
27
0.0
25
0.0
26
0.0
26
0.0
27
W1
53
00
.025
0.0
26
0.0
26
0.0
23
0.0
26
0.0
25
0.0
28
0.0
22
0.0
27
SiC
56
00
.027
0.0
25
0.0
27
0.0
28
0.0
26
0.0
24
0.0
25
0.0
25
0.0
24
3W
BW
13
60
0.0
31
0.0
28
0.0
29
0.0
31
0.0
33
0.0
29
0.0
30
.029
0.0
29
SiC
33
00
.044
0.0
56
0.0
43
0.0
46
0.0
63
0.0
47
0.0
49
0.0
72
0.0
58
W1
53
00
.03
0.0
32
0.0
27
0.0
29
0.0
33
0.0
32
0.0
32
0.0
30
.034
SiC
56
00
.065
0.0
49
0.0
51
0.0
56
0.0
52
0.0
48
0.0
77
0.0
74
0.0
5
OB
W1
36
00
.035
0.0
28
0.0
28
0.0
28
0.0
25
0.0
28
0.0
27
0.0
24
0.0
28
SiC
33
00
.051
0.0
53
0.0
53
0.0
55
0.0
62
0.0
69
0.0
64
0.0
84
0.0
72
W1
53
00
.031
0.0
28
0.0
31
0.0
27
0.0
27
0.0
27
0.0
27
0.0
27
0.0
24
SiC
56
00
.057
0.0
63
0.0
51
0.0
56
0.0
58
0.0
48
0.0
61
0.0
73
0.0
53
15
WB
W1
36
00
.116
0.1
22
0.1
11
0.0
88
0.1
07
0.1
01
0.0
66
0.0
76
0.0
78
SiC
33
00
.105
0.0
98
0.1
04
0.0
79
0.0
78
0.0
74
0.0
64
0.0
59
0.0
57
W1
53
00
.15
0.1
10
.13
0.1
17
0.0
92
0.1
28
0.0
80
.079
0.0
76
SiC
56
00
.094
0.0
80
.09
50
.081
0.0
81
0.0
82
0.0
66
0.0
66
0.0
68
OB
W1
36
00
.084
0.0
83
0.0
72
0.0
61
0.0
63
0.0
61
0.0
72
0.0
77
0.0
71
SiC
33
00
.096
0.0
85
0.0
88
0.0
88
0.0
86
0.0
87
0.0
74
0.0
70
.074
W1
53
00
.075
0.0
63
0.0
68
0.0
75
0.0
63
0.0
75
0.1
07
0.0
89
0.0
96
SiC
56
00
.081
0.0
83
0.0
83
0.0
79
0.0
79
0.0
76
0.0
83
0.0
90
.069
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 250 23.10.2006 6:29pm
250 Handbook of Lapping and Polishing
Tim
e6
6
Gra
inS
ize
Ca
rrie
rW
ork
pie
ceL
oa
drp
m1
23
1W
BW
13
60
0.0
26
0.0
29
0.0
24
SiC
33
00
.03
30
.02
50
.026
W1
53
00
.02
40
.02
40
.024
SiC
56
00
.03
0.0
30
.03
OB
W1
36
00
.02
40
.02
40
.025
SiC
33
00
.02
60
.02
70
.027
W1
53
00
.02
60
.02
50
.025
SiC
56
00
.02
30
.02
60
.023
3W
BW
13
60
0.0
28
0.0
26
0.0
27
SiC
33
00
.05
60
.08
0.0
54
W1
53
00
.02
80
.02
70
.027
SiC
56
00
.08
80
.07
0.0
63
OB
W1
36
00
.02
70
.02
70
.025
SiC
33
00
.07
30
.07
70
.069
W1
53
00
.02
60
.02
60
.026
SiC
56
00
.07
30
.07
90
.051
15
WB
W1
36
00
.07
80
.08
60
.099
SiC
33
00
.10
.09
40
.094
W1
53
00
.10
70
.11
40
.112
SiC
56
00
.08
10
.07
70
.08
OB
W1
36
00
.08
80
.11
60
.102
SiC
33
00
.09
20
.09
60
.089
W1
53
00
.11
40
.09
20
.103
SiC
56
00
.08
30
.06
60
.073
con
tin
ued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 251 23.10.2006 6:29pm
Lapping of Brittle Materials 251
Exper
imen
tal
Val
ues
for
Ra
(Iro
nPla
te)
Tim
e1
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.2
09
0.1
25
0.1
97
0.1
47
0.0
95
0.1
24
0.1
11
0.0
77
0.1
13
SiC
33
00
.089
0.0
92
0.1
07
0.0
83
0.0
85
0.1
03
0.0
84
0.0
97
0.0
97
W1
53
00
.147
0.1
86
0.1
39
0.1
13
0.1
11
0.1
27
0.0
62
0.1
05
0.0
8
SiC
56
00
.088
0.0
89
0.0
90
.08
40
.07
80
.09
60
.08
10
.07
60
.08
7
OB
W1
36
00
.041
0.0
56
0.0
44
0.0
29
0.0
44
0.0
28
0.0
26
0.0
33
0.0
26
SiC
33
00
.049
0.0
32
0.0
42
0.0
38
0.0
33
0.0
34
0.0
31
0.0
28
0.0
34
W1
53
00
.028
0.0
47
0.1
20
.02
70
.03
40
.04
90
.02
70
.02
80
.03
SiC
56
00
.041
0.0
35
0.0
43
60
.03
80
.03
70
.03
10
.04
10
.03
40
.03
5
3W
BW
13
60
0.1
34
0.0
46
0.0
51
0.0
33
0.0
33
0.0
34
0.0
44
0.0
35
0.0
3
SiC
33
00
.054
0.0
48
0.0
51
0.0
55
0.0
71
0.0
64
0.0
67
0.0
72
0.0
67
W1
53
00
.045
0.0
42
0.0
44
0.0
32
0.0
33
0.0
40
.03
90
.03
30
.03
3
SiC
56
00
.057
0.0
44
0.0
57
0.0
98
0.0
66
0.0
58
0.0
96
0.0
62
0.0
66
OB
W1
36
00
.177
0.2
37
0.2
17
0.0
47
0.0
65
0.0
32
0.0
50
0.0
33
0.0
32
SiC
33
00
.051
0.0
54
0.0
86
0.0
49
0.0
59
0.0
88
0.0
56
0.0
72
0.0
88
W1
53
00
.111
0.0
91
0.0
39
0.0
45
0.0
45
0.0
33
0.0
29
0.0
31
0.0
30
SiC
56
00
.055
0.0
58
0.0
57
0.0
61
0.0
70
.05
40
.07
40
.07
0.0
55
15
WB
W1
36
00
.091
0.1
09
0.1
00
0.0
93
0.1
11
0.0
94
0.0
96
0.0
93
0.0
94
SiC
33
00
.113
0.1
09
0.1
01
0.0
95
0.0
96
0.0
98
0.0
92
0.1
00
0.1
02
W1
53
00
.098
0.0
99
0.0
89
0.0
97
0.1
04
0.0
94
0.1
03
0.1
12
0.0
93
SiC
56
00
.125
0.1
09
0.1
06
0.1
13
0.1
08
0.1
14
0.0
89
0.0
94
0.0
90
OB
W1
36
00
.100
0.0
87
0.0
90
0.0
85
0.0
68
0.0
78
0.1
09
0.0
90
0.0
89
SiC
33
00
.077
0.1
07
0.1
35
0.0
97
0.1
01
0.1
12
0.0
74
0.0
89
0.0
97
W1
53
00
.105
0.1
12
0.0
99
0.1
16
0.1
04
0.1
11
0.1
16
0.0
95
0.1
07
SiC
56
00
.097
0.0
90
0.0
76
0.0
96
0.0
95
0.0
90
0.0
93
0.0
85
0.0
87
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 252 23.10.2006 6:29pm
252 Handbook of Lapping and Polishing
Tim
e11
21
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.1
05
0.0
74
0.1
06
0.0
88
0.0
57
0.0
98
0.0
86
0.0
61
0.0
8
SiC
33
00
.079
0.0
99
0.0
82
0.0
70
.09
40
.08
20
.07
60
.094
0.0
73
W1
53
00
.049
0.0
57
0.0
60
.03
70
.06
10
.06
90
.03
30
.051
0.0
53
SiC
56
00
.068
0.0
71
0.0
85
0.0
62
0.0
77
0.0
81
0.0
68
0.0
68
0.0
78
OB
W1
36
00
.025
0.0
30
.02
50
.02
80
.02
80
.02
40
.03
0.0
31
0.0
3
SiC
33
00
.031
60
.03
0.0
34
0.0
33
0.0
31
0.0
38
0.0
35
0.0
29
0.0
33
W1
53
00
.026
0.0
27
0.0
28
0.0
30
.03
0.0
24
0.0
23
0.0
26
0.0
25
SiC
56
00
.041
0.0
25
0.0
34
0.0
42
0.0
27
0.0
32
0.0
43
0.0
26
0.0
29
3W
BW
13
60
0.0
38
0.0
32
0.0
33
0.0
34
0.0
33
0.0
30
.03
20
.029
0.0
3
SiC
33
00
.074
0.0
86
0.0
67
0.0
66
0.0
92
0.0
69
0.0
74
0.0
89
0.0
85
W1
53
00
.036
0.0
34
0.0
32
0.0
29
0.0
31
0.0
31
0.0
30
.034
0.0
34
SiC
56
00
.082
0.0
63
0.0
74
0.0
79
0.0
65
0.0
79
0.0
94
0.0
61
0.0
87
OB
W1
36
00
.030
0.0
32
0.0
31
0.0
30
0.0
33
0.0
31
0.0
29
0.0
29
0.0
30
SiC
33
00
.059
0.0
74
0.0
90
.06
30
.07
60
.09
0.0
60
.071
0.0
84
W1
53
00
.030
0.0
31
0.0
28
0.0
32
0.0
30
0.0
32
0.0
32
0.0
30
0.0
30
SiC
56
00
.081
0.0
89
0.0
55
0.0
91
0.0
89
0.0
49
0.0
88
0.0
94
0.0
51
15
WB
W1
36
00
.103
0.1
06
0.1
02
0.1
16
0.0
96
0.1
11
0.1
28
0.1
09
0.1
27
SiC
33
00
.094
0.0
92
0.0
90
0.1
01
0.0
97
0.0
92
0.0
75
0.0
84
0.0
84
W1
53
00
.105
0.0
97
0.1
00
0.0
92
0.0
89
0.0
85
0.0
98
0.1
05
0.1
03
SiC
56
00
.080
0.0
81
0.0
77
0.0
86
0.0
83
0.0
88
0.0
88
0.0
92
0.0
84
OB
W1
36
00
.109
0.0
86
0.0
87
0.0
74
0.0
65
0.0
88
0.1
14
0.0
87
0.0
90
SiC
33
00
.105
0.0
92
0.0
90
0.0
79
0.0
81
0.0
82
0.0
78
0.0
78
0.0
79
W1
53
00
.093
0.1
26
0.0
97
0.0
84
0.0
98
0.0
88
0.1
00
0.0
87
0.1
13
SiC
56
00
.078
0.0
76
0.0
78
0.0
86
0.0
81
0.0
80
0.0
73
0.0
75
0.0
74
con
tin
ued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 253 23.10.2006 6:29pm
Lapping of Brittle Materials 253
Exper
imen
tal
Val
ues
for
Ra
(Iro
nPla
te)
(conti
nued
)
Tim
e66
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
1W
BW
13
60
0.0
79
0.0
52
0.0
68
SiC
33
00
.069
0.0
90
.053
W1
53
00
.037
0.0
50
.049
SiC
56
00
.069
0.0
75
0.0
81
OB
W1
36
00
.027
0.0
25
0.0
24
SiC
33
00
.035
0.0
29
0.0
34
W1
53
00
.027
0.0
29
0.0
2
SiC
56
00
.032
0.0
28
0.0
23
3W
BW
13
60
0.0
30
.027
0.0
31
SiC
33
00
.072
0.0
83
0.0
78
W1
53
00
.033
0.0
34
0.0
33
SiC
56
00
.10
.066
0.0
85
OB
W1
36
00
.03
0.0
30
.03
SiC
33
00
.063
0.0
83
0.0
93
W1
53
00
.032
0.0
30
.031
SiC
56
00
.093
0.1
10
.062
15
WB
W1
36
00
.088
0.0
85
0.0
86
SiC
33
00
.066
0.0
63
0.0
64
W1
53
00
.106
0.0
89
0.0
98
SiC
56
00
.095
0.0
77
0.0
69
OB
W1
36
00
.097
0.0
87
0.0
89
SiC
33
00
.076
0.0
77
0.0
79
W1
53
00
.075
0.0
85
0.0
76
SiC
56
00
.079
0.0
81
0.0
76
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 254 23.10.2006 6:29pm
254 Handbook of Lapping and Polishing
Exper
imen
tal
Val
ues
for
MR
R(C
opper
Pla
te)
Tim
e1
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.0
96
80
.064
50
.064
50
.064
50
.14
52
0.0
32
30
.03
23
0.0
10
80
.010
8
SiC
33
00
.032
30
.032
30
.064
50
.129
00
.01
61
0.1
12
90
.02
15
0.0
10
80
.043
0
W1
53
00
.076
60
.076
60
.204
30
.051
10
.07
66
0.2
49
00
.05
96
0.0
72
40
.080
9
SiC
56
00
.229
90
.268
20
.114
90
.121
30
.13
41
0.1
59
60
.01
28
0.0
38
30
.114
9
OB
W1
36
00
.225
80
.064
50
.225
80
.016
10
.08
06
1.5
16
10
.08
60
0.0
53
80
.043
0
SiC
33
00
.064
50
.096
80
.032
30
.032
30
.16
13
0.1
29
00
.04
30
0.1
29
00
.139
8
W1
53
00
.510
90
.408
70
.523
60
.415
10
.42
78
0.4
53
40
.09
79
0.3
02
30
.046
8
SiC
56
00
.293
70
.306
50
.268
20
.287
40
.27
46
0.3
12
90
.04
68
0.1
95
80
.063
9
3W
BW
13
60
0.1
29
00
.064
50
.032
30
.274
20
.09
68
0.3
71
00
.25
81
0.0
53
80
.193
5
SiC
33
00
.032
30
.290
30
.548
40
.758
10
.43
55
0.4
35
50
.15
05
0.4
08
60
.817
2
W1
53
00
.881
20
.779
11
.123
90
.204
30
.48
53
0.1
85
20
.16
18
0.2
63
90
.332
1
SiC
56
00
.332
10
.217
10
.395
90
.549
20
.46
62
0.4
34
20
.19
58
0.1
27
70
.174
5
OB
W1
36
00
.032
30
.193
50
.193
50
.032
30
.11
29
0.0
80
60
.04
30
0.0
53
80
.107
5
SiC
33
00
.129
00
.161
30
.387
10
.064
50
.09
68
0.2
90
30
.05
38
0.0
75
30
.225
8
W1
53
00
.166
00
.102
20
.370
40
.134
10
.08
30
0.1
53
30
.00
85
0.1
36
20
.042
6
SiC
56
00
.817
40
.191
60
.242
70
.121
30
.40
87
0.1
98
00
.10
22
0.1
70
30
.068
1
15
WB
W1
36
00
.774
22
.258
12
.774
21
.500
02
.74
19
1.5
64
51
.06
45
1.6
12
92
.279
6
SiC
33
02
.451
63
.032
31
.935
54
.838
75
.12
90
3.4
51
66
.46
24
2.7
09
72
.451
6
W1
53
00
.728
01
.008
90
.830
10
.625
80
.26
82
0.3
64
00
.77
48
1.0
13
20
.868
5
SiC
56
00
.600
30
.549
20
.740
70
.568
30
.40
87
0.4
98
10
.39
59
0.3
91
70
.447
0
OB
W1
36
02
.032
31
.580
62
.000
02
.161
32
.22
58
2.1
93
52
.60
22
1.6
45
22
.311
8
SiC
33
02
.741
95
.322
64
.548
42
.661
33
.82
26
3.9
03
25
.03
23
5.9
03
25
.236
6
W1
53
00
.945
10
.715
20
.945
10
.951
51
.38
57
1.1
81
41
.02
60
1.3
49
51
.094
1
SiC
56
00
.855
70
.753
50
.459
80
.842
90
.84
93
0.4
91
71
.18
77
1.2
98
40
.923
8
con
tin
ued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 255 23.10.2006 6:29pm
Lapping of Brittle Materials 255
Exper
imen
tal
Val
ues
for
MR
R(C
opper
Pla
te)
(conti
nued
)
Tim
e11
21
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.0
06
50
.01
29
0.0
06
50
.00
32
0.0
48
40
.00
32
0.0
02
20
.00
86
0.0
06
5
SiC
33
00
.006
50
.00
65
0.0
06
50
.01
29
0.0
03
20
.03
55
0.0
10
80
.01
08
0.0
06
5
W1
53
00
.007
70
.00
26
0.0
10
20
.01
40
0.0
07
70
.01
02
0.0
05
10
.04
85
0.0
07
7
SiC
56
00
.002
60
.01
28
0.0
23
00
.01
40
0.0
08
90
.00
51
0.0
29
80
.03
07
0.0
02
6
OB
W1
36
00
.071
00
.04
52
0.0
32
30
.09
35
0.0
71
00
.00
97
0.0
25
80
.02
80
0.0
71
0
SiC
33
00
.051
60
.10
32
0.1
22
60
.06
13
0.0
09
70
.18
71
0.0
43
00
.00
65
0.0
51
6
W1
53
00
.015
30
.19
67
0.0
17
90
.21
20
0.0
98
30
.03
32
0.1
77
10
.05
28
0.0
15
3
SiC
56
00
.288
60
.26
05
0.1
78
80
.11
37
0.2
23
50
.10
73
0.0
60
50
.16
52
0.2
88
6
3W
BW
13
60
0.1
16
10
.01
94
0.0
90
30
.07
10
0.0
16
10
.05
81
0.0
75
30
.00
43
0.1
16
1
SiC
33
00
.071
00
.27
74
0.2
51
60
.01
29
0.0
90
30
.11
29
0.0
21
50
.03
87
0.0
71
0
W1
53
00
.120
10
.23
75
0.2
83
50
.09
83
0.2
89
90
.26
05
0.0
45
10
.11
07
0.1
20
1
SiC
56
00
.212
00
.19
67
0.2
04
30
.23
75
0.0
12
80
.03
45
0.1
73
70
.14
56
0.2
12
0
OB
W1
36
00
.012
90
.05
16
0.0
45
20
.00
65
0.0
03
20
.00
32
0.0
04
30
.02
15
0.0
12
9
SiC
33
00
.064
50
.03
23
0.2
25
80
.02
26
0.0
16
10
.12
58
0.0
15
10
.00
43
0.0
64
5
W1
53
00
.046
00
.09
96
0.0
89
40
.00
89
0.0
33
20
.03
07
0.0
54
50
.04
77
0.0
46
0
SiC
56
00
.109
80
.21
20
0.1
20
10
.03
58
0.1
67
30
.01
53
0.0
58
70
.10
47
0.1
09
8
15
WB
W1
36
01
.309
72
.80
65
1.8
25
81
.50
32
2.0
61
31
.49
03
0.4
49
50
.66
24
1.3
09
7
SiC
33
06
.232
33
.99
35
4.0
32
35
.33
55
3.8
38
73
.33
55
5.6
02
23
.96
34
6.2
32
3
W1
53
00
.429
10
.42
40
0.4
16
30
.40
36
0.5
96
40
.57
85
0.4
59
80
.52
79
0.4
29
1
SiC
56
00
.393
40
.40
36
0.5
64
50
.40
74
0.4
21
50
.46
10
0.4
78
50
.44
96
0.3
93
4
OB
W1
36
01
.754
81
.89
03
2.0
19
41
.44
19
1.9
45
22
.12
58
2.4
71
01
.78
06
1.7
54
8
SiC
33
06
.045
25
.36
13
4.6
06
54
.27
74
5.4
83
94
.41
61
2.9
35
53
.76
77
6.0
45
2
W1
53
01
.195
41
.15
71
0.9
50
21
.21
71
0.7
95
70
.92
59
1.1
07
71
.29
16
1.1
95
4
SiC
56
01
.348
71
.18
26
0.7
68
81
.00
77
0.6
85
80
.71
26
0.9
65
50
.70
07
1.3
48
7
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 256 23.10.2006 6:29pm
256 Handbook of Lapping and Polishing
Tim
e66
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
1W
BW
13
60
0.0
02
20
.004
30
.009
7
SiC
33
00
.038
70
.006
50
.006
5
W1
53
00
.026
40
.037
00
.046
0
SiC
56
00
.041
70
.020
90
.019
2
OB
W1
36
00
.010
80
.003
20
.020
4
SiC
33
00
.038
70
.004
30
.002
2
W1
53
00
.005
10
.045
10
.042
6
SiC
56
00
.030
70
.095
80
.116
2
3W
BW
13
60
0.0
36
60
.079
60
.007
5
SiC
33
00
.118
30
.004
30
.029
0
W1
53
00
.097
10
.060
50
.085
1
SiC
56
00
.089
40
.118
80
.143
0
OB
W1
36
00
.008
60
.002
20
.014
0
SiC
33
00
.043
00
.007
50
.008
6
W1
53
00
.066
40
.042
60
.034
5
SiC
56
00
.096
20
.028
50
.028
9
15
WB
W1
36
00
.546
21
.271
01
.821
5
SiC
33
02
.673
14
.849
54
.303
2
W1
53
00
.512
60
.501
50
.602
0
SiC
56
00
.510
00
.427
40
.381
4
OB
W1
36
01
.561
31
.928
01
.926
9
SiC
33
04
.615
13
.102
23
.571
0
W1
53
00
.924
60
.917
01
.020
9
SiC
56
00
.557
70
.783
30
.590
9
con
tin
ued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 257 23.10.2006 6:29pm
Lapping of Brittle Materials 257
Exper
imen
tal
Val
ues
for
MR
R(I
ron
Pla
te) Tim
e1
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.2
58
10
.096
80
.161
30
.064
50
.04
84
0.1
61
30
.053
80
.021
50
.10
75
SiC
33
00
.25
81
0.5
16
10
.580
60
.145
20
.20
97
0.0
64
50
.032
30
.118
30
.02
15
W1
53
00
.08
94
0.1
91
60
.063
90
.044
70
.06
39
0.0
38
30
.012
80
.017
00
.02
13
SiC
56
00
.17
88
0.1
27
70
.076
60
.051
10
.08
30
0.0
12
80
.063
90
.012
80
.02
13
OB
W1
36
00
.03
23
0.0
96
80
.032
30
.032
30
.04
84
0.0
32
30
.021
50
.053
80
.01
08
SiC
33
00
.03
23
0.1
93
50
.258
10
.016
10
.09
68
0.0
96
80
.010
80
.075
30
.05
38
W1
53
00
.35
76
0.4
21
50
.459
80
.127
70
.09
58
0.0
89
40
.076
60
.076
60
.11
49
SiC
56
00
.37
04
0.2
55
40
.229
90
.210
70
.18
52
0.1
08
60
.123
50
.106
40
.11
07
3W
BW
13
60
0.1
93
50
.032
30
.193
50
.290
30
.09
68
0.1
77
40
.204
30
.064
50
.07
53
SiC
33
00
.03
23
0.8
06
50
.290
30
.145
20
.72
58
0.6
45
20
.032
30
.430
10
.26
88
W1
53
00
.30
65
0.5
10
90
.523
60
.198
00
.43
42
0.4
40
60
.080
90
.285
20
.30
65
SiC
56
00
.58
75
0.6
25
80
.319
30
.191
60
.39
59
0.1
59
60
.221
40
.263
90
.23
41
OB
W1
36
00
.03
23
0.0
64
50
.032
30
.048
40
.06
45
0.0
16
10
.075
30
.032
30
.04
30
SiC
33
00
.03
23
0.0
32
30
.064
50
.048
40
.06
45
0.1
61
30
.053
80
.021
50
.20
43
W1
53
00
.25
54
0.3
06
50
.012
80
.236
30
.12
13
0.2
81
00
.055
30
.127
70
.15
75
SiC
56
00
.30
65
0.5
74
70
.536
40
.153
30
.25
54
0.1
14
90
.110
70
.114
90
.12
35
15
WB
W1
36
00
.51
61
1.1
61
31
.161
30
.129
00
.04
84
3.0
80
62
.408
61
.408
61
.93
55
SiC
33
02
.00
00
1.5
80
62
.871
07
.806
53
.45
16
0.0
48
44
.150
51
6.1
39
86
.46
24
W1
53
01
.22
61
0.6
38
60
.664
11
.002
60
.64
50
0.6
96
00
.847
20
.719
50
.63
86
SiC
56
00
.61
30
0.5
10
90
.791
80
.542
80
.58
11
0.6
83
30
.557
70
.719
50
.76
20
OB
W1
36
00
.90
32
0.8
06
51
.354
81
.209
71
.48
39
3.1
12
91
.021
51
.301
13
.15
05
SiC
33
01
.32
26
7.8
06
56
.064
51
.822
66
.83
87
5.8
54
82
.871
05
.053
87
.02
15
W1
53
01
.67
31
1.1
11
11
.162
21
.724
11
.10
47
1.0
85
61
.264
41
.128
11
.16
65
SiC
56
00
.75
35
0.7
40
70
.983
40
.983
40
.83
01
1.1
87
71
.008
90
.825
91
.01
32
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 258 23.10.2006 6:30pm
258 Handbook of Lapping and Polishing
Tim
e11
21
36
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
12
31
23
1W
BW
13
60
0.0
45
20
.019
40
.083
90
.01
29
0.0
03
20
.016
10
.019
40
.00
22
0.0
55
9
SiC
33
00
.064
50
.077
40
.006
50
.03
23
0.0
06
50
.003
20
.010
80
.01
94
0.0
02
2
W1
53
00
.005
10
.012
80
.017
90
.00
51
0.0
01
30
.003
80
.003
40
.00
26
0.0
01
7
SiC
56
00
.023
00
.012
80
.012
80
.01
02
0.0
03
80
.005
10
.008
50
.00
77
0.0
06
8
OB
W1
36
00
.012
90
.038
70
.006
50
.00
65
0.0
41
90
.003
20
.004
30
.05
38
0.0
04
3
SiC
33
00
.012
90
.071
00
.045
20
.00
65
0.0
45
20
.032
30
.004
30
.02
58
0.0
23
7
W1
53
00
.069
00
.038
30
.102
20
.03
83
0.0
52
40
.054
90
.003
40
.00
43
0.0
07
7
SiC
56
00
.089
40
.066
40
.081
70
.01
40
0.0
15
30
.074
10
.011
90
.01
87
0.0
33
2
3W
BW
13
60
0.0
96
80
.032
30
.058
10
.08
71
0.0
19
40
.029
00
.060
20
.01
94
0.0
10
8
SiC
33
00
.025
80
.335
50
.200
00
.00
32
0.2
29
00
.167
70
.004
30
.18
71
0.0
79
6
W1
53
00
.094
50
.263
10
.245
20
.11
24
0.1
07
30
.108
60
.025
50
.05
28
0.0
49
4
SiC
56
00
.196
70
.189
00
.114
90
.13
15
0.1
11
10
.122
60
.117
50
.08
51
0.1
01
3
OB
W1
36
00
.045
20
.025
80
.006
50
.04
19
0.0
19
40
.009
70
.049
50
.03
23
0.0
12
9
SiC
33
00
.077
40
.045
20
.129
00
.01
61
0.0
19
40
.138
70
.025
80
.01
94
0.0
68
8
W1
53
00
.097
10
.112
40
.097
10
.07
66
0.0
88
10
.090
70
.077
50
.10
05
0.0
84
3
SiC
56
00
.102
20
.069
00
.069
00
.08
17
0.0
65
10
.085
60
.051
90
.04
94
0.0
46
8
15
WB
W1
36
01
.316
12
.309
71
.845
21
.26
77
1.7
00
01
.496
81
.490
31
.23
44
2.1
29
0
SiC
33
04
.387
16
.212
95
.883
94
.70
32
2.7
61
35
.700
04
.049
54
.61
94
4.1
37
6
W1
53
00
.544
10
.477
70
.505
70
.74
33
0.6
19
40
.761
20
.648
80
.61
22
0.6
24
9
SiC
56
00
.544
10
.467
40
.518
50
.48
53
0.5
59
40
.512
10
.453
80
.54
32
0.6
27
5
OB
W1
36
00
.954
81
.954
83
.651
61
.37
10
1.1
38
72
.500
00
.881
71
.28
82
1.3
82
8
SiC
33
02
.245
24
.541
95
.316
12
.87
42
3.4
83
93
.822
63
.483
94
.03
01
2.8
15
1
W1
53
01
.364
00
.827
61
.100
91
.35
25
0.7
44
61
.060
01
.229
50
.82
16
0.6
77
7
SiC
56
01
.006
41
.106
00
.952
70
.84
04
0.7
17
80
.835
20
.783
30
.86
85
0.9
01
7
con
tin
ued
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 259 23.10.2006 6:30pm
Lapping of Brittle Materials 259
Exper
imen
tal
Val
ues
for
MR
R(I
ron
Pla
te)
(conti
nued
)
Tim
e66
Gra
inSi
zeC
arri
erW
ork
pie
ceLo
adrp
m1
23
1W
BW
13
60
0.0
11
80
.001
10
.020
4
SiC
33
00
.010
80
.011
80
.004
3
W1
53
00
.000
90
.001
30
.001
3
SiC
56
00
.007
20
.003
00
.001
7
OB
W1
36
00
.002
20
.036
60
.003
2
SiC
33
00
.003
20
.066
70
.041
9
W1
53
00
.031
90
.026
80
.030
7
SiC
56
00
.005
10
.003
80
.008
5
3W
BW
13
60
0.0
23
70
.008
60
.023
7
SiC
33
00
.001
10
.050
50
.062
4
W1
53
00
.027
20
.049
80
.045
1
SiC
56
00
.043
80
.037
90
.044
3
OB
W1
36
00
.038
70
.011
80
.001
1
SiC
33
00
.024
70
.012
90
.065
6
W1
53
00
.111
10
.132
80
.080
9
SiC
56
00
.024
30
.021
30
.020
9
15
WB
W1
36
01
.011
80
.709
70
.872
0
SiC
33
01
.915
12
.519
42
.641
9
W1
53
00
.583
70
.653
00
.648
4
SiC
56
00
.533
00
.518
90
.617
7
OB
W1
36
01
.154
81
.317
22
.135
5
SiC
33
03
.115
13
.195
73
.250
5
W1
53
00
.868
90
.444
90
.561
5
SiC
56
00
.759
90
.604
10
.730
5
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 260 23.10.2006 6:30pm
260 Handbook of Lapping and Polishing
clear;0***************************************************% Load the ROUGH_DATA matrix with the respective
experimental values
ROUGH_DATA¼[0.111 0.077 0.113;
0.084 0.097 0.097;0.062 0.105 0.08
0.081 0.076 0.087
];
% DO NOT EDIT UNDER THIS LINE
% % % % % % % % % % % % % % % % % % % % % % % % % % % % % %MAT¼[1 2]; % MAT1 is W1, while MAT2 is SiCLOAD¼[3 5];
SPEED¼[30 60];
A¼[1 1 1;
1 1 1;1 1 1;1 1 1;
];
DATA¼sum(ROUGH_DATA, 2);CONTRAST¼A0*DATA.=size(ROUGH_DATA, 2)
ESTIMATES¼CONTRAST.=2REGR_COEFF¼ESTIMATES.=2;SUM_OF_SQUARES¼(CONTRAST.^2).=4SSModel¼sum(SUM_OF_SQUARES)SST¼sum(sum(ROUGH_DATA.^2, 1), 2)-
sum(sum(ROUGH_DATA, 1), 2)^2=(size(ROUGH_DATA, 1)*
size(ROUGH_DATA, 2))
SSE¼SST-sum(sum(SUM_OF_SQUARES, 1), 2)
R_Squared_in_percents¼SSModel*100=SSTPERCENT_CONTRIB¼(SUM_OF_SQUARES.=SST)*100PERCENT_CONTRIB_ERR¼SSE*100=SSTDOF¼1;MEAN_SQUARES¼SUM_OF_SQUARES.=DOFMSE¼SSE=7FO¼MEAN_SQUARES.=MSECOEFF_REGR¼ESTIMATES.=2INTERCEPT¼sum(sum(ROUGH_DATA, 1),2)=(size(ROUGH_DATA, 1)*size(ROUGH_DATA, 2))
APPENDIX BThe MATLAB Source Code That Was Designed to Model the Problem
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 261 23.10.2006 6:30pm
Lapping of Brittle Materials 261
PREDICTED_VALUE¼INTERCEPTþA*COEFF_REGRI3¼[1 1 1];
PREDICTED_VALUE1¼PREDICTED_VALUE*I3;ERR¼ROUGH_DATA-PREDICTED_VALUE1
for i¼1:4;for j¼1:3;
RESIDUALS(1, jþ3*(i-1))¼ERR(i, j);end;
end;
k¼0;for i¼1:2:3;
for j¼1:3;k¼kþ1;MAT_DATA(1, k)¼ROUGH_DATA(i, j);
end;
end;
k¼0;for i¼2:2:4;
for j¼1:3;k¼kþ1;MAT_DATA(2, k)¼ROUGH_DATA(i, j);
end;
end;
MAT_DATA
MAT_MEAN¼mean(MAT_dATA, 2)
k¼0;for i¼1:2;
for j¼1:3;k¼kþ1;LOAD_DATA(1, k)¼ROUGH_DATA(i, j);
end;
end;
k¼0;for i¼3:4;
for j¼1:3;k¼kþ1;LOAD_DATA(2, k)¼ROUGH_DATA(i, j);
end;end;
LOAD_DATA
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 262 23.10.2006 6:30pm
262 Handbook of Lapping and Polishing
LOAD_MEAN¼mean(LOAD_DATA, 2)
k¼0;for i¼2:3;
for j¼1:3;k¼kþ1;SPEED_DATA(1, k)¼ROUGH_DATA(i, j);
end;
end;
k¼0;for i¼1:3:4;
for j¼1:3;k¼kþ1;SPEED_DATA(2, k)¼ROUGH_DATA (i, j);
end;
end;
SPEED_DATA
SPEED_MEAN¼mean(SPEED_DATA, 2)
k¼0;for i¼1:4;
for j¼1:3;k¼kþ1;ALT_DATA(1, k)¼ROUGH_DATA(i, j);
end;end;
ALT_DATA
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 263 23.10.2006 6:30pm
Lapping of Brittle Materials 263
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C004 Final Proof page 264 23.10.2006 6:30pm
5 Lapping and LappingMachines
Toshiro K. Doi and Daizo Ichikawa
CONTENTS
5.1 Introduction......................................................................................... 2655.2 Processing Principles of the Lapping and Its Characteristics ........... 266
5.2.1 Lapping Factors ...................................................................... 2675.2.1.1 Motion Type ............................................................. 2675.2.1.2 Lap (Lapping Plate).................................................. 2685.2.1.3 Abrasives and Reagent in the
Lapping Slurry.......................................................... 2685.2.1.4 Mechanical Lapping Conditions .............................. 268
5.2.2 Processing Accuracy in the Lapping...................................... 2695.2.2.1 Conditioning Ring .................................................... 2695.2.2.2 Cooling of Lapping Plate and
Cooling Device......................................................... 2705.2.2.3 Grooves in the Lapping Plate................................... 271
5.3 Lapping Machine................................................................................ 2725.3.1 Oscar-Type Lens Lapping Machine ....................................... 2725.3.2 Conditioning Ring Type Lapping Machine ........................... 2735.3.3 Both-Sides Simultaneous Lapping Machine .......................... 274
5.4 Both-Sides Simultaneous Lapping Machine Equippedwith a New Micromotion Mechanism ............................................... 275
5.5 Conclusions......................................................................................... 278References.................................................................................................... 279
5.1 INTRODUCTION
Lapping is generally applied to the rough processing before the finishing
process, or to the intermediate processing, depending on the types of workpieces
and the intended purposes. Lapping, which is one of the polishing processes
aimed to obtain better accuracy of the shape, employs loose abrasive processing
methods. Lapping slurry is supplied onto the rotating hard tool (lapping plate),
against which workpieces are pressed down, and the relative movements among
the workpieces, abrasives, and rotating plate are performed. Lapped surfaces
appear to be a frosted glass. Lapping is a typical processing method integrated
before the polishing process in the fabrication process of glass lens, prisms,
quartzes, and silicon wafers for LSI.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 265 23.10.2006 6:32pm
265
This chapter deals with the processing principles of the lapping and
lapping machines.
5.2 PROCESSING PRINCIPLES OF THE LAPPINGAND ITS CHARACTERISTICS
Relative motion to slide the plate and workpieces against each other while
dispersing slurry consisted of abrasives and water solution over the lapping
plate is common in both lapping and polishing. The main difference in lapping
and polishing is that lapping is based on the crushing actions produced by the
rolling of the abrasives dispersed over the hard plate (cast iron, glass, etc.)
generating cracks and scratches on the workpieces, while polishing is based on
the microscratch actions of the abrasives embedded in the soft pad (polisher).
Besides scratching actions, polishing goes through the other complicated
processes including the material flow and the chemical reactions between
materials and abrasives or processing reagents. Meanwhile, mechanical
actions are the main processing mechanism in the lapping process.
Basically, lapping is a transcribing process of the lapping plate surface to
the workpieces. In microscopic view, the abrasives roll in-between the lapping
plate and the workpieces that are held by the plate surface, and crush the
workpiece surfaces generating scratches on the surfaces. The abrasives are
also held by the facing workpieces, and simultaneously scratch the plate
surface as a reciprocal behavior. The ratio of the stock of removal (processed
amount) between the workpieces and the lapping plate varies depending on the
types and conditions of the workpieces, abrasives, lapping reagent, and lap-
ping plate. A processing mechanism of the lapping is indicated in Figure 5.1.
As lapping progresses, cutting chips are generated from the workpieces, and
the lapping plate is abraded while the abrasives are being crushed.
Lappingplate
Cracks
Cracks
Workpieces
Workpieces
Abrasives
Abrasives
Lapping plate
FIGURE 5.1 Processing mechanism in lapping.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 266 23.10.2006 6:32pm
266 Handbook of Lapping and Polishing
As shown in Table 5.1, lapping factors consist of the type of the relative
motion between the lapping plate and workpieces, type of the lapping plate
and abrasives, type of the lapping reagents to which abrasives are dispersed,
and mechanical conditions such as processing pressure and relative speed.
5.2.1 LAPPING FACTORS
5.2.1.1 Motion Type
The ideal movement in the single-side lapping is that when a workpiece slides
over the lapping plate, the trajectory of the relative movements at any point of
the workpiece should be smooth forming a continuous curving line, and the
length of the trajectory from each point should be the same. Motion type in
the lapping machine is a fundamental factor to secure processing accuracy,
and there are the following two types: (1) lens processing (lapping/polishing)
method, which allows the workpieces to make reciprocating motions while
rotating on its own axis on the revolving lapping plate surface, and (2) ring
method, which places workpieces inside the rotating ring (or condition ring)
set properly between the center and periphery of the lapping plate. By altering
the position and amplitude of the reciprocal movement, and the number of
rotation of the workpieces, these two methods allow a change of the density of
the trajectories, and a control over the amount of the lapping plate abrasion.
They can also condition the shape of the lapping plate surface.
Besides the single-side lapping, there is a both-sides lapping, which
simultaneously laps both sides of the workpieces housed in the carriers
TABLE 5.1Main Factors of Lapping
Lapping
Motion type Processing type Single-side processing, both-sides
processing, conditioning ring
Processing motion Rotation, reciprocating, forced
drive, driven
Tool Material Hard material (cast iron, glass, etc.)
Shape Flat, spherical, aspherical, groove
(crosscut, spiral, hexagonal)
Abrasives Type Hardness, shape, metal oxide,
carbide, diamond
Particle size 1–30 mm
Reagent Water-based, oil-based,
additive (surfactant, alkali, etc.)
Relative speed 5–40 (m/min)
Processing pressure 30–300 (gf/cm2)
Processing time (Control over stock of removal
and processing accuracy)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 267 23.10.2006 6:32pm
Lapping and Lapping Machines 267
(wafer holder) that are placed symmetrically on the lapping plate and held in-
between the upper and lower lapping plates, allowing the workpieces to have
the same trajectories. As lapping pressure works preferentially on thicker
workpieces, it has the beneficial effect of minimizing the thickness variations
and parallelism errors of the workpieces.
5.2.1.2 Lap (Lapping Plate)
Lapping principle rests on the transcription of the lapping plate surface to the
workpiece, which explains why its surface accuracy and material are so critical.
Normally, the lapping plate is made of cast iron, consists of the hard cementite
and relatively soft ferrite. This is very beneficial to the lapping because
unevenness of the abrasive size (particle distribution of the abrasives) can
be eased by the ferrite, whereas cementite can promote the cutting actions of
the abrasives further. In general, the lapping plate surface is grooved with the
exception of the lapping plate designed for small workpieces. The most popular
shapes of grooves are crosscut, followed by the concentric circle and spiral.
These grooves are very effective not only in artificially creating the functions
similar to that of the cast iron mentioned above, but also in holding and
supplying lapping slurries and discharging cutting chips as well as in
deconcentrating the pressure distributions.
5.2.1.3 Abrasives and Reagent in the Lapping Slurry
In general, alumina (Al2O3) or silicon carbide (SiC) of diameter 1–50 mm is
used for the lapping abrasives, as both are very hard with an efficient cutting
action. Alumina abrasives are round-shaped with high toughness, which
makes them hard to be crushed, whereas silicon carbide abrasives are easily
crushed due to its sharp cutting edge. Depending on the mechanical charac-
teristics of the workpieces, either of these abrasives is chosen.
Usually, the average size of the abrasives is proportional to the processing
efficiency and surface roughness of the workpiece. Typically, the size of the
abrasives is sequentially reduced to half during the lapping process. This
condition is very rational to ensure perfect removal of the projected surface
topographies and work-damaged layers generated in the previous processes so
that predetermined shape of the workpieces can be obtained.
On the other, reagents in the lapping slurry work to lubricate and evenly
disperse abrasives, allowing rolling of the abrasives and discharge (transferring
function) of cutting chips. Although water (or purified water) is usually used, oil
or oil-based water solution is used when mechanical removal actions of the
abrasives need to be subdued, and the coefficient of friction should be controlled.
5.2.1.4 Mechanical Lapping Conditions
Processing characteristics in lapping involve removal rate, lapping friction,
surface roughness, and processed-damaged layers, which are affected by the
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 268 23.10.2006 6:32pm
268 Handbook of Lapping and Polishing
material of the lapping plates, the abrasives and lapping reagents, and the
relative speed (rotation number, drive distance).
Typically, the following equation (called Preston’s formula) is applied:
(Stock of removal) ¼ (processing pressure) (relative speed)
(processing time)
The parameter a depends on the processing conditions such as size, type, and
density of the abrasives.
Horizontal lapping friction during lapping is related to the mechanical
properties of the workpiece. Generally, the harder the workpieces are, the
friction tends to be higher, and when processing pressure increases, the
processing friction also tends to increase. Increase of the processing friction
along with the increase of processing pressure occurs due to subsequent
increment of the number of acting abrasives, and increasing cutting depth of
the abrasives. However, when the processing pressure exceeds over a certain
level, processing friction becomes steady as the removal action of the
workpiece reaches the limit for crushing.
When the size of the abrasives becomes large, the roughness of the lapped
surface becomes large, leaving deeper cracks on the layers. Abrasives with
crushing function have a tendency to leave a number of microscratches on the
surface of workpieces, while abrasives with high elasticity tend to produce long
scratches.
5.2.2 PROCESSING ACCURACY IN THE LAPPING
The most influential and deciding factor to the processing accuracy of the
workpieces is the flatness of the lapping plate. With the increase of the
lapping time, surface precision of the lapping plate deteriorates, requires
reconditioning. Reconditioning is normally done by removing the lapping
plate from the machine, whose surface is then ground or lapped, or by placing
a conditioning ring on the lapping plate to recondition the plate. As the former
method significantly lowers the working efficiency in removing and remount-
ing the lapping plate, the latter is preferred.
Temperature change caused by the friction heat generated during the
lapping and environmental temperatures also affects the shape accuracy of
the lapping plate. Therefore, it is desirable to maintain the working room at a
constant temperature. As the friction heat from the long run lapping in particu-
lar deforms the lapping plate and degrades its flatness, it is essential to cool the
plate down during the lapping in order to keep the plate to a certain temperature.
5.2.2.1 Conditioning Ring
Conditioning ring with parallelism and flatness is usually made of the same
material as the lapping plate, and is doughnut-shaped or with an eccentric
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 269 23.10.2006 6:32pm
Lapping and Lapping Machines 269
hole. By rotating the conditioning ring both on its axis and across the lapping
plate, the lapping plate is lapped until it recovers the specified accuracy.
In order to recondition the plate that is dented as in the Figure 5.2a,
conditioning rings are placed slightly toward the edge of the plate and run
while lapping slurry is being supplied onto the plate. Depending on the level
of the dent, the rotary and revolutionary ratio is changed, or the conditioning
rings are rotated in the opposite direction of the lapping plate. When the
lapping plate has become convex as indicated in Figure 5.2b, the conditioning
rings should be placed slightly toward the center, and then the lapping plate
and the conditioning rings are set to rotate in the same direction. The
difference in the relative speeds between the center and edge of the lapping
plate allows the plate to gain its original flatness. The rotation ratio between
the lapping plate and the conditioning ring should be changed according to the
level of the conditioning needed.
5.2.2.2 Cooling of Lapping Plate and Cooling Device
Cooling of the lapping plate prevents its shape accuracy from deterioration to
be caused by the heat deformation of the lapping plate during the lapping. It
also prevents the wax that adheres workpieces from softening.
To keep the temperature of the lapping plate constant, temperature is
usually controlled by water-cooling, chiller, which regulates the temperature
of the cooling water. Typically, the chiller, an external cooling device, and a
heater are combined to control the temperature of the lapping plate within a
given tolerance. Figure 5.3 shows an example of the cooling system, incorp-
orated into a both-sides simultaneous lapping machine. Cooling water,
(a) Conditioning of the dented lapping plate
(b) Conditioning of the convex lapping plate
Convex lapping plate
Conditioning rings
Dented lapping plate
Conditioning rings
FIGURE 5.2 Conditioning methods of the lapping plate with a conditioning ring.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 270 23.10.2006 6:32pm
270 Handbook of Lapping and Polishing
regulated to a constant temperature, flows through the channels formed on the
lapping plates to keep temperatures constant.
5.2.2.3 Grooves in the Lapping Plate
Generally, surfaces of the lapping plates are grooved about 1 mm width in the
form of grate or diamond. The grooves serve to hold slurries, remove cutting
chips, and evenly supply slurries to the workpieces. More importantly,
grooves uniformize the distribution of the pressure beneath the workpiece,
which works to improve the flatness accuracy of the workpiece as indicated in
Figure 5.4. When even distribution load is applied to the workpieces placed
on the nongrooved lapping plate, the stress distribution beneath the work-
pieces becomes the biggest in the periphery of the workpieces, although it is
not as notable as in the elastic body that is pressed against the rigid body as
often argued in the elasticity theory.
When the lapping plate is grooved, the pressure is distributed between the
neighboring grooves. Such pressure distribution is interrupted by the grooves,
making the pressure distribution beneath the workpieces small and uniform.
As both workpieces and lapping plates work relatively, workpieces can be
lapped to a uniform and high accuracy flatness. The grooves formed in the
lapping plate are essential to secure the lapping accuracy. Due to its ability to
Pump
Cooling water
Pipe laying for cooling of lower lapping plate
Pipe laying for cooling ofupper lapping plate
Upper lapping plate
Lower lapping plate
Drive gear for carriers(wafer holders)
FIGURE 5.3 Cooling system of the lapping plate (simultaneous both-sides lapping
machine).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 271 23.10.2006 6:32pm
Lapping and Lapping Machines 271
hold and supply abrasives, the groove’s contribution to the improvement of
lapping efficiency is very significant. The grooves also serve to discharge
cutting chips, contributing to improve the quality of the workpiece surfaces.
5.3 LAPPING MACHINE
Various types of lapping machines have been commercially developed. Lap-
ping by means of sliding workpieces over the rotating lapping plate as
mentioned above is important especially in light of not only from producing
cutting chips but also from the high-precision lapping, transcribing the flat
surface of the lapping plate to the workpieces. In the lapping, abrasives not
only remove the projecting surfaces of the workpieces but also abrade the
lapping plate at the same time, which requires the surface of the lapping
plate to be always kept accurately flat. In the regular lapping machines,
relative movements of the lapping plate and workpieces are induced from
respective rotations and reciprocal movements.
5.3.1 OSCAR-TYPE LENS LAPPING MACHINE
From a long time ago, lens lapping machines are used in the fabrication
process of the optical parts. The structure of the machine is comparatively
simple and it is not a very high-precision machine. However, the versatility of
the machine is high as employed for the lapping of several different shapes,
including flat, spherical, and aspherical surfaces. In the lapping, reciprocal
movements of the workpieces (or lapping plate) are induced in such a way
that the workpieces to which load is applied through a pivot are pressed
against a rotating, disk-type lapping plate made of cast iron (or workpieces).
As the workpieces are held only by the pivot, they follow the rotation of the
Lapping plate
Workpiece
(Pressure)
Pressure distributionbeneath workpiece
(a) Nongrooved lapping plate
Workpiece
(Pressure)
(b) Grooved lapping plate
FIGURE 5.4 Effect of grooves in the lapping plate.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 272 23.10.2006 6:32pm
272 Handbook of Lapping and Polishing
lapping plate, contributing to the uniformization of the kinetic trajectories. In
this Oscar-type machine, it is critical that the amplitude of the reciprocal
movements and the rotation number of the lapping plate are set adequately
according to the size of workpieces and lapping plate. Figure 5.5 shows an
external view of a typical lens lapping machine.
5.3.2 CONDITIONING RING TYPE LAPPING MACHINE
The conditioning ring type lapping machine features comparatively easy
operation among various single-side lapping machines, and is mainly applied
to lap workpieces to a flat. Lapping is performed through simple rotations of
both the doughnut-shaped ring, mounted on the rotating lapping plate, and
workpieces placed inside the ring. Using the conditioning ring, this lapping
method features in situ conditioning of the abraded lapping plate caused by
the workpieces and abrasives. Such effect of conditioning during lapping is
also applied to the pitch polishing that abrades the tool significantly. Pitch
polishing is used for the lapping of optical parts such as lens and prisms from
long ago.
This conditioning ring type machine is usually used for flat lapping.
However, in view of its lapping principle that is the transcription of the planar
surface of the lapping plate, the machine can be also employed for the
fabrication of high-precision long focal point reflecting mirrors, if a lapping
plate is made to a given shape of convex or concave.
The lapping machine with the kinetic mechanism of this processing
method can realize high-precision processing as the relative speed becomes
constant at any point of the contacting areas between the lapping plate and the
Load(weight)
Pivot
Lapping plate
Workpieces
FIGURE 5.5 Oscar-type lens lapping machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 273 23.10.2006 6:32pm
Lapping and Lapping Machines 273
workpieces or conditioning ring when rotation number of the lapping plate
coincides with that of the workpieces or conditioning ring. In other words, it
is extremely important to have control of the rotation numbers of each
workpiece, conditioning ring, and lapping plate. When the size of the lapping
plate in this machine is made infinitely large, the method corresponds to the
belt lapping method.
Figure 5.6 shows exterior views of the compact and large conditioning ring
type lapping machines. The large-sized machine is currently used for the
ultraprecision lapping of the large reflecting mirrors, mounted in the laser
fusion system. This machine is able to process (lapping and polishing) work-
pieces of a maximum of 600 mm size, as it has a lapping plate of 2.5 m in
diameter.
5.3.3 BOTH-SIDES SIMULTANEOUS LAPPING MACHINE
When a number of substrate-shaped workpieces need to be lapped, a both-sides
lapping machine is used that simultaneously laps both sides of the workpieces.
More than two workpieces are set in-between the two facing lapping plates
mounted one above the other, and relative movements are given to the lapping
plates and workpieces. Generally, the lapping plates are doughnut-shaped with
a hole in the center. There are two-motion type and four-motion type machines.
Two-motion type machine makes the carrier that holds workpieces rotate on its
own axis across the lapping plates that are kept still (without rotation). Four-
motion type machine additionally provides forward and reversible rotations to
each lapping plate (rotate top and bottom plates in the opposite direction).
Figure 5.7 is a photograph and processing diagram of a four-way motion type,
both-sides simultaneous lapping machine. Both two-way and four-way machines
can easily secure processing accuracy of flatness, parallelism, and thickness.
(b) Large conditioning ring type machine (Lapping plate: 2.5 m in diameter, maximum workpieces: 600 mm)
(a) Compact conditioning ring type machine
3
Workpiece
Conditioning rings
Lapping plate
FIGURE 5.6 Exterior views of conditioning ring type lapping machines (compact and
large-sized machines).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 274 23.10.2006 6:32pm
274 Handbook of Lapping and Polishing
However, the two-way motion machine is subjected to a risk of abrasion in the
motion transferring gears due to rotations and orbital motions induced to the
carrier. Conditioning of the lapping plates during operation in the both-sides
lapping machine is not as easy as in the single-side lapping machine, requiring
more careful attention to the plate conditioning. Usually, the lapping process is
suspended at certain intervals of lapping time, and the lapping plates are condi-
tioned with the rings specially designed for conditioning during a specified length
of time. In order to minimize the variations in the parallelism or thickness of the
workpieces, the workpieces are placed on the lapping plate in such a way that
the thickness across the plate is well balanced, which serves to minimize the tilt of
the upper lapping plate as small as possible, and is indispensable for the ultra-
precision fabrication of block gage. Both-sides simultaneous lapping machine is
employed in the fabrication process of wafers including silicon wafers, magnetic
disk substrates, mask glass substrates, and quartz crystals.
5.4 BOTH-SIDES SIMULTANEOUS LAPPING MACHINEEQUIPPED WITH A NEW MICROMOTIONMECHANISM [1–3]
Professor Doi et al. propose integration of a new kinetic mechanism into the
lapping machines. In 1991 the author proposed micromotion kinetic mechan-
ism (sometimes called ‘‘orbital motion’’) that allows workpieces to make
same small circle orbital motions at any point of the wafers without revolving
the lapping plates, and relative speeds to become uniform at any point in the
range of n in tens of m=min.
Upper plate
Workpiece
Carrier/workpiece holder
Lower plate
Lower plateSun gear
Internal gear Lower platen
CarrierWafer
Upper platenSun gear
FIGURE 5.7 Photography and schematic figure of a four-way motion type, both-sides
simultaneous lapping machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 275 23.10.2006 6:32pm
Lapping and Lapping Machines 275
In recognition of the extreme importance of securing a uniform pressur-
ization mechanism and uniform relative speeds across a wafer, this kinetic
mechanism was originally devised for the introduction into the planarization
CMP machines aiming at the global planarization of ultra-LSI device wafers.
The basic concept is common to both lapping and polishing where the stock
of removal M is given by Preston’s law
M ¼ apnt
where a is a parameter to be established by the processing conditions, p is the
processing pressure, n is the relative speed, and t is the processing time.
Basically, wafer surfaces can be processed uniformly if processing pres-
sure p and relative speed n are exactly controlled within the processing
conditions that meet the above equation.
With regard to the relative speed n, the micromotion mechanism allows
the processing plate to draw the same circle motions at any point of the wafer,
which makes relative speeds uniform. Consequently, high-precision surfaces
can be obtained in theory. Figure 5.8 is a diagram of the single-side process-
ing principles incorporating a micromotion mechanism (orbital motions).
An explanation is provided on a both-sides simultaneous polishing machine
equipped with a micromotion (orbital) kinetic mechanism, an advanced
Uniform relative speedmechanism
(micromotion mechanism)
Guide ring
Retainer
R0 (eccentric radius)R0 (eccentric radius)
w0 (micromotion)w0 (micromotion)
Tool plate
Load
Uniform pressurizationmechanism Rubbery film
Air
Wafer
Wafer
FIGURE 5.8 Diagram of the single-side processing principles incorporating a micro-
motion mechanism (orbital motions).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 276 23.10.2006 6:32pm
276 Handbook of Lapping and Polishing
machine of the conventional single-side polishing machine. Theoretical studies
in relation to the flatness of the wafer surfaces polished with this new machine
were conducted while focusing attention on the average speed distributions
along the wafer. Subsequently, the study has confirmed that, with the uniform
relative speeds obtained with the micromotion kinetic mechanism, it is possible
to polish wafer surfaces uniformly with this new machine.
In developing the above polishing machine, it was our challenge to solve
the following problems present in the conventional both-sides simultaneous
polishing machines that are currently being used on a commercial basis.
The conventional machine adopts the following mechanism (as shown in
Figure 5.7):
. Generation of foreign substances from the toothing areas between the
carrier and the center (sun) gear or internal gear. Load to the carrier is too big to be able to increase the processing
pressure. Hard to automatize the machine due to fixed wafer placing location
Taking into account that the uniformization of relative speed n is a key point
to secure processing precision, a new micromotion (eccentric small circle)
kinetic mechanism without using gears was introduced in the both-sides
simultaneous polishing machines. Figure 5.9 is a diagram of the machine,
Upperplaten
WaferCarrier
Eccentricaxis
Belt
Lowerplaten
FIGURE 5.9 Schematic figure/principles of the micromotion introduced by the both-
sides simultaneous lapping/polishing machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 277 23.10.2006 6:33pm
Lapping and Lapping Machines 277
showing the principles of the micromotion introduced by the both-sides
simultaneous polishing machine. The external view of the machine (LPD-
300 manufactured by Fujikoshi Machineries, Inc.) is shown in Figure 5.10.
The machine can accommodate as many as 12 and 5 pieces of 8 and 12 in.
wafers, respectively per batch. In comparison with the conventional ones, the
footprint is reduced by 30%.
This concept of the micromotion in the polishing machine can be applied
to the simultaneous lapping machines, either single side or both sides. How-
ever, high pressure cannot be applied when both sides of thin wafers are
simultaneously lapped. Instead, relative speed n should be increased for high-
efficiency lapping. In this case, the machine should be designed taking into
consideration whether or not the wafers rotate on its axis. Needless to say that
it is a prerequisite to strictly control the ratio between the rotation numbers of
the micromotion mechanism and the revolution numbers of the lapping plate.
The possibility of developing new both-sides simultaneous lapping machines
incorporating the micromotion mechanism is currently being studied.
5.5 CONCLUSIONS
In light of progressing lapping technology as one of the loose abrasive lapping
methods, lapping principles and lapping factors are discussed in this chapter
FIGURE 5.10 The external view of the polishing machine with the micromotion
(LPD-300 manufactured by Fujikoshi Machineries, Inc.).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 278 23.10.2006 6:33pm
278 Handbook of Lapping and Polishing
introducing the progress of the machines from conventional ones to the latest
development referring to the possibility of integrating the micromotion mech-
anism already adopted into the both-sides simultaneous polishing machine to
the lapping machines.
REFERENCES
1. T. Doy, H. Jeong, T. Nakagawa, H. Ohmori, and T. Kasai: Global planarization
technique/CMP by high precision polishing and its characteristics. Proceedings ofISSM’95 (1995), 214–217.
2. H. Jeong, H. Ohmori, T. Doy, and T. Nakagawa: Integrated planarization technique
with consistency in abrasive machining for advanced semiconductor chip fabrica-
tion, Proceedings of Annals of the CIRP (1996), 311–314.
3. T.K. Doy, K. Ichikawa, H. Miyairi, T. Kasai, H. Ohmori, S. Kanda, and
A. Kajikura: Development of a both sides simuiltaneous polishing system for 300 mm
silicon wafers, aiming at the production of nanotopography-free surfaces,
Proceedings the 3rd Internation Symposium. On Advanced Science & Technology
Silicon Materials (2000). 248–257.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 279 23.10.2006 6:33pm
Lapping and Lapping Machines 279
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C005 Final Proof page 280 23.10.2006 6:33pm
6 Polishing Technology
Toshiro K. Doi
CONTENTS
6.1 Polishing Principles ............................................................................ 282
Toshiro K. Doi
6.2 Processing Accuracy and Damaged Layer ........................................ 283
Toshio Kasai
6.3 Polishing Machines............................................................................. 286
Toshio Kasai
6.3.1 Single-Side Polishing.............................................................. 2866.3.1.1 Metallurgical Polishing Machine and
Rough Lapping Machine.......................................... 2876.3.1.2 Glass-Lens-Polishing Machine................................. 2886.3.1.3 Conditioning Ring-Type Polishing Machine
and Ring-Tool Polishing Machine ........................... 2896.3.1.4 Nonspherical Surface Polishing Machine ................ 290
6.3.2 Double-Sided Polishing .......................................................... 291
Toshio Kasai
6.4 Mechanochemical Polishing and Chemical Mechanical Polishing... 292
Toshiro K. Doi
6.4.1 Mechanochemical Polishing ................................................... 2936.4.2 Chemical Mechanical Polishing ............................................. 296
6.4.2.1 Progress of MCP–CMP ............................................ 2966.4.2.2 Requirements for Polishing...................................... 2976.4.2.3 Basic Mechanism of CMP for Silicon Crystal ........ 2986.4.2.4 Examples of Polishing Characteristics..................... 301
6.5 Noncontact Polishing.......................................................................... 305
Toshio Kasai
6.6 Magnetoabrasive Finishing................................................................. 307
Hitomi Yamaguchi
6.6.1 Introduction ............................................................................. 3076.6.2 Outline of Magnetoabrasive Finishing ................................... 3076.6.3 Advantages of Magnetoabrasive Finishing ............................ 3086.6.4 Internal Finishing of Nonferromagnetic Bent Tubes ............. 3096.6.5 Edge and Surface Finishing of Access Arms
of Magnetic Disk Units........................................................... 3106.7 Polishing Process Applying Electrophoretic Deposition................... 312
Junichi Ikeno
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 281 23.10.2006 6:36pm
281
6.7.1 Introduction ............................................................................. 3126.7.2 Electrophoretic Deposition ..................................................... 3126.7.3 Development of EPD Pellets .................................................. 3126.7.4 Experimental Results .............................................................. 3156.7.5 Conclusion............................................................................... 317
6.8 Electroabrasive Mirror Polishing Process .......................................... 317
Junichi Ikeno
6.8.1 Introduction ............................................................................. 3176.8.2 Description .............................................................................. 3186.8.3 Manual Polishing and Its Automation.................................... 3196.8.4 Experimental Results .............................................................. 3196.8.5 Conclusion............................................................................... 322
6.9 P-MAC Polishing................................................................................ 324
Toshio Kasai
6.9.1 Analysis on the Mechanism of Various Polishing Methods.... 3246.9.2 P-MAC Polishing for Small Pieces of GaAs Single Crystals ... 327
6.9.2.1 Processing Efficiency ............................................... 3276.9.2.2 Accuracy ................................................................... 3286.9.2.3 Surface Roughness ................................................... 328
6.9.3 P-MAC Polishing Machine Manufacturing and GaAsWafer Polishing ...................................................................... 329
6.10 Colloidal Silica Polishing................................................................... 330
Toshiro K. Doi
References.................................................................................................... 338
Lapping or polishing is one of the technologies that advanced along with the
history of humankind. Recently, with increasing demands for functional
enhancement, ultralapping or polishing has been recognized as a critical
technology for the functional materials of the precision machinery compon-
ents, optical components, and electronic components. For instance, in line with
the rapid progress in miniaturization and high integration in electronic com-
ponents, the dimensional accuracy of the components is shifting from on the
order of micrometers (106 m) to nanometers (109 m).
Ultraprecision polishing is a technology that accurately produces geomet-
rically dimensional shapes in the nanometer order. These functional materials
or components should be produced to a completely smooth mirror surface to
work as functional materials. This chapter deals with the ultraprecision
polishing technology to produce a complete smooth surface, or damage-free
surface without any affected layer or strain, which are the basic requirements
for the functional materials. Also mentioned in this chapter are the applica-
tions of the polishing technology to the fabrication of such components.
6.1 POLISHING PRINCIPLESTOSHIRO K. DOI
Polishing is carried out without letting fine abrasive particles generate
brittle fractures on the work surfaces, while removing these materials little by
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 282 23.10.2006 6:36pm
282 Handbook of Lapping and Polishing
little only by means of plastic deformation, to finally produce a smooth mirror
surface.
For such polishing, fine abrasives of below 1 mm and pads of pitch, wax,
synthetic resin, or artificial leather are used to realize smooth mirror finishing.
Fine abrasive particles are retained on the pad surface resiliently and
plastically, and the work surfaces are scratched microscopically. Polishing
actions are by far smaller if compared with lapping, contributing to the
successful applications to the brittle materials.
As assumed in the past, the generation of fine plastic-cutting chips by the
scratching behaviors of abrasives can be the influencing mechanism, which,
however, given that the mechanical actions are extremely small, seems
irrational unless other actions are taken into account. For instance, the
rough surfaces are planarized as the works are frictionized by the abrasives
or pad-inducing surface flow, or the work surface material is chemically
dissolved in the reagent. It is also presumed that direct chemical actions
between the work and abrasives promote further the mechanical actions
mentioned before, and possibly vice versa.
On the other hand, influences of the mechanical actions of the abrasives
(ultra-microcutting actions) that correspond to the cutting blade, and of the
frictional actions of the pads can be considered as the factors that produce
affected layers during polishing. Through experience, the mechanical actions
induced by dust or impurities in the room atmosphere or in the slurry
are known to result in the affected layers. Previous studies indicate that
the affected layers reached as deep as 3 mm in the pitch polishing of
crystalline quartz. However, polishing technology has progressed, aiming to
minimize the affected layers, which have almost reached the depth below the
level of detection, although such depth depends on the works and polishing
conditions. A study of the affected layer proves that in the ultimate sense,
polishing advances by mechanical actions and chemical actions. Mechanical
actions involve removal and frictional actions, whereas chemical actions
involve dissolving and generating actions of reaction product layer (mem-
brane). Combining these actions, various characteristic polishing conditions
can be established or innovative polishing methods for the ultraprecision
polishing will be discovered.
Figure 6.1 shows the relationship between the polishing mechanisms and
polishing methods based on the above-mentioned concepts.
6.2 PROCESSING ACCURACY AND DAMAGED LAYERTOSHIO KASAI
In lapping and polishing of manufacturing devices, the geometrical accuracy
and quality are always required on worked surfaces, as shown in Figure 6.2. In
this kind of machining, while a workpiece and a tool are rubbed against each
other under pressure and the minute chips are generated by abrasive grains in
slurry, a work surface is finished to smooth the surface and the shape accuracy
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 283 23.10.2006 6:36pm
Polishing Technology 283
of a plane or spherical surface of the tool is transferred to the work surfaces.
Therefore, such process is defined as shape transfer machining with pressure
method. Lapping and polishing are different from cutting and grinding that
Lapping, grinding, cutting
Etching
MCPCMP
Chemicalcompositeprocessing
Mechanochemicalpolishing
Chemical action
Mechanical action
EtchingPlating
Anodization
Hydroplanepolishing (MCP)
Che
mic
aldis
solutio
nFormation of reaction
product film
Chemicalmechanicalpolishing
P-MACpolishing
(CMP)
Cutting
Matallographic polishingLapping
Colloidalsilicapolishing
Electrolyticcompositepolishing
Floatpolishing
Mechanochemicalpolishing
EEM
Friction−abrasionMechanica
l re
mov
alFIGURE 6.1 Polishing mechanisms and polishing method.
State on worked surface
Geometrical Total Macro
Aspherical accuracy
Spherical accuracy
Crystal orientation
Flatness
Straightness
Turned-down edge
Waviness
Material
Oxidation, Hydration
Micro
Partial
Damaged layer, Stress or strain layer
Multi-crystallizing, Non-crystallizing
Chemical change
Chemical or physical adsorption
Crack
Surface roughness
Scratch
FIGURE 6.2 Accuracy and quality required in device fabrications.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 284 23.10.2006 6:36pm
284 Handbook of Lapping and Polishing
utilize the shape transfer machining with tool motion method in which the
geometrical accuracy is determined by the motion accuracy of a workpiece and
a tool under a fixed depth of cut.
When a high degree of flatness or spherical accuracy and the minimiza-
tion of a turned-down edge generated around the outer work surface is
required in lapping and polishing, the tool surface accuracy counts, because
the shape of the tool surface such as a lap or a polishing pad is intrinsically
transferred to the work surface. In this case, the shape accuracy of the tool
surface can be copied from the reference surface by contact and sliding;
however, it is common practice to adopt the conditions to maintain tool
shape accuracy by making good control of the tool wear during the process.
A turned-down edge around the outer work surface is generated as a result of
the mechanical action concentrated on the edge of the workpiece during this
process. Since such trouble is caused by elastic deformation of a polishing pad,
a smooth polishing pad with minimal elastic deformation should be used [1,2].
Furthermore, in order to achieve high accuracy in parallelism, angle,
crystal orientation, and thickness, lapping or polishing condition is applied
to the correction of these errors under which the stock removal is proportional
to the relative speed between the workpiece and the tool, pressure, and time.
For instance, in correcting the error, lapping or polishing is carried out only
for a given time under uniform or eccentric pressure and fixed tool speed
[3–5]. In addition, the preparation of measuring methods and instruments to
obtain a high accuracy is required because the results of measurement are
reflected in correcting lapping or polishing. Therefore, it is recommended that
a processing machine, measuring instrument, and evaluation equipment
should be placed in close proximity at a manufacturing site.
The principle of the chip generation should be well examined in order to
obtain a mirrorlike surface of high quality. The abrasive grains in slurry
primarily work toward mechanically chipping off from the work surface,
and the traces of chip generation are detected as the ups and downs of surface
roughness. When using the slurry including bigger or harder particles than
primary abrasive grains and the polishing pad dirtying with such particles, the
rough surface having unexpectedly deep scratches may be given. Especially,
it should be carefully noted that these defects tend to increase in proportion to
the lapping or polishing time, because most of lapping or polishing machines
employ a periodic motion.
There will be no problem if all energy spent for the stock removal is
consumed through chip generation; however, the energy partially remaining
on the worked surface generates a damaged layer as the residual strain and
stress. Deep cracks can remain on the worked surface due to the unwanted dust.
Therefore, in polishing for a high-quality mirror surface, it is important to
utilize minute abrasive grains and to prevent dust from contaminating. The
quality of polished surface is determined by the level of the following factors:
removing large particles and dust in slurry, washing workpieces and a lapping
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 285 23.10.2006 6:36pm
Polishing Technology 285
or polishing jig, taking dust-proof measures in the lapping or polishing
environment, separating lapping and polishing rooms, and worker’s aware-
ness about maintaining clean conditions.
A soft tool is employed to reduce mechanical action by abrasive grains and
dust. This has proven to be highly effective in polishing. However, new problems
such as the significant tool wear and the generation of the turned-down edge
around the workpiece might possibly occur, presenting difficulties in achiev-
ing the required accuracy.
In order to enhance machining accuracy and efficiency, chemicals for
dissolving work materials can be added to the slurry. If the surface layer
caused by the mechanical action of abrasive grains can be dissolved, the stock
removal amount will increase and the damaged layer will be removed.
However, in such condition as generating scratches, it is essential to dissolve
the surface layer excessively in order to avoid the difficulty of obtaining the
expected smooth surface.
Concerning the damaged layer on mirror-polished surface, the adopting
base of polishing conditions is diverse when taking account of the kind,
component, and perfection of work material and the device performances.
Silicon wafers are made up of an almost-perfect single crystal ingot. Chem-
ical mechanical polishing (CMP, or mechanochemical polishing, MCP) is
applied to supply perfect crystal surface on wafers making no disturbance in
the atomic and molecular arrangement, because the subsequent device pro-
cess includes crystal-epitaxial growth on the wafer. Colloidal silica and a soft-
foamed polyurethane pad are used here.
6.3 POLISHING MACHINESTOSHIO KASAI
6.3.1 SINGLE-SIDE POLISHING
Lapping and polishing machines have a relative motion mechanism, rubbing a
workpiece and a polishing pad together to carry out the process. The prede-
cessors of polishing used a relative motion by their hands, and required a
significant amount of time to achieve the desired result. However, the uni-
formity of the relative motion was essential for obtaining shape accuracy in a
plane surface and a spherical surface, and the inefficient work by human
hands naturally led to the development of lapping and polishing machines.
Current styles of polishing machines use motor drives. Generally, the
machine is designed to affect the complete surface of all workpieces and
tools evenly by means of a relative motion obtained in conjunction with the
rotary and reciprocating motions. A lens-polishing machine, which has been
improved as a result of various modifications, is an example of a production
machine that can be used to obtain a plane surface, a spherical surface, and a
nonspherical surface. In addition, another type of polishing machine equipped
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 286 23.10.2006 6:36pm
286 Handbook of Lapping and Polishing
with an innovative relative polishing motion has been proposed. The introduc-
tion of high-technology elements, attending the additional measurement, control,
advanced computer technology, and highly clean environment, has improved the
quality of works and sophistication of the polishing machines. Further details of
the fundamental motion for single-side polishing are described later.
6.3.1.1 Metallurgical Polishing Machine and Rough Lapping Machine
Metallurgical polishing machines and rough lapping machines employ the
simplest structure for revolving a tool plate. Polishing progresses as the
worker’s hand presses the workpiece against the revolving tool plate under
dispersing slurry, therefore, this case is defined as semihand polishing or
semihand lapping.
Metallurgical polishing machines are used to obtain a mirror-finished
surface that is essential for microscopic examination in metallography. For
this polishing method, workpieces are placed on a felt-polishing pad adhered
to the rotating tool plate by a worker’s hand. Since the felt is made of animal
fibers, which wear off easily, concave traces are easily made along the same
circumference of the rotating plate as shown in Figure 6.3. Workpieces usually
become a convex-finished surface by copying the concave surface of the tool
plate. A reasonable condition for maintaining the original flatness by making
a success of even wears on the felt-polishing pad is necessary, so that the
flatness of the workpiece can be obtained. Reciprocating motion is effectively
applied to rub the workpiece repeatedly across the radius or the diameter of
the rotating polishing pad. For small work materials, dummy materials are
placed around them for the purpose of making the work surface appear larger
on sight.
In a rough lapping machine, the work operation is performed by a
reciprocating motion in the direction of the radius or across the diameter of
the rotating cast-iron lap as shown in Figure 6.4. Since the size of the abrasive
grain in lapping slurry may be larger than 10 mm, the cast-iron, despite its
Polishing pad
Workpiece
Improving
Wear markReciprocating motion
Running out
Improving
FIGURE 6.3 Motion of workpiece in metallurgical polishing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 287 23.10.2006 6:36pm
Polishing Technology 287
hardness, wears away as lapping progresses. By ensuring an even wear of the
lap surface, the original accuracy of the plane or spherical surface of the lap
can be maintained.
Figure 6.3 and Figure 6.4 show two or three types of motions found in
metallurgical polishing and rough lapping machines. The reciprocating
motion requires that the workpiece should run out to the edge of the tool in
order to generate even wear of the tool surface. In addition, it is desirable to
give a steady rotation to the workpieces by hands, though worker’s skill may
be required. When the reciprocating motion is attempted by a straight-line, it
tends to be an elliptic motion and the skilled hands can describe an eight-figure
locus passing through the center of the tool, due to the rotation of the tool.
6.3.1.2 Glass-Lens-Polishing Machine
A lens-polishing machine is used as a substitute to a hand operation in the
polishing methods described above. This machine, used for lapping and
polishing plane surfaces, spherical surfaces, and nonspherical surfaces, util-
izes a circular-shaped workpiece and tool called an ‘‘upper plate’’ and a
‘‘lower plate,’’ respectively.
Regarding the fundamental motion in a lens-polishing machine, the upper
plate is placed facing the lower plate, which is rotated by a motor whereas the
center of the upper plate is pressed from its backside by the pivot axis. In this
condition, the upper plate rotates in, following with the revolution of the
lower plate by the turning torque generated by the polishing resistance
between both the plates. Polishing is carried out with the reciprocating motion
of the upper plate, which draws an arc locus on the lower plate by the crank
mechanism.
Figure 6.5 shows the reciprocating motions in a common lens-polishing
machine and others. The rotation of the upper plate may fluctuate not only
due to the effect from the reciprocating motion and the rotation of the lower
plate but also due to the positional relationship and change of the contact
Lap
Reciprocating Eight-figure trackRunning out
Workpiece
FIGURE 6.4 Motion of work in rough lapping machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 288 23.10.2006 6:36pm
288 Handbook of Lapping and Polishing
condition between the upper plate and the lower plate. There is a delicate
relationship between the machining motion and accuracy in polishing, there-
fore, the worker’s experience and skills are required to properly adjust the
machine’s operation.
6.3.1.3 Conditioning Ring-Type Polishing Machine and Ring-ToolPolishing Machine
These machines are mostly applied to plane polishing whereas some of
them are employed for manufacturing the long focal reflecting mirrors by
using a concave or convex surface tool. As shown in Figure 6.6, a conditional
Upper plate
Lower plate
Motion
Motion
(a) Common lens-polishing machine (b) Elliptic motion type
(c) Linear motion type
FIGURE 6.5 Motion between upper plate and lower plate of lens-polishing machine.
Conditioning plateWorkpiece
Conditioning ring
Lap or polishing pad
FIGURE 6.6 Conditioning ring=plate-polishing machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 289 23.10.2006 6:36pm
Polishing Technology 289
ring-type polishing machine has a simple structure in which the workpiece,
conditioning ring, and plate face the polishing pad as ring tool at the
eccentric position. Rotation of workpiece and polishing pad can give
the relative motion. In polishing workpiece whose diameter is smaller than
the width of the ring tool, the tool wears off as forming concave curve on the
ring tool width whereas the worn and deteriorated tool surface is repaired by
using the conditional ring and plate. In other words, utilization of the
conditional ring and plate can make the work diameter larger and encourage
the even wear of the tool, so that the flatness can be maintained on workpiece
and tool [6].
When the speed between the tool, workpiece, and conditioning ring is
adjusted in the same ratio, a constant relative speed is maintained on both
sides contacting each other. The stock removal and the wear of the tool are
proportional to the lapping speed, pressure, and time, therefore, the pressure
and time should be monitored to achieve high-precision polishing.
There is a case in which new motions are added to the rotation of the
workpiece, conditioning ring, and plate. In order to control an even wear of
the tool, the conditions giving reciprocating motions of straight, arc, and
circular locus on the tool radius or diameter to them are proposed. When
the radius of a ring tool is infinite, the process such as the belt polishing is
applicable. The belt polishing proceeds by having the belt tool run against
the workpiece or by pressing the abrasive tape on a workpiece moving at a
high speed.
6.3.1.4 Nonspherical Surface Polishing Machine
In polishing an on-axis aspherical surface, a spherical surface is initially
obtained by a lens-polishing machine and then the desired aspherical
surface is achieved by adjusting the tool diameter and the amplitude of
the reciprocating. However, aspherical devices may vary in form. For
polishing an off-axis aspherical surface, the semimirror surface obtained
previously by numerically controlled (NC) grinding is taken to perfect
mirror finishing and accuracy correcting. In this case, a unique polishing
method and conditions are applied. As Figure 6.7 shows, the correcting
polishing for aspheric accuracy progresses by using a circular or boat-
shaped small tool designated for the relative motion with a subtle rocking
motion in a circular or an elliptical figure locus and scanning the tools by
means of residence time control [7,8]. This residence time control is based
on an error difference between the design value and the measured value of
work surface, and a computer control is introduced. Another polishing
method is applied under the condition that a ball- or a roll-shaped small
tool is set under the closed contact on a workpiece, and polishing is
accomplished under noncontact conditions by tool rotation, as elastic emis-
sion machining (EEM) [9].
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 290 23.10.2006 6:36pm
290 Handbook of Lapping and Polishing
6.3.2 DOUBLE-SIDED POLISHING
Toshio Kasai
Double-sided polishing machines are extensively used in manufacturing
silicon wafers, magnetic disk substrates, glass mask substrates, and quartz
oscillator substrates.
In the single-sided lapping and polishing of these substrates, there is a
limitation in obtaining parallelism on workpieces. In addition, since each
surface of workpieces is not lapped or polished simultaneously, the difference
of damaged layers and stress between both sides causes the bend as both-side
stress of workpiece balances each other. It results in a waste of time in not
only parallel lapping or polishing, but also work setting and measuring as the
related works. In these cases, the adoption of double-sided polishing has been
a reasonable approach to the fabrication of substrates.
A double-sided polishing machine adopts a motion that is similar to a
ring-tool-type machine for single-side polishing. As shown in Figure 6.8, the
workpieces set in gear-type carriers are placed on the lower tool plate and
then loaded with the upper tool plate. As upper and lower tool plates are
of larger diameter than workpieces, a lot of workpieces can be lapped or
polished simultaneously and finished to the parallel successfully. Usage of
five carriers for workpieces is commonly effective for double-sided polishing,
as they are evenly distributed on the tool surface.
It was desirable for double-sided lapping or polishing that the relative
motion between workpieces, upper and lower plates was unified, and two-
motion-type machine system was proposed, which had the fixed upper and
lower plates and the rotation and revolution mechanisms for carriers. On the
other hand, there is a four-motion-type double-sided polishing or lapping
machine, which adds the opposite rotation to both upper and lower plates.
Traveling(stock removal is controlled
by residence time)
Small circular orelliptic motion
Boat-type small tool
Slurry spreadon work surface Polished mark
FIGURE 6.7 Computer-controlled aspheric polishing by using small tool method.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 291 23.10.2006 6:36pm
Polishing Technology 291
A three-motion-type double-sided polishing or lapping machine with the
fixed tool plate has also been proposed. In polishing or lapping for adjusting
thickness of very thin quartz substrates, the machine system operating on
workpieces is held by the carriers between the upper and lower plates
oscillating eccentrically by a width of about 10 mm. And the vertical-type
double-sided lapping or polishing machines are proposed as unique machines
whereby lapping or polishing proceeds holding a 14 in. diameter metal-disk
substrate vertically and pressing both their sides with the tool plates [10].
The vertical-type double-sided lapping or polishing machines have been
utilized for lapping the substrates as workpieces, and are also applied to
polishing as well. The gear-type carriers holding the workpieces are used; the
carriers are thinner than the workpieces. The scratch generated by dust, which
is carried to the polishing pad surface by the gear carriers, has been pointed
out as a potential problem. Recently, a new-type machine for double-sided
polishing of silicon wafers has been proposed, which is shown in Figure 6.9.
The carrier holding workpieces is of larger diameter than the oppositely
rotating upper and lower tool plates, supported by their out positions and a
small circular rocking motion between them as a characteristic of this ma-
chine system [11].
6.4 MECHANOCHEMICAL POLISHING AND CHEMICALMECHANICAL POLISHING
TOSHIRO K. DOI
Mechanochemistry is a series of physical and chemical phenomena that are in
action when mechanical energies applied to the work when processes by
WorksCarrier
Internal gear
Sun gear
Upper plate
Lower plate
FIGURE 6.8 Double-sided polishing machine.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 292 23.10.2006 6:36pm
292 Handbook of Lapping and Polishing
compression, shearing, rolling and bend are carried out, and the physical and
chemical properties of the materials (thermal decomposition reaction, phase
transformation, etc.) or the chemical reactions to the surrounding materials
(solid-phase reaction, hydration reaction, oxidization and reduction reactions)
are promoted [12]. They are the phenomena known for a long time in the
fields of grinding (trituration), friction, and wear of powder materials. Espe-
cially as far as the ultrafine particles are concerned, the chemical interactions
in the contact interface have significantly more possibilities of becoming a
governing factor over the material alteration than the physical properties of
the material.
In this section, polishing related to the mechanochemistry is reviewed.
6.4.1 MECHANOCHEMICAL POLISHING
MCP is characterized by the use of powders as abrasives, which are mech-
anically softer than the works and could react chemically with the works. In
general, this method is based on the solid-state reactions, which implies that
polishing in dry conditions is more effective than the polishing with water.
Figure 6.10 shows a contact status of the work with soft abrasives. Different
from conventional mechanical polishing with hard abrasives, soft abrasives
result in significantly less generation of scratches and damaged layers by the
abrasive push in or scratching actions as it is the soft abrasives, not works, that
transform the shapes at the contact point [13].
Upperplates
Wafer
Carrier
Eccentricaxis
Belt
Lowerplates
WP2
WP2
Rw Rq
WwWC
FIGURE 6.9 Schematic figure of the micromotion polishing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 293 23.10.2006 6:36pm
Polishing Technology 293
Basic polishing mechanism is explained here by taking sapphire polishing
(a-Al2O3) as an example. Powders (hardness below 50% of a-Al2O3) like
SiO2 and iron oxide (a-Fe2O3, Fe3O4) that could generate solid-state reactions
with Al2O3 are supplied in dry conditions or together with some adequate
solution (reagent) under similar kinetic behavior to the lapping or polishing
method [14]. Solid-phase reactions are generated from both the supplied
powders (abrasives) and sapphire at the contact point within a momentary
contact time. Polishing progresses when such reacted areas are removed on
the order of angstroms or nanometers by frictional force.
When abrasives of SiO2, Fe2O3, or MgO are selected, solid-phase reac-
tions with Al2O3 occur, producing mullite, various solid solutions, and Mg–Al
spinel, respectively. When polishing is performed with the particles that
produce such solid-state reactions in dry conditions or with proper solution
(reagent), high-temperature and high-pressure ambient is generated by the
frictional energy at the contact area between the work and polishing abra-
sives, generating solid-phase reactions within the contact time, which accom-
pany counterdiffusions between them. Polishing advances as such reacted
spots are further removed microscopically by frictional forces.
Figure 6.11 shows polishing rates of sapphire using various polishing
abrasives [15]. The hardness of sapphire is about 9 on the Mohs scale.
When hard abrasives SiC are used, very efficient polishing is observed.
Meanwhile, it was not possible to achieve efficient polishing with abrasives
with Mohs hardness below 9 for polishings dependent on mechanical actions.
However, when the abrasives such as SiO2 and Fe2O3 that undergo mechano-
chemical reactions with sapphire are used, high polishing efficiency in par-
ticular was attained without showing dependency on the hardness.
This polishing method features no use of soft polishers (pads) as excess
energy applied to the work surface via abrasives can be absorbed by the
distortion breakdown of the polishing abrasives. As a consequence, in the
MCP, pads of mild steel, fused quartz, or ceramics can be used, contributing
to maintaining flatness whereas in the conventional polishing with soft pads,
Microreaction part
Work (hard crystal)
Soft abrasive
Movement
FIGURE 6.10 Contact status of the work with soft abrasives in mechanochemical
polishing. (From Yasunaga, N., et al., Proc. ICPE, 32, 32, 1974.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 294 23.10.2006 6:36pm
294 Handbook of Lapping and Polishing
the flatness deteriorates as the stock of removal increases. MCP is extremely
effective in keeping flatness.
Figure 6.12 is an example of the polishing efficiencies of MCP with
sapphire as a work. SiO2 and a-Fe2O3 abrasives with mechanochemical effects
on sapphire indicate high polishing efficiency especially in the dry process,
irrespective of the size of the abrasives, proving that MCP provides better
efficiency than mechanical polishing with diamond abrasives (paste) [15].
SiC
SiO2
TiO2
NiO
Fe Ti
FeO
Cr2O3 α -Al2O3
FeAl2O4
α -Fe2O3Fe3O3
10987654300
1
2
3
4
5
Mohs hardness
Pol
ishi
ng r
ates
of s
apph
ire (
mg/
kg)
Polishing conditions
500 m:Polishing distance
5 7 mm2:Work area
9.80665 N:Weight
17.2 m/min:Removal speed
Water:Polishing reagent
Mild steel:Pad
FIGURE 6.11 Polishing rates of sapphire using various abrasives.
0
1
2
3
ClothQuartzQuartzQuartz:Pad(1 µm)(0.2 ~ 0.3 µm)(3 ~ 5 µm)(0.02 µm)
Diamondα -Fe2O3SiO2SiO2:Abrasive
Dry
Dry
Dry Dry
WetWet
48 rpm:Pad revolution
(0001):Polished crystal face of polishing
Pol
ishi
ng r
ate
of s
apph
ire (
mg/
kg h
)
FIGURE 6.12 Polishing efficiencies in mechanochemical polishing of sapphire by
various abrasives. (From Yasunaga, N., et al., Proc. ICPE, 32, 32, 1974.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 295 23.10.2006 6:36pm
Polishing Technology 295
Processed surfaces by MCP and mechanical polishing with diamond
abrasives are shown in Figure 6.13a together with differential interference
microscopic photographs of the above surfaces slightly etched by phosphoric
acid in Figure 6.13b [15].
The surface processed by the MCP shows absolutely no scratches. Even
after etching, etch pits only were observed on the surface that corresponds to
the dislocation density inherent in the bulk. MCP has been proved to produce
excellent surfaces without generation of damaged layers.
The dimensions of mechanochemical reaction layers produced between
the abrasives and work can determine the stock of removal in this polishing
method. The formation of reaction layers at the contact point is dependent on
the temperatures and pressures, reaching as high as 5 nm in a momentaneous
period of 103 to 104 s. The miniaturization and uniformity of such reac-
tion layers are the determining factors of the final polishing accuracy of the
MCP method.
6.4.2 CHEMICAL MECHANICAL POLISHING
6.4.2.1 Progress of MCP–CMP
The polishing principle of the CMP or MCP in the ultraprecision polishing is
in brief a processing method that promotes polishing by inducing or activating
solid-phase reaction (dry process) or solid–liquid phase reaction (wet process)
by hot H3PO4 (300C)(b) Etched surface(a) Polished surface
Polishing by diamond abrasives
[Pad: Nonwoven cloth]
Polishing by SiO2 abrasives (3~5 µm)
[Pad: Quartz plate]
20 µm20 µm
FIGURE 6.13 Process surface by mechanochemical polishing (upper) and mechanical
polishing (lower).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 296 23.10.2006 6:36pm
296 Handbook of Lapping and Polishing
by the mechanical energy produced by the abrasives. Wet process is normally
applied to the ultraprecision polishing of semiconductor materials.
CMP is a polishing mechanism with mechanical removal and chemical
dissolution, and MCP is a mechanism to remove reaction products by mech-
anical work involving friction and abrasion induced by the chemical work.
Technically CMP and MCP should be distinguished however, but they are
treated the same here as wet-type MCP.
Regh and Silvey [16] are believed to be the first to conduct CMP–MCP of
a single crystal silicon semiconductors in the 1960s based on the displacement
reaction of Cu ion
2Cu2 þ Si! Cuþ Si4þ=Si4þ þ 6F ! SiF2
using mixed solutions of NH4F and Cu(NO3).
Later Mendel [17] of IBM proposed MCP of a single crystal silicon
applying chemical reactions
Siþ 4NaOH! Na4SiO4 þ 2H2
of alkaline solutions such as NaOH, which has become a basis for today’s
polishing process of silicon wafers. Today, alkaline colloidal silica is widely
used in the polishing of silicon wafers.
6.4.2.2 Requirements for Polishing
Quality wafers are absolutely necessary in the finishing process for the device
formation. Figure 6.14 shows basic requirements for the wafers to be pol-
ished, relationship between slurries (consisting of the abrasives or particles
dispersed in polishing solution) and pads with regard to such requirements,
and their influencing factors. The following are the items used to determine
the quality of the processed surfaces:
. Flatness or TTV, LTV
. Scratch or microscratch
. Dimple or peel
. Microroughness or ripple, haze
. Residual strain or oxidation-induced stacking fault (OSF)
These defects differ in the frequency of occurrence, depending not only on the
rigidity of the polishing machine and kinetic mode, but also on adhesion,
materials, and accuracy of a wafer-holding jig, pressurization and cooling
methods, including pad, slurry, and mechanical polishing conditions.
For CMP in the finishing process of silicon wafers, severe conditions such
as roughness of 1 to 2 nm Ry and free from OSF [18], microscratch, haze, and
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 297 23.10.2006 6:36pm
Polishing Technology 297
impurity are essential, which requires CMP to be performed in several
steps. Currently first and second polishings, and even third and fourth polish-
ings are performed each time with appropriate combinations of slurries and
pads (Table 6.1).
6.4.2.3 Basic Mechanism of CMP for Silicon Crystal
As the effect of chemical actions of CMP on polishing rate is extremely large,
polishing rate V (excluding the polishing rate based on the mechanical actions
TABLE 6.1Example of Si Wafer Polishing Conditions for ULSI Fabrications
Processing Conditions
Stock of
Removal (mm) TargetProcess
First polishing 10 to 15 High efficiency
Smooth mirror surface (20 ~ 40 A Ry)
Second polishing 0.5 to 1 OSF-freea
Improvement of surface roughness (10 ~ 20 A Ry)
Third and fourth
polishing
0.1 to 0.3 Haze-free
Contamination free
aOSF is the oxidation-induced stacking fault.
FactorsBase elements of polishingRequirements
Cleanliness(contamination free)
High accuracy(flatness)
High efficiency(high throughput)
High quality(distortion-freemirror finish)
• Dispersing
• Distribution
• Environment
• Chemicals (purity, type, density, temperature, etc.)
• Elastic deformation characteristics
• Hardness/thickness
• Thickness accuracy
• Surface topography (polishing agent retaining function)
• Particle size
• Hardness
• Shape
• Type
• Reactivity against works/reaction pattern
• Density and types of chemical solutions
• Temperature
Slurry
Precision cleaning
Pad(polisher/polishing cloth)
Abrasives(fine particles)
+ Additives
Polishing solution1
2
3
4
FIGURE 6.14 Basic requirements for the wafers to be polished, the relationships
between slurries and pads, and influencing factor.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 298 23.10.2006 6:36pm
298 Handbook of Lapping and Polishing
VM from the total polishing rate) can be calculated using Arrhenius’ rate
equation:
V ¼ V0 exp E0=R(T þ DT)
¼ V0 exp (E0 E)=RT
where V0 is a parameter determined by the polishing conditions, R is a gas
constant, T is a temperature (K) of processing reaction system, DT is a
temperature rise (K, 0 < DT=T < 1), and E0 is an activation energy
(kcal=mol) of intrinsic reaction system of slurry and work (Si) [19,20].
E* is an apparently decreased amount of activation energy E0 by the strain
energy stored on the surface by the mechanical actions induced by the
abrasives (particles), or by the heat energy induced by the frictional heat
[20]. Figure 6.15 shows an Arrhenius plot of the polishing rates in relation to
the varying pad temperatures [21]. As the abrasive concentration and polish-
ing pressure increased, apparent activation energy decreased, which supports
the above equation.
The correlation between the heat value Q obtained from the friction
resistance measured during processing and the heat energy E (kcal=h)
obtained on the basis of E* was investigated, from which a relation of
E¼aQ – b (parameter a is a conversion efficiency that becomes a processing
energy E, contributing to the polishing) was derived [21]. It is further clarified
that friction mode is not caused by simple scratch actions but attributable to
adhesion. In fact, it has been confirmed that the slurry consisted of colloidal
silica, to which fine silicon particles similar to a Si wafer appropriate to
Pol
ishi
ng r
ate
(µm
/h)
1/T (1/K) 103
Polishing liquid: 1.5 M-KOH aq.Abrasives: 0.1 µm-ZrO2(abrasives concentration 5 wt%)
Polishing liquid: 1.5 M-KOH aq.Abrasives: none
14.412012.124011.6360Disk-type chemical
polishing (CP)
6.71206.02405.2360
Chemical mechanicalpolishing (CMP)
E0−E *(kcal/mol)
Polishingpressure(gf/cm2)
(1.5 M-KOH aq.)(E0 = 14.5 kcal/mol)
Immersion etching
1. Pad, 2. Steel plate, 3. Silicon rubber heater, 4. Asbestos board, 5. Fixed bed,6. Stainless plate for bonding, 7. Si single-crystal specimen, 8. Guide roller,9. Processing agent, 10. Panel heater, 11. Tank, 12. Stirrer, 13 and 14. Slider
CMP
CP
100
80 70 60 50 40 30 20 10
50
10
5
1
0.50.3
2.8 3.0 3.2 3.4 3.6
11
14
1
10
12 9
13
88
6
723245
FIGURE 6.15 Dependency of processing rates on temperature (Arrhenius plot).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 299 23.10.2006 6:36pm
Polishing Technology 299
induce adhesions are added, which increases the polishing rate. Activation
factors of the velocity (polishing rate) component of the chemical actions Vare shown in Figure 6.16 with a diagram of the abrasive behaviors during Si
wafer CMP [10]. Figure 6.17 shows a chart of macroscopic-processing
mechanism in Si CMP.
V (relativespeed)
Reactionproducts (film)
Works (Si)
Processing liquid(chemical solution)
Polisher (pad)P (processing pressure)
Heat generationby friction
Shear/ exfoliation
Temperatureincrease ∆T
(heat energy)
Adhesion
Production ofnew surface
Processing warpenergy
Abrasives
(Reactants)
(Products)
E*
E0 − E*E0
(E* = E1 + E2)
Ene
rgy
PolishingEtching
)
)
RT
E0 − E1 − E2
RT
E0V = V0 exp( −
Vc = V0 exp( −
Reaction coordinate
E0: Activation energyE0 − E*: Apparent activation energy
* *
* *
FIGURE 6.16 Model of processing promotions factors in Si crystal CMP.
(V1: Dissolution velocity of reaction products)
(V2: Velocity of reaction progress)
• Positive (aggressive) removal of reaction products
• Adhesion friction
> 0< 0
Scratches by other abrasives
V1− V2
Formation of soft reaction products (e.g., K2SiO3)
Frictional heat generation
Increase in temperature of polishingreagent and work surface (interface)
Reaction progress of reaction products (soluble)
[Step 4]
Promotion (acceleration, enhancement) of chemical reactions (especially in abrasive−work contact area
e.g., Si + 2KOH + H2O → K2SiO3 + 2H2[Step 3]
Friction accompanied by theadhesion with abrasives
[Step 2]
Increase of mechanical actions of abrasives
Approach−interaction region of abrasives to
Polishing pressure and abrasive concentration above a certain level
[Step 1]
1
1
2
2
FIGURE 6.17 Macroscopic-processing mechanism of Si-CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 300 23.10.2006 6:36pm
300 Handbook of Lapping and Polishing
Meanwhile, Fussetter and coworkers carried out microscopic study on the
mechanism of Si CMP with following findings:
. When OH ion contacts a silicon pole surface, silicon atomic bond is
segmentized, promoting Si–OH bond.. Silicon atom newly appeared on the surface combines with Hþ (proton),
forming Si–H.. Meantime, OH ion that is left behind contacts with silicon atom.
During this process, OH ion is supplied onto the Si wafer surface where
the effect of water is important. Such OH ions are retained in abundance in
the surfaces of SiO2 particles dispersed in the slurry, which contribute to the
dissociation of Si–Si bond (Figure 6.18). Behaviors of such actions largely
differ depending on pH values of the slurry and contact conditions with the pad.
In any event, both chemical actions and membranous reaction products
formed on the surface are essential for the finishing process of silicon wafers
since the projected areas of the pad to which abrasives are adhered remove
such membrane, serving to restrain direct mechanical actions on Si wafer, and
successfully leading to the realization of high-quality processed surfaces.
6.4.2.4 Examples of Polishing Characteristics
For the improvement of mechanochemical effects to subsequently increase
polishing efficiency, the following two methods can be considered as
(Bulk)
(Bulk)
Si
Surface
Leaves the surface with the slurry
FIGURE 6.18 Removal mechanism in chemical mechanical polishing (CMP) of
silicon crystal. (From H. Fussetter et al., Proc. MRS Symp., 386, 1996, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 301 23.10.2006 6:36pm
Polishing Technology 301
effective: (1) to intensify mechanical energy to be applied or (2) to increase
the degree of chemical activities of the slurry around the crystals.
Both polishing rates VM in CMP and in mechanical polishing increase as
polishing pressure increases. Increase of the chemical effect in VCMP, or
mechanochemical effect, is enhanced by the mechanical actions induced
and promoted by the increased polishing pressure (Figure 6.19). By thicken-
ing slurry concentration, VCMP and VM also increase as polishing pressure
increases. This is explained by the increase of the amount of the abrasives
working on the silicon wafer surfaces due to thickened slurry concentration.
Increase of relative speed between pad and wafer (number of pad revolu-
tions) that increases the frequency of the abrasives acting on the crystal surfaces
also serves to increase mechanical actions with a subsequent increase of VCMP.
Types of abrasives (including hardness and particle size) that work on the crystal
surfaces are also the contributing factors. Figure 6.20 is a comparison of VCMP
obtained using different types of abrasives [21]. Depending on the types of
abrasives, VCMP and chemical action ratio g varied. Even among the same
SiO2 abrasives, differences in VCMP were observed depending on the production
method and specific surface area. These are attributable to the magnitude of the
mechanical actions in accordance with the differences in the surface conditions
of the abrasives, and to the stability of abrasives in chemical solutions.
In the meantime, following are considered the methods that directly
increase the degree of chemical activities of polishing reagent around crystals:
Abrasive: 0.1 µm-ZrO2
Polishing reagent: 1.5 M-KOH aq.
0.7 wt%
5 wt%
5 wt%
20 wt%
Abrasive concentration
VMCP
VM
0
10
20
30
40
50
0 100 200 300 400
Pol
ishi
ng r
ate
VC
MP/V
M (
µm/h
)
Polishing pressure (gf/cm2)
FIGURE 6.19 Relationship between polishing pressure and polishing rate (workpiece:
Si crystal).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 302 23.10.2006 6:36pm
302 Handbook of Lapping and Polishing
1. To use chemical solutions of high chemical activities for polishing
reagent
2. To apply other energies to polishing reagent such as heat energy and
light energy
Figure 6.21 shows dependency of the etching rate VE of a simple immer-
sion type and the CMP rate VCMP on the concentration level of polishing
reagent (KOH solution). As the concentration level of KOH solution
EDCBA0
10
20
Pol
ishi
ng r
ate
VC
MP/V
M (µ
m/h
)
0.1 µm-ZrO2(g = 93)
0.24 µm-SiO2(g = 87)
0.05 µm-SiO2(g = 88)
0.04 µm-SiO2(g = 99)
0.04 µm-SiO2(g = 78)
Wet processing
Dry processing
VM
VCMP
FIGURE 6.20 Polishing rates VCMP, VM in chemical mechanical polishing and mech-
anical polishing by various abrasives (workpiece: Si substrate).
KOH solution molarity (M)
00
50
Etc
hing
rat
e V
E (
µm/h
)
Pol
ishi
ng r
ate
VC
MP (
µm/h
)
100
150
2 4
(Room temperature)
6 80
10
20
30
CMP
Etching
Polishing pressure: 120 g/cm2
Etching temperature: 90C
Relative speed: 33 m/min
Abrasive: 0.1 µm-ZrO2
FIGURE 6.21 KOH solution molarity effect on etching rate and polishing rate in
chemical mechanical polishing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 303 23.10.2006 6:36pm
Polishing Technology 303
increased, VE and VCMP increased, which were observed to be closer to
saturation at around 3 M. Figure 6.22 shows a relationship between the pad
temperature and VCMP [20]. These polishing characteristics were obtained
using a thermomechanical polishing machine. VCMP increased exponentially
with the increase of the pad surface temperature, and reached the level three
times more than that of the room temperature at 508C. Disk-type chemical
polishing rate VDCP with the polishing reagent (KOH solution) without abra-
sives also increased with the increase in the pad surface temperature, which,
however, is no more than the 20th part of VCMP at the room temperature.
Normal chemical polishing rate VM without chemical solutions is of course
not dependent on temperature. Under the same temperature conditions, CMP
rate VCMP is found to be the largest as follows:
VCMP > VM þ VDCP
Mechanochemical reactions contributed to such an increase of polishing rate.
These imply that polishing rate is influenced significantly by the chemical
actions induced by polishing reagent and by the combined and superposed
effects of chemical actions induced by abrasives. As already mentioned in the
study on a processing mechanism shown in Figure 6.16, the effect of heat
generated from shearing and peeling of the agglutinations produced between
the crystal and abrasives is presumably large. Agglutinations tend to occur
when two materials of similar mechanical natures or of the same kind slide
each other.
: 90 rpmRevolution: 0.1 µm-ZrO2 (5 wt%)Abrasive: 1.5 M-KOH aq.Polishing reagent: 120 gf/cm2Polishing pressure
CMP (VCMP)
: 90 rpmRevolution: noneAbrasive: 1.5 M-KOH aq.Polishing reagent: 120 gf/cm2Polishing pressure
Disk type chemical polishing (VDCP)
: 90 rpmRevolution: 0.1 µm-ZrO2Abrasive: DI waterPolishing reagent: 120 gf/cm2Polishing pressure
Mechanical polishing (VM)
60
50
40
30
20
10
0
Pol
ishi
ng r
ate
(µm
/h)
20 30 40 50Temperature of pad surface (C)
FIGURE 6.22 Relationship between polishing pressure and polishing rate (workpiece:
Si crystal).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 304 23.10.2006 6:36pm
304 Handbook of Lapping and Polishing
Figure 6.23 is a comparison of the polishing rates VCMP of Si wafers with
two different slurries: (1) containing colloidal silica (SiO2 fine particles of
100 to 200 A dispersed in alkaline solution of pH 9 to 11) that has been used
conventionally as finishing slurry of Si wafers and (2) containing fine Si
abrasives (particles) added to the above slurry (1), since such Si particles of
the same nature as Si wafer are thought to become most easily agglutinated
with Si wafer [22]. When fine Si particles were added, polishing rate
improved more than twice the other, and defect-free surface was obtained.
The polished Si wafer was found OSF-free, which confirms that damage-free,
high-quality surface is effectively produced.
6.5 NONCONTACT POLISHINGTOSHIO KASAI
There is a distinctive polishing method whereby polishing advances with
slurry layer under a noncontact condition between the workpiece and the
tool, in a number of polishing methods. Float polishing [23] and EEM [24]
belong to this method. These differ considerably from a close contact condi-
tion in polishing glass lenses and mirrorlike metal dies.
In float polishing for high accuracy and high quality, as shown in Figure 6.24,
a machine system having an ultraprecision hydrostatic-type rotary bearing and a
highly accurate air slide is used to provide for a flat and mirror tin tool plate as
a soft metal tool. A tin ring-type tool plate as polishing pad is mirror-cut by
using a diamond-cutting tool on polishing machine. Tin tool plate mirror-cut
appears to be perfectly flat when rotating and coming to a standstill. A suitable
amount of slurry is supplied and stored in a circular-shaped frame along the
Workpiece: Si wafer
Pol
ishi
ng r
ate
VC
MP (
µm/h
)
Colloidal silica+
Si particles (20 nm)
Colloidal silica(10 ~ 20 nm silica15 wt%, pH 11)
0
10
20
FIGURE 6.23 A comparison of the polishing rate VCMP of Si wafer (effect of Si
particles).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 305 23.10.2006 6:36pm
Polishing Technology 305
outer edge of the tin tool plate. Polishing advances by pressing the workpieces
against the tool surface, which is always covered with slurry, and the flatness on
workpieces is copied from the tin tool surface. The most important point in
starting this polishing is that the workpieces that have been finished to rather
convex and mirror surface with the previous polishing should be used.
Floating condition is attained with the hydroplaning phenomenon under a
suitable tool rotation and slurry supplying. The cavitation generated in the slurry
layer can make the abrasive grains undulate with the fluid [25]; the work surfaces
are attacked by the activated abrasives, and the high-quality and ultraprecision
polishing advances. However, when applying such polishing to the rough work
surfaces commonly lapped, the workpiece does not float enough with the
hydroplaning effect. As a result, the mirror-cut tin tool plate will change into a
muddy surface by the friction with the work and the float polishing will not be
able to stand up.
EEM enables a workpiece and a tool to come to noncontact condition by
utilizing another principle. Either a rubbery ball or a roller as a rotating tool is
employed there. The tool is supported by the spring and contacts the work
surface when not rotating. The slurry layer or flow is formed between tool and
work surface as soon as the tool rotation starts, as shown in Figure 6.25.
Hydrostatic bearing
Workpieces
Tin tool plate
SlurryLoading
FIGURE 6.24 Schematic model of float polishing.
Slurry
Workpiece
Spring supportTool (urethane ball)
RotationFlow
FIGURE 6.25 Schematic model of elastic emission machining (EEM).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 306 23.10.2006 6:36pm
306 Handbook of Lapping and Polishing
Abrasive grains having the large gravity in slurry flow attack the work surface
under very shallow angle with the centrifugal force of tool rotation, and stock
removing is carried out. A part on the work surface can be polished by tool
scanning under computer control; EEM is one of the shape-collecting polish-
ings and can be applied for fabricating the aspherical surface.
6.6 MAGNETOABRASIVE FINISHINGHITOMI YAMAGUCHI
6.6.1 INTRODUCTION
Some combinations of different phenomena may have unexpected yet rather
beneficial effects. For instance, the combination of a magnetic field with the
mechanical action of a magnetic tool against a workpiece gives rise to
the magnetic-field-assisted finishing process, which shows potential for over-
coming problems associated with more conventional finishing processes. This
idea was initially presented in the former Soviet Union in 1938 [26]. Since
then, research on the process has been undertaken in Bulgaria, German
Democratic Republic (now Germany), and the United States. The first patent
known to us was granted in the United States in 1958, and full-scale research
on magnetic-field-assisted finishing started to appear in the 1960s [26,27].
Research groups in Japan have developed the process since 1981 and
succeeded in putting the process to practical use [28].
In the 1990s, the process applicability started to be recognized by the public
as the processing mechanism and the advantages of the process became better
understood. Magnetoabrasive finishing is one of the magnetic-field-assisted
finishing processes and has recently attracted considerable attention in prac-
tical use. The following section outlines magnetoabrasive finishing and its
advantages and introduces representative examples of the process application.
6.6.2 OUTLINE OF MAGNETOABRASIVE FINISHING
Figure 6.26 shows an example of the magnetoabrasive finishing, specifically,
cylindrical magnetoabrasive finishing [29]. The magnetic-abrasive particles
introduced between the poles are linked to each other by magnetic force. The
magnetic force acting on the magnetic abrasive is a function of the volume and
susceptibility of the magnetic abrasive, and the magnetic field, specifically
the magnetic field intensity and the gradients, at the finishing area [29]. This
magnetic force is transferred to the target surface as the finishing force. The
rotation and vibration of the workpiece cause relative motion between
the magnetic abrasive and the target surfaces, achieving finishing action.
Aluminum oxide composite magnetic abrasive is commercially available
magnetic abrasive and is generally used for this finishing process. It contains
aluminum oxide sintered with iron in an inert gas atmosphere with high
temperature and pressure [29], or as a product of a Thermit reaction in
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 307 23.10.2006 6:36pm
Polishing Technology 307
aluminum and iron oxide in an inert gas atmosphere [30]. Since the variety of
the composite magnetic abrasive is limited in the market due to the compli-
cated manufacturing process, the replacement of the composite magnetic
abrasive by a mixture of ferrous media and conventional abrasive slurry has
been considered [30–34]. The ferrous media consists of any shape of ferrous
material, e.g., powders, balls, pins, and flakes. The processes using composite
magnetic abrasive or mixtures of ferrous particles and slurry are generally called
‘‘magnetic-abrasive finishing’’ or ‘‘magnetoabrasive finishing.’’
6.6.3 ADVANTAGES OF MAGNETOABRASIVE FINISHING [35]
Figure 6.27 shows a schematic of the motion of ferrous particles, including
magnetic abrasive, in a magnetic field. In a magnetic field, particles link
together along the lines of magnetic flux, suspended by magnetic force.
Because the magnetic flux flows unimpeded through the workpiece material,
it is possible to influence the motion of a ferrous particle, even if the particle
is not directly in contact with the magnetic pole, by controlling the magnetic
Magnetic poleMagnetic abrasive
Workpiece
NS
Rotary motion with vibration
FIGURE 6.26 Schematic of cylindrical magnetic abrasive finishing. (After Shinmura,
T., et al., Bull. Jpn. Soc. Prec. Eng., 19(1), 54, 1985.)
Magnetic pole
S S
N
S
N
Workpiece
Ferrous particles Line of magnetic flux
FIGURE 6.27 Schematic of motion of ferrous particles in magnetic field. (After Japan
Society for Precision Engineering, Seimitsukakojituyobinran, Nikkan Kogyo Shinbun
Ltd., Tokyo, 559, 2000, [in Japanese].)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 308 23.10.2006 6:36pm
308 Handbook of Lapping and Polishing
field from outside. The ferrous particle chains connected by magnetic force
take advantage of the flexibility of the magnetic particle chain configuration.
This unique behavior of the magnetic particles enables the finishing operation
to be applied not only to easily accessible surfaces but also to areas that are
hard to reach by means of conventional mechanical techniques. This is a
distinct advantage of magnetoabrasive finishing and an example of the bene-
ficial effects resulting from the merger of two different phenomena.
The process applications in practical use found in the open literature
include the following:
1. Internal finishing: Complex-shaped tubes, integrated straight and bent
sections [36]
2. Edge and surface finishing: Access arms (carriages) of magnetic disk
units [37] and razor blades [38]
The following section introduces the representative examples of the process
applications such as the internal finishing of nonferromagnetic bent tubes and
the edge and surface finishing of access arms for magnetic disks.
6.6.4 INTERNAL FINISHING OF NONFERROMAGNETIC BENT TUBES [36]
The piping systems used in critical applications, such as aerospace compon-
ents and semiconductor plants, require especially smoothly finished inner
surfaces to prevent the contamination of gas and liquid. Since the piping
systems generally corrode at the joints, it is desirable to provide piping systems
consisting of complex-shaped tubes, which incorporate straight and bent
sections, to minimize the number of joints. This considerably increases the
difficulty of internal finishing of the tubes by conventional techniques. The
magnetoabrasive finishing process is effectively used for internal finishing of
the complicated piping systems.
Figure 6.28 shows a schematic of the internal magnetic-abrasive finishing
process using a pole rotation system for nonferromagnetic bent tubes. The
Pole rotation
Pole feed
Bent tube
Magnetic abrasiveYoke S
S N
N
Pole
FIGURE 6.28 Schematic of internal magnetic abrasive finishing process for non-
ferromagnetic bent tube. (From Yamaguchi, H., Shinmura, T., and Kobayashi, A.,
JSME Int. J., 44(1), 275, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 309 23.10.2006 6:36pm
Polishing Technology 309
poles, consisting of small permanent magnets, generate the magnetic field
needed for attracting magnetic abrasive to the finishing area and for generat-
ing the magnetic force needed for finishing.
When the poles rotate around the tube, the magnetic abrasive, driven by
magnetic force, rotates along the inner surface of the tube together with the
poles. Material is removed from the surface as a result of the finishing force
consisting of the magnetic and centrifugal forces experienced by the rotating
magnetic abrasive. Manipulating the rotating poles along the tube axis causes
the abrasive to trace the inner surface of the tube following the poles’ motion,
finishing the entire inner surface of the tube.
Figure 6.29 shows an example of finished surface of SUS304 stainless
steel elbow by using this method. This illustrates the feasibility of the
process to achieve nearly uniform internal finishing of bent tubes in a
single iteration.
6.6.5 EDGE AND SURFACE FINISHING OF ACCESS ARMS OF MAGNETIC
DISK UNITS [37]
Access arms for magnetic disk units require rigorously controlled accuracy of
dimension and surface profile. Edge finishing of the access arm is one of the
key technologies used to improve storage capacity and to reduce the size of
the magnetic disk unit. The edge finishing to eliminate burrs left by previous
cutting processes has been typically performed by hand; this increases not
only the production cost but also the variations in quality. The magnetic-field-
assisted finishing process was proposed to replace the manual work of the
access arm. This makes use of the flexibility of the magnetic tool chains
suspended by magnetic force.
Figure 6.30 shows a two-dimensional schematic of the processing prin-
ciple. Opposed magnetic poles generate a continuous magnetic field between
2.68 µm Ra 0.06 µm Ra 2.54 µm Ra 0.03 µm Ra
Drawn Finished surfacesurface
Drawn Finished surfacesurface
(a) Radius of curvature: 30 mm (b) Radius of curvature: 46 mm
FIGURE 6.29 Photographs of inner surface of SUS304 908 elbow. (From Yamaguchi,
H., Shinmura, T., and Kobayashi, A., JSME Int. J., 44(1), 275, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 310 23.10.2006 6:36pm
310 Handbook of Lapping and Polishing
them. The magnetic tools, which are ferrous pins with sharp edges, are
introduced into the magnetic field link together by magnetic force along
the lines of magnetic flux. When the nonferrous workpiece is inserted into
the magnetic tools, the magnetic tool chains conform around the workpiece
and press on the surfaces of the workpiece by magnetic force. The vibration
of the magnetic tools in the direction normal to the flow of magnetic flux
forces the reconfiguration of the magnetic tool chains around the workpiece
repeatedly. This results in relative motion against the edge of the workpiece,
achieving both edge and surface finishing of the workpiece.
Figure 6.31 shows micrographs of the tips of the access arm before and
after finishing by the use of this method obtained by scanning electron
microscopy (SEM) [35]. The expansion of the diameter of the caulking hole
is controlled within 1 to 2 mm, and the change in the pitch is no more than
5 mm with negligible disturbance of the surface flatness. This demonstrates
the process feasibility for the fine finishing of precision components.
Workpiece
S N
Magnetic tool
Workpiece section draw
Vibration
Pole
Magnetic flux
Vibration
FIGURE 6.30 Schematic of processing principle. (After Shimbo, Y., in AppliedElectromagnetics and Mechanics, Takagi, T. and Uesaka, M., Eds., Japan Society ofApplied Electromagnetics and Mechanics, Tokyo, 131, 2001.)
2 mm2 mm
(a) Access arm (b) Before finishing (c) After finishing
FIGURE 6.31 SEM micrographs of edge of access arm before and after finishing.
(After Japan Society for Precision Engineering, Seimitsukakojituyobinran, Nikkan
Kogyo Shinbun Ltd., Tokyo, 559, 2000, [in Japanese].)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 311 23.10.2006 6:36pm
Polishing Technology 311
6.7 POLISHING PROCESS APPLYING ELECTROPHORETICDEPOSITION
JUNICHI IKENO
6.7.1 INTRODUCTION
Grinding is a highly efficient finishing process for both hard and brittle
materials, but it is difficult to obtain a smooth surface of less than 10 nm
Ry and to prevent the occurrence of scratch marks by grinding. If a smooth
surface can be achieved by grinding, the production process will be simplified
through the elimination of polishing process. The main goal of nanometer
grinding is to keep the grain depth of cut less than 100 nm [39].
For precious grinding operation, a grain-sized self-sharpening process is
necessary. It is necessary to fabricate a grinding wheel bonded with the ultra-
fine abrasives uniformly, because highly homogenous bonding strength of a
grinding wheel causes the grain-sized self-sharpening process. As a result, the
grinding wheel can prevent from weakening the grinding ability. A grinding
wheel with ultrafine abrasives and highly homogenous bonding strength is able
to perform a smooth surface of less than 10 nm Ry for both hard and brittle
materials. A fine grinding wheel with highly homogenous bonding strength is
fabricated by using the electrophoretic deposition of ultrafine abrasives. The
ultrafine abrasive pellets consist of colloidal silica and polyvinyl alcohol (PVA)
bonding agent, which are used for the nanometer grinding of silicon [40].
6.7.2 ELECTROPHORETIC DEPOSITION
For nanometer grinding, ultrafine grinding wheels with highly homogenous
bonding strength is developed by applying the electrophoretic deposition
(EPD). When the ultrafine silica abrasives are mixed in an alkaline solution,
they become negatively charged. If an electric field is applied, the charged
abrasive particles move to the anode and adhere to it, which is called as
electrophoretic deposition (EPD). Applying electrophoretic deposition, the
EPD pellets are produced, which are highly homogenous with suitable bond-
ing strength compared to the xerogel pellets. The EPD pellets provide a
smooth surface roughness without any grinding marks.
6.7.3 DEVELOPMENT OF EPD PELLETS
Usually, the diameter of colloidal silica ranges from 10 to 20 nm. The
ultrafine silica abrasives are negatively charged in an alkaline solution
(pH> 2) and they are dispersed homogenously in the solution due to the
repulsive force acting between the particles. When an electromagnetic field is
applied, the abrasives move to an anode and deposit there, which is called as
electrophoretic deposition (EPD). This phenomenon is effective for the fab-
rication of ultrafine abrasive pellets called EPD pellets. When the silica
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 312 23.10.2006 6:36pm
312 Handbook of Lapping and Polishing
particles deposit to an anode and become dry, the deposition layer cracks. In
order to use the EPD pellets as grinding wheels, it is necessary to include a
bonding agent in the pellets. To envelope each silica abrasive homogenously
and to move it to anode by the EPD action, PVA is used as a bonding agent,
which is one of the nonionized polymers. PVA bonding agent can resist to
pressure, tensile force, wear, and shock, which are all essential mechanical
properties as a bonding agent for the grinding wheel. The PVA bonding agent
adheres to the silica particles and becomes negatively charged. When an
electric field is applied to the system, the silica particles covered by the
PVA agents move to the rotating anode to produce a uniform layer. The
basic principle of production process of EPD pellets by applying EPD phe-
nomenon is shown in Figure 6.32. Figure 6.33 shows that the growth rate of
the deposition layer on the anode is 7.5 to 10.5 mm=s in the radial direction
Deposition layer
Abrasive(silica)
Bonding agent(PVA)
DC power supply
Brass (f4 mm)
FIGURE 6.32 Fabrication of ultrafine abrasive pellet.
PVA: Silica = 1:XVoltage: 10 V
00
1
2
3
4
10 20
Depositionlayer
Time (min)
Thi
ckne
ss o
f dep
ositi
on la
yer
(d)
(mm
)
d
AnodeOnly Silica
x = 0.75x = 0.8x = 1.5x = 1.0x = 1.2x = 1.8x = 3.0x = 2.4
x = 0
FIGURE 6.33 Growth rate of the deposition layer.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 313 23.10.2006 6:36pm
Polishing Technology 313
when the applied voltage is 10 V and the mixture ratio of silica (concentration
30.0 wt%) and PVA (concentration 4.8 wt%) varies from 0.6 to 3.0. The
growth rate of the deposition layer increased with the increase of the concen-
tration of PVA and applied voltage. The deposition layer produced by the
EPD is shown in Figure 6.34. By slicing, the abrasive stick EPD pellets are
produced. Figure 6.35 shows some dried EPD pellets. It is observed that
the deposition layer is too soft when the mixture ratio is less than 0.6;
moreover, cracking takes place on the layer during drying when the mixture
ratio is more than 1.5. The optimal mixture ratio is found as 0.8. Xerogel is a
bonding agent and desiccated mixture of the abrasives. It is used to compare
the performance of EPD pellets. The variation in the ratios of weight and
thickness are examined during drying process of EPD pellets. It is found that
when the mixture ratio is 0.8, the variation ratio of weight is 33.3% and the
Anode
Depositionlayer
5 mm
FIGURE 6.34 Deposition layer produced by the electrophoretic deposition.
FIGURE 6.35 EPD abrasive pellets.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 314 23.10.2006 6:36pm
314 Handbook of Lapping and Polishing
thickness is 67.9%. The bulk density increased moderately when the EPD
pellets or xerogel pellets contained a large amount of PVA. PVA contains
more water than silica, thus a pellet with a large amount of PVA shrinks
markedly during drying process and as a result, bulk density increases. The
variation ratio of bulk density for xerogel pellets is more than 100% at all
mixture ratios, whereas the variation ratio of bulk density for EPD pellets is
more than 100% at the mixture ratio of 0.8. This means that xerogel pellets
contain more PVA than the EPD pellets. The microscopic view of EPD pellets
and xerogel pellets are shown in Figure 6.36.
6.7.4 EXPERIMENTAL RESULTS
Some experiments have been carried out to show the effectiveness of the
proposed method. Figure 6.37 shows the experimental setup for nanometer
grinding by EPD pellets. The experimental result shows that the bonding
strength increases with the increase of the concentration of PVA. The hard-
ness test of EPD pellets and xerogel pellets shows that the hardness of EPD
pellets is half of the xerogel pellets, but the size of pores in EPD pellets is very
smaller than the xerogel pellets. From this point, we confirmed that EPD
(a) EPD pellet (b) Xerogel
1 µm 1 µm
FIGURE 6.36 Microscopic view of EPD pellet and xerogel.
Core
Table
EPD pellets
3500 rpm
2 µm/pass
50 mm/min
Workpiece(silicon)
∅ 30 mm
FIGURE 6.37 Experimental setup for the nanometer grinding by EPD pellets.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 315 23.10.2006 6:36pm
Polishing Technology 315
pellets are highly homogenous than the xerogel pellets. The grinding experi-
ment has been carried out using EPD pellets and xerogel pellets at a conven-
tional machining center. The workpiece is a silicon wafer, which has a
diameter of 8 in. The grinding surface of silicon wafer using EPD pellets is
smoother than that of xerogel pellets. Figure 6.38 shows the surface profile of
silicon wafer after grinding with EPD pellets. Finished surface of silicon
wafer after grinding with EPD pellets is shown in Figure 6.39. The experi-
mental results show that the surface roughness by EPD pellets is less than
0.1700.000
mm
0.128−0.00533
µm
+0.00391
mm0.000
FIGURE 6.38 Surface roughness of EPD-grounded silicon wafer.
FIGURE 6.39 EPD-grounded 8 in. diameter silicon wafer.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 316 23.10.2006 6:36pm
316 Handbook of Lapping and Polishing
10 nm Ry and there are no grinding marks, but the surface roughness by
xerogel pellets is more than 0.1 mm and grinding marks are observed on the
surface. The experimental result for grinding force is shown in Figure 6.40.
For EPD pellets, the force increased with the number of traverses and
becomes constant after 15 traverses. On the other hand for xerogel pellets,
the grinding force is unstable.
6.7.5 CONCLUSION
Highly homogenous EPD pellets have been fabricated applying EPD in order
to accomplish nanometer grinding of both hard and brittle materials. The
physical properties and the grinding abilities of EPD pellets are examined
experimentally. EPD pellets have higher density and higher bonding strength
compared to the xerogel pellets. EPD pellets are highly homogenous and the
pores in the pellets are smaller than xerogel pellets. A super smooth surface of
silicon wafer with surface roughness less than 10 nm Ry compared to silicon
wafer without any grinding marks is possible by the grinding process using
EPD pellets. The low bonding strength of the EPD pellets enables a high
removal rate and prevents the loading of swarf.
6.8 ELECTROABRASIVE MIRROR POLISHING PROCESSJUNICHI IKENO
6.8.1 INTRODUCTION
Conventional polishing process has low efficiency with higher accuracy and
higher surface roughness, which is applicable for both conductive and noncon-
ductive materials. But the polishing process by the electrical effect has higher
efficiency with lower accuracy and lower surface roughness, which is applicable
for conductive material only. To improve the accuracy and surface roughness of
conductive materials, electro-compounding polishing method was proposed [41].
0
Grin
ding
forc
e, N
0
5
10
5 10 15 20 25Pass number of grinding
: EPD pelletSilica/PVA = 0.8
: Xerogel
FIGURE 6.40 Relationship between grinding force and pass number of grinding.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 317 23.10.2006 6:36pm
Polishing Technology 317
But the uncommon, big-size, and low-accuracy machine is not suitable for mirror
polishing in practical use. To obtain a smooth surface of less than 20 nm Ry for
various shapes and size of workpiece is a challenging task. For precious and
flexible grinding operations, it is necessary to control the polishing modes for
finishing levels of flatness and surface roughness. Electroabrasive mirror pol-
ishing can be applied to most of the conductive materials and has a remarkable
feature of high efficiency and quality of finishing. Section 6.8.5 reviews the
contributions of electroabrasive mirror polishing process [41,42]. By this pro-
cess, using free abrasives, a turned metal surface can be mirror polished with an
accuracy of the surface roughness between 1 nm Ra and 10 nm Ry.
This process is very flexible and useful for various shapes and sizes of
metal surfaces for the requirements of finishing levels of flatness and surface
roughness, depending on the polishing modes of free abrasives or fixed ones
by using tools made of soft materials according to roughness of metal surface.
6.8.2 DESCRIPTION
Electroabrasive mirror polishing process is for improving surface roughness
of metals effectively at a low current density in NaNO3 solution with a
rotating electrode tool covered with a nonwoven nylon fabric sheet for
rough polishing or a polyurethane pad for mirror polishing. A passive oxide
film formed on the metal surface by the electrolytic process of NaNO3 solution,
which is used to improve the surface roughness efficiently on the principle of
selective removal of microscopic raised parts. The basic principle of electro-
abrasive mirror polishing process is shown in Figure 6.41. Electrolysis occurs
at the elevated area where abrasives rub off the film to increase the removal rate
and it does not occur at sunken area where the removal rate is nearly zero.
Passive oxide film
Viscoelastic suspension
Workpiece
Abrasivegrain
Electrolytic dissolutionM M2+ + 2e−
M3+ + 3e−M
FIGURE 6.41 Basic principle of the electroabrasive polishing process.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 318 23.10.2006 6:36pm
318 Handbook of Lapping and Polishing
6.8.3 MANUAL POLISHING AND ITS AUTOMATION
Manual polishers are developed for the application on metals, both for flat
and curved surface as shown in Figure 6.42. In this process, the electrolyte is
fed to the metal surface by the centrifugal effect of rotating tool. For practical
applications, these manual polishers are utilized for the automation process with
a XY table, which is operated by a controller as shown in Figure 6.43. Constant
load method has been considered for the automation process. A through-feed
type mirror polishing apparatus with 40 tool electrodes is developed for mass
production. In this process, a plate up to 1.5 m in width can be continuously
fed with the maximum feed rate of 350 mm=min for mirror polishing.
6.8.4 EXPERIMENTAL RESULTS
To show the effectiveness of the proposed method, experiments have been
carried out. The polishing process is applied to the internal surface polishing
of a small pipe. A precision electroabrasive polishing process is developed
using Oscar-type polishing machine to obtain a highly smooth surface.
Figure 6.44 shows the fabrication process of a tool electrode for internal
surface polishing of a small pipe. Winding urethane or nonfabric nylon tape
around a core-wire electrode and to fix them at both the ends by glue forms
the tool electrode. A schematic view of polishing method for internal surface
of a small pipe is shown in Figure 6.45. Electroabrasive polishing is applied
for the polishing process of the inner surface of SUS316L pipes of about 4 mm
in diameter and 35 mm in length. By increasing the tool diameter of 1 mm
larger than the pipe diameter provides a working pressure of 10 kPa. The tool
electrode rotates at 23 rpm and reciprocates at 7 Hz with an amplitude of 8
mm in order to obtain an abrasive grain crossing angle sufficiently to avoid
circular scratches. During initial, intermediate, and final stages of polishing
process, the tool electrode is covered with #500, #3000 nylon fabric sheets,
and urethane sheet, respectively. The process time is 4 min at a current
density of 0.2 to 0.3 A=cm2. The surface roughness obtained by this process
Workpiece
Electrolyte
RubberTool
Fabric sheet
FIGURE 6.42 Electroabrasive hand polisher.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 319 23.10.2006 6:36pm
Polishing Technology 319
is one-tenth or less than the conventional electropolishing process. Figure 6.46
shows the surface roughness during the initial and intermediate stages, respect-
ively, and the surface roughness of final polishing stage is shown in Figure 6.47.
The experimental result shows that the surface roughness of a small pipe is
improved from 3 to 0.05 mm Ry. Figure 6.48 shows a photograph of the internal
surface of a small pipe after electroabrasive polishing process. The experimen-
tal results show that the removal rate increases rapidly with the increase of
applied current density.
Figure 6.49 shows a schematic view of electroabrasive polishing method
with an Oscar-type polisher. In this experiment, stainless steel, aluminum and
its alloys, titanium, and tungsten are used as work materials to obtain surface
FIGURE 6.43 Automated mirror polishing machine.
(b) After fabrication
Glued
Glued
(a) During fabrication
Nylon fabric sheet
Urethane
Pipe
FIGURE 6.44 Fabrication of tool electrode for internal surface polishing of a small pipe.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 320 23.10.2006 6:36pm
320 Handbook of Lapping and Polishing
roughness of 1 nm Ra and 10 nm Ry and also flatness of 1 mm in 100 mm
length. Figure 6.50 shows the effect of pH of abrasives and applied voltage on
the polishing rate. This experimental result shows that when the applied
voltage increases, the polishing rate is also increased. Moreover, if the
pH of silica abrasives increases the polishing rate also increases, but
when the pH of colloidal silica increases the polishing rate decreases.
Figure 6.51 shows the effect of pH of abrasives and applied voltage on
Workpiece holderPipe workpiece
(SUS 316L)
Plastic guide
NaNO3solution Tool electrode
−
+
FIGURE 6.45 Electroabrasive internal surface polishing method for a small pipe.
FIGURE 6.46 Internal surface profile of a small pipe at initial (#500) and intermediate
(#3000) stage.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 321 23.10.2006 6:36pm
Polishing Technology 321
the surface roughness. This experimental result shows that when the
applied voltage increases up to 10 V, the surface roughness decreases
markedly for silica abrasives. Moreover, if the pH of silica abrasives
increases the surface roughness decreases markedly, but there is a little
effect of pH of colloidal silica on the surface roughness.
6.8.5 CONCLUSION
This section reviews the contributions of electroabrasive mirror polishing
process. Electroabrasive mirror polishing process is very effective for
FIGURE 6.47 Internal surface profile of a small pipe at final stage.
FIGURE 6.48 Photograph of internal surface of a small pipe.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 322 23.10.2006 6:36pm
322 Handbook of Lapping and Polishing
conductive materials on counts of efficiency, accuracy, and surface rough-
ness. For polishing nonconductive materials, this method is not useful.
Electroabrasive mirror polishing process is for improving metal surface
roughness effectively at a low current density in NaNO3 solution with rotating
tool electrodes covered with a nonwoven nylon fabric sheet for rough polish-
ing or a polyurethane pad for mirror polishing. An electroabrasive polishing
process for the internal surface polishing of a small pipe has been described
for practical use. A precision electroabrasive polishing process is also
Rotation
Holding down force
Movement
Loose abrasives mixedinto NaNO3 solution
Workpiece NozzleGroove electrode
Polishing pad
Insulator
Surface plate (280f Stainless steel)
V
A
FIGURE 6.49 Oscar-type electroabrasive polishing machine.
Applied voltage (V)0
Pol
ishi
ng r
ate
(µm
/min
)
0.00
0.05
0.10
0.15
0.20
0.25
0.30 SiO2-pH 7SiO2-pH 10SiO2-pH 2Colloidal SiO2-pH 7Colloidal SiO2-pH 10Colloidal SiO2-p vH2
2 4 6 8 10 12 14 16
FIGURE 6.50 Effect of pH and abrasive type on polishing rate.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 323 23.10.2006 6:36pm
Polishing Technology 323
explained for conductive materials using Oscar-type polishing machine to
obtain a highly smooth surface.
6.9 P-MAC POLISHINGTOSHIO KASAI
Progressive mechanical and chemical (P-MAC) polishing [43] is a disk-type
chemical polishing method, which abrades a workpiece against a polishing
pad and carried out by chemically dissolving the work surface [43]. Although
various kinds of polishing methods for mirrorlike surface have been proposed,
P-MAC polishing has been introduced as a new polishing method under the
analysis of work material removing mechanism to achieve a mirror surface.
P-MAC, whose letter P stands for ‘‘progressive,’’ is characterized by chang-
ing the polishing mechanism and conditions proceeding positively during the
process [44].
6.9.1 ANALYSIS ON THE MECHANISM OF VARIOUS POLISHING METHODS
The stock removal mechanism in polishing is simply summarized in Figure 6.52.
Stock removal is caused in combination with (1) a mechanical action, includ-
ing (1a) mechanically removing (cutting, scratching) and (1b) friction, and
(2) a chemical action, including (2a) dissolving and (2b) a film formation
[45]. For example, in CMP (MCP) of a silicon wafer, a soft hydration film is
formed on the wafer surface, which is removed by slurry and polishing pad,
and a high-quality finishing is achieved. For polishing glass, the same mech-
anical and chemical actions are combined [46,47]. Most of the polishing
methods are defined in the upper half of the complex ring of the stock
Applied voltage (V)0
Sur
face
rou
ghne
ss (
Rm
ax n
m)
0
20
40
60
80
100
120
2 4 6 8 10 12 14 16
SiO2-pH 7
SiO2-pH 10SiO2-pH 2
Colloidal SiO2-pH 7Colloidal SiO2-pH 10Colloidal SiO2-pH 2
FIGURE 6.51 Effect of pH and abrasive type on surface roughness.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 324 23.10.2006 6:36pm
324 Handbook of Lapping and Polishing
removal mechanism; P-MAC polishing is situated in the lower half, thereby,
differentiating itself from regular machining methods.
Table 6.2 shows the relationship between a workpiece and a polishing pad
during the process. There are three conditions: (1) closed contact condition,
which is similar to pitch polishing. A polishing pad has check-patterned
grooves to charge or discharge slurry easily, where the work and the polishing
pad are abraded under a closed contact condition. (2) Semicontact condition,
which is applied to CMP (MCP) of a silicon wafer. As the polishing pad has
no groove and the workpiece has a large diameter, a semicontact condition
between a noncontact condition based on a hydroplane phenomenon and a
closed contact condition is controlled by the polishing pressure, as an inter-
mingled condition. (3) Noncontact condition, which is similar to EEM and
float polishing. The workpiece and the polishing pad become noncontact due
to floating effect caused by a hydroplane phenomenon. Every polishing
method utilizes one of the above conditions, and it seems that the same
condition is used during polishing.
The changes of stock removing mechanism in P-MAC polishing are: (1)
the atomic arrangements of rough surface caused in the previous process
are affected by the mechanical friction with the polishing pad; chemical
dissolving of affected part progresses and brings a smooth surface. (2)
When an appropriate smooth surface is obtained, polishing proceeds with
dissolving of working fluid film under the condition of no mechanical fric-
tion. As a result, the damage-free surface with a high accuracy is achieved.
Mech. Chem.
Complex action
Complex action
Mec
hani
cal r
emov
ing
Mec
hani
cal
rem
ovin
g
Dissolv
ing
Dissolving
Dis
solv
ing
Rubbing
Film
form
ing
Metallugicalpolishing
P-MAC polishing
Wax polishing
Pitch polishing
Bowl-feed polishing
Float polishing
Elastic emission machining Hydrodynamic-type polishing
Chemical mechanicalpolishing(mechanochemical polishing)
Hydration polishing
Ag or Cu displacement-typepolishing
Electrolytic polishing
Etching
Hydroplane polishing
Lapping (cutting)
Chemical mechanical polishing (2)
Chemical mechanical polishing (1)
FIGURE 6.52 Classification of polishing methods based on stock removal mechanism.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 325 23.10.2006 6:36pm
Polishing Technology 325
In usual polishing, the stock removal is proportional to the relative speed
between the workpiece and the polishing pad, pressure, and time, however,
polishing by chemical dissolving is achieved under different conditions. As
no abrasive grain is used, it is important to choose appropriate chemicals
taking account into the processing efficiency and surface quality. The additive
concentration and temperature of the working fluid directly affects the pro-
cessing efficiency. The speed, pressure, and time may cause a rise in tem-
perature in the polishing atmosphere and the damage of atomic arrangements,
which influence the chemical dissolving. Furthermore, the pressure will
lessen the thickness of working fluid layer, and the speed may exchange
smoothly between fresh and tired working fluid and decrease the layer
thickness due to the dispersion.
In P-MAC polishing of compound semiconductor wafer belonging to the
III–V and II–VI groups, a Br-methanol solution used in mirror etching is
adopted as a working fluid. Since bromide of work materials is dissolved into
methanol without generating hydrogen gas, there is no concern that bubbles
will be trapped in thin layer between the workpiece and polishing pad. The
relatively thick layer of working fluid is formed under low speed or pressure,
and the stock removal as a processing efficiency is obtained by using a suitable
Br concentration. Although various methods to adjust the gap between work-
piece and polishing pad can be considered, the difference of the stock removal
amount in polishing different kinds of work materials is available.
TABLE 6.2Classification of Polishing Conditions Based on Relationship between
Workpiece and Polishing
RRep
eat
Conventional polishing P-MAC polishing
Bowl feeds polishing
Pitch or wax polishing
Metallurgical polishing
(Mechanochemical polishingfor silicon wafers)
Chem. Mechanical polishing
Hydroplane polishing
Elastic emission machining
Float polishing
Noncontact polishing
Semicontact polishing
Close contact condition
Work
Work
tool
tool
tool
Work
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 326 23.10.2006 6:36pm
326 Handbook of Lapping and Polishing
Figure 6.53 shows a growth model of the difference of stock removal
amount in Br-methanol solution use, where a GaAs single-crystal work is
waxed on a plate at the same height with dummy material. As only GaAs
single crystals are polished with Br-methanol solution, the gap and polishing
condition between the workpiece and the polishing pad change step by step.
They are in the close contact condition at the early stage of polishing, then
followed by the semicontact condition, and finally the noncontact condition.
6.9.2 P-MAC POLISHING FOR SMALL PIECES OF GAAS SINGLE CRYSTALS
A workpiece of GaAs single crystals of 5 mm 6 mm 0.5 mm surrounded by
a sapphire of dummy material were waxed on a polishing jig of 60 mm
diameter. Utilizing a conditioning ring-type polishing machine with a polishing
pad of 30 mm 180 mm inside and outside diameter, the polishing progressed
by setting the workpiece in a conditioning ring of 60 mm 90 mm inside and
outside diameter. The polishing speed was fixed at 20 m=min.
Br-methanol solution, with an extremely strong smell, was made up by
adding Br of 0.25% to 2.0% in a methanol solution including 20% ethylene
glycol. A soft-foamed polyurethane sheet was used for silicon wafers and a
foamed fluorocarbon sheet was used as a polishing pad.
6.9.2.1 Processing Efficiency
The stock removal in P-MAC polishing is determined by changing the Br
concentration in the solution. Figure 6.54 shows the relationship between the
Polishing pad
GaAsDummy
Mounting plate
Polishing pad
GaAs Dummy
Mounting plate
Polishing pad
GaAs Dummy
Mounting plate
Polishing pad
GaAs Dummy
Mounting plate
Pressure
Pressure
Pressure
Pressure
(a) Close contact condition
(c) Noncontact condition (b) Semicontact condition
Br-methanol
Stock removaldifference
FIGURE 6.53 Growth model of stock removal difference between GaAs crystal and
dummy material.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 327 23.10.2006 6:36pm
Polishing Technology 327
polishing time and the stock removal amount using two kinds of solutions
with different Br concentrations. In both cases, there is no difference of
quality in the surface roughness or the mirror-finished level.
When a large stock removal amount is attempted in usual polishing, a
large-size grain and the high relative speed and pressure between the work
material and the polishing pad are applied. High rigidity and high-rotation
speed are required for current silicon wafer polishing machines. However, for
P-MAC polishing, the rigidity is not as important, but it must be corrosion-
proof. It is supposed to belong to a new polishing method.
6.9.2.2 Accuracy
The effective improvement of turned-down edge in this polishing is shown in
Figure 6.55. As polishing advances, a difference in height between workpiece
of GaAs single crystal and the dummy material reaches until 40 mm. The
turned-down edge at the start is obviously improved.
6.9.2.3 Surface Roughness
The biggest problem faced in P-MAC polishing was how the surface rough-
ness should be improved. A silicon wafer is ordinarily finished to 1–2 nm Rz
in surface roughness. Regarding GaAs wafers, at least the same level of the
high quality on the finished surface will be required.
In P-MAC polishing by using the soft-foamed polyurethane sheet for
silicon wafers, the surface roughness on polished GaAs, InP, ZnSe, and
CdTe workpieces remained at 20 nm Rz, respectively. It is presumed that
the mechanical friction of the surface irregularity on polishing pad forms
rough surface on the crystal workpieces by joining the chemical solution
together under the semicontact condition. When using a smooth soft-foamed
polyurethane pad that is hot pressed, the surface roughness was improved to
Polishing time (min)
Sto
ck r
emov
al (
ƒK) 0.5%-Br
methanol
0.25%-Brmethanol
Work/dummy:GaAs/sapphire
Polishing pad:Polyurethane
Polishing speed:20 m/min
Polishing pressure:9.8 kPa
80
60
40
20
00 5 10 15
FIGURE 6.54 Relationship between stock removal, Br concentration, and time in
P-MAC polishing of GaAs crystal.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 328 23.10.2006 6:36pm
328 Handbook of Lapping and Polishing
8 nm Rz under P-MAC polishing condition, which shows that the proper
selection of the polishing pad is important.
When a fluorocarbon-foamed sheet that was far softer and smoother than soft-
foamed polyurethane was used, the surface roughness reaching 0.2 nm Rz could
be achieved. This implies that the compound semiconductor GaAs wafers can be
polished to the negligible surface roughness as well as silicon wafers by CMP. In
addition, this type of polishing pad has the advantage of having not only the
chemical resistance against Br-methanol solution, but also high durability.
6.9.3 P-MAC POLISHING MACHINE MANUFACTURING AND GAAS
WAFER POLISHING
For P-MAC polishing GaAs wafers: (1) a fully automatic P-MAC polishing
machine was prepared. The entire process could progress automatically as
taking a GaAs wafer out from the cassette, polishing, washing, drying, and
then putting it back to the cassette. (2) Concerning changes of the closed
contact condition, the semicontact condition, and the noncontact condition
between a wafer and a polishing pad, the repeating system for the free and
fixed condition between wafer and dummy was adopted. (3) A vacuum
system was used in fixing the wafer on the machine. (4) Methanol was used
to clean the wafer after polishing [48].
In order to obtain a mirrorlike surface smoothly from rough surface, it was
necessary to employ a process where the closed contact conditions and the
semicontact conditions repeat several times. It should be repeated frequently
because a minute surface roughness was achieved quickly by using short-time
intervals, for example, 30 s rather than intervals of 1 or 2 min.
2 min
Polishing time 0 min
4 min
10 min
SapphireGaAs crystal
20 µ
m
1 mm
FIGURE 6.55 Improvement of turned-down edge in P-MAC polishing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 329 23.10.2006 6:36pm
Polishing Technology 329
Figure 6.56 shows the straightness of a polished wafer. Excluding the
outer 3 mm, a flatness of 1–2 mm is achieved on the whole area of the wafer.
The concept and verification of P-MAC polishing was described. In
P-MAC polishing, the stock removal of compound semiconductor material
such as GaAs wafers was achieved by chemical-dissolving effect of working
fluid with no abrasive. This polishing condition can remove the ups and
downs of surface roughness and attain a damage-free and mirrorlike surface
by properly utilizing the mechanical friction and the chemical reaction.
6.10 COLLOIDAL SILICA POLISHINGTOSHIRO K. DOI
Colloidal silica used in this process is prepared by dispersing SiO2 particles
(abrasives) of 100 to 200 A in the alkaline solution of pH 10. Normally, the
slurries prepared by simply dispersing fine abrasives to 15 to 20 wt% are
difficult to apply during polishing. However, in a colloidal state, as much as
50 wt% of silica particles can be present in the slurry, which is expected to
increase the amount of particles that work on polishing, leading to the
realization of high polishing efficiency. Moreover, dispersed ultrafine SiO2
particles are found to be highly uniformly spherical, which are not observed in
the existing abrasives (Figure 6.57).
The effect of chemical actions of SiO2 particles on sapphire in the wet
polishing is self-explanatory as shown in Figure 6.58. In wet polishing with
fine SiO2 particles of size 160 A, the polishing rate is about one-twentieth of
dry polishing, which is attributable to the fact that, due to presence of water,
the temperature of the working particles does not rise as much as it does in dry
polishing, and the surface activities drastically drop by the absorption of
water in SiO2 particles. If ultrafine SiO2 particles are used in dry polishing,
its polishing rate reaches 4 times that of SiO2 particles of 1 mm, i.e., 60 times
larger in size, exhibiting behaviors opposite to other mechanical polishings.
GaAs Wafer 2 in diameter
10 µm
15 20
4mm
mm
µm
FIGURE 6.56 Straightness on P-MAC polished 2 in. diameter GaAs wafer.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 330 23.10.2006 6:36pm
330 Handbook of Lapping and Polishing
Chemical actions induced by SiO2 particles are the main promoting
factors of polishing in dry polishing whereas in wet polishing, as the tem-
perature in the contact point does not reach the counterdiffusion temperature
region where solid-state reaction occurs, almost no chemical actions occur
there practically [49]. In other words, it is presumed that the polishing of
sapphire single crystals with colloidal silica progresses mainly by means of
mechanical removal actions thereof.
Figure 6.59 shows a surface topography (roughness) of the sapphire sur-
face polished with colloidal silica, which produced the surface to a roughness
800 Å
FIGURE 6.57 An example of colloidal silica (TEM) (Nalco 2350).
Dry-type polishing
Wet-type polishing
Pad: Alumina ceramics plate (with XYgrooves) 90 rpm (40 m/min)
Polishing pressure: 900 gf/cm2
6
4
2
0
Pol
ishi
ng r
ate
(µm
/h)
0.01 0.05 0.1 0.5 1
SiO2 abrasive size (µm)
FIGURE 6.58 Relationship between particle size and polishing rate of SiO2 in
dry-type and wet-type polishing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 331 23.10.2006 6:36pm
Polishing Technology 331
of 10 A Rmax. Polishing should have been performed presumably in the
atomic or molecular unit removal order. Furthermore, silicon thin film
(3000 A) was epitaxially grown on the processed surface of the sapphire
single crystal, and observed with an electron microscope, which shows no
defect caused by the polishing but exhibits excellent crystalline film as can be
seen from the comparative photographs shown in Figure 6.60. As just
described, the polishing of sapphire single crystals with the colloidal silica
containing ultrafine particles is anticipated to be an extremely effective
measure to produce damage-free, smooth, mirror surfaces.
Figure 6.61 shows polishing characteristics of colloidal silica on single
crystals such as sapphire, GGG (Gd3Ga3O12), LiTaO3, and silicon that are the
component materials for electronic and optical communications. Polishing
rates are proportional to the polishing pressures, and polishing is possible on
any of such materials. However, polishing pressure necessary to obtain a
constant speed varies depending on the crystals, which is easily considered
dependent on the mechanical properties of the workpieces as the polishing
mechanism is based on the mechanical removing actions induced by colloidal
silica [50].
100 Å5 µm
FIGURE 6.59 Surface roughness of sapphire single crystal polished by colloidal
silica slurry.
3 µm
3 µm
(a) Epitaxial film on surfacepolished by colloidal silica
(b) Epitaxial film on surfacepolished by fine diamond abrasives
FIGURE 6.60 Example of electron microscopic photographs of epitaxially grown Si
thin films on sapphire single crystal treated in various ways (Si thin film: about 3000 A,
substrate: sapphire single crystal (1102).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 332 23.10.2006 6:36pm
332 Handbook of Lapping and Polishing
Figure 6.62 shows relationships between Vickers hardness Hv (kgf=mm2)
of each crystal and required polishing pressures, taking polishing rates V(mm=h) as a parameter. From the chart, their relationship is derived as
P¼ 104 exp(K1Kv), where K1 is the constant to be determined by polishing
conditions. Meanwhile, K1 obtained at each V¼ 1, 3, and 5 mm=h can be
calculated as K1¼ 2 104 Vþ 1.4 103.
0 200 400 600 800 1000 1200 1400
Slurry: Colloidal silica (10 ~ 20 mm SiO2 30 wt%, pH 10.5)
Pad: Artificial leather (∅ 300 mm), 60 rpm
Sample:
LiTaO3 single crystal (100)
Si single crystal (100)
GGG single crystal (111)
Sapphire single crystal (1102)
0
2
4
6
8
10
12
Pol
ishi
ng r
ate
(µm
/h)
Polishing pressure (g/cm2)
FIGURE 6.61 Relationship between polishing pressure and polishing rate of various
crystals using colloidal silica.
LiTaO3 Si GGG Sapphire
P = 10 exp−4 exp(K1 × Hν)
− 1.4In 10−4 (P )
210−4
1
(Hν)V =
Polishing rate (V )V = 5 µm/hV = 3 µm/hV = 1 µm/h
5000
1000
500
100
50
10Nec
essa
ry p
olis
hing
pre
ssur
e (1
0−5 k
g/m
m2 )
0 400 800 1200 1600 2000 2400
Vickers hardness Hv (kg/mm2)
FIGURE 6.62 Relationship between necessary processing pressure and Vickers hard-
ness of various kinds of crystals.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 333 23.10.2006 6:36pm
Polishing Technology 333
From both equations above, V is expressed as
V ¼ 5 103(1=Hv) ln 104P 1:4 103 (6:1)
In other words, polishing rate V is proportional to the reciprocal number of the
hardness Hv of the crystals, and is similar to the relationship between the
stock of wear in frictional abrasion and hardness [51].
This polishing method can realize a damage-free mirror surface using
ultrafine SiO2 particles dispersed in colloidal silica and a soft elastic polisher
(artificial leather). From this viewpoint, it is hard to think that polishing is
enhanced by the scratching actions of the particles just retained in the polisher
as the conventional pitch polishing. This is anticipated from the fact that even
though ultrafine TiO2 (with diameter of 150 A) particles, similar to the
hardness and size of SiO2 fine particles, are dispersed in alkaline solution of
pH 10, they do not become colloidal slurry, and besides, have almost no effect
on the sapphire polishing.
As shown in Figure 6.63, let us assume that the colloidal silica is dispersed
on an elastic artificial leather (pad) having excellent slurry-retaining func-
tions, and the work is pressing the pad at high pressure. Although the crystals
remain in close contact with the elastic polisher, very thin film-like colloidal
silica remains in existence as in the boundary lubrication due to the pad
structure shown in Figure 6.63a. When shearing stress is applied to this
200 µm
(a) Structure of artificial leather pad (SEM photograph)
(Backing material)
Workpiece
Pad
Extremely thin polishing agent
(Temporary gelation)
Pressure
Colloidal superfine particles Polishing agent retainer
Relative movement polisher
(b) Constitutional diagram of the colloidal silica polishing
FIGURE 6.63 Artificial leather pad (SEM photograph) and schematic diagram of
colloidal silica polishing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 334 23.10.2006 6:36pm
334 Handbook of Lapping and Polishing
film-like colloidal silica under high pressure or friction heat, it is assumed that
reversible gelatinization phenomenon appears temporarily. For this reason,
ultrafine SiO2 particles appear to be fixed firmly to the liquid, and thanks to
its mechanical microremoval actions, damage-free, mirror surfaces are cre-
ated. Figure 6.63b is a schematic diagram showing a polishing state by this
polishing method.
In accordance with the above examination, polishing rate can be adjusted
by changing gelatinization speed. Figure 6.64 shows polishing characteristics
of sapphire single crystals with colloidal silica, to which electrolytic sodium
sulfate (Na2SO4) and ethylene glycol (HOCH2CH2OH) are added as an
accelerator of gelatinization and as an inhibitor thereof, respectively. Polish-
ing rate increased as the addition of Na2SO4 increased whereas it decreased
when HOCH2CH2OH was added. From this data, the effect of each
compound is clear [50].
According to the above, the polishing actions induced by the colloidal
silica are dependent largely on the mechanical microremoval effect that
accompanies friction and abrasion since ultrafine SiO2 particles are firmly
retained in the polisher by means of the temporal gelatinization phenomenon
occurring beneath the processed surface. Such friction and abrasion are
regarded as an adhesive abrasion since SiO2 particles are apt to adhere firmly
to the crystals (high-temperature reaction test) [49]. It is presumed that
damage-free, mirror surfaces are produced by the shearing and peeling of
the adhered portions of atomic order that is of minimum size, which does not
generate strains around.
Colloidal silica+
Ethylene glycol (2.5 wt%)
Colloidal silica(no additive) Colloidal silica
+Na2So4 (1 wt%)
Colloidal silica+
Na2So4 (1.3 wt%)
6
5
4
3
2
1
0
Pol
ishi
ng r
ate
(µm
/h)
Processing pressure
[1100 gf/cm2]
[720 gf/cm2]
Gelation rate LargeSmall
FIGURE 6.64 Comparative polishing rates of sapphire single crystals at different
gelation rates of colloidal silica.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 335 23.10.2006 6:36pm
Polishing Technology 335
This polishing method can apply to almost all crystals to produce
damage-free, mirror surfaces as shown in Figure 6.61. As a special appli-
cation, photographs of the processed tips of LaB6 single crystals (0.75
0.6 2.2 mm chip) for electron gun are shown in Figure 6.65, for
which polishing reagent, composed of ultrafine particles of below 500 A
dispersed (colloidal) in pure water, and a hard special polisher (pad) was
employed [52]. Although LaB6 single crystals are chemically stable and
mechanically very hard (microhardness of 2770 kg=mm2), an extremely
smooth mirror surface was produced.
In conclusion, I would like to discuss the surface qualities obtained by this
polishing method, providing some comparative evaluations with the conven-
tional processing. Figure 6.66 shows photographs of the film surfaces that are
epitaxially grown on the surfaces of LiTaO3 by the present polishing method
and conventional pitch polishing. The photograph in Figure 6.66a reveals that
defect-free, epitaxial films are grown on the surface processed by the present
polishing method whereas the photograph in Figure 6.66b shows epitaxial
films with a number of defects grown on the surfaces processed by the
conventional processing methods [53].
Pursuant to the above, slurries in which ultrafine particles are dispersed in
a colloidal state enable the polishing of those single crystals with different
hardnesses, delivering excellent mirror surfaces of around 10 A Rmax without
any residual strains.
(LaB6 chipfinished)
(a) Example finished with top curvature radius R = 2 µm
(b) R = 100 µm
(c) Example finished with flat top (d) Example finished by conventional processing method
100 µm 100 µm
100 µm 100 µm
LaB6 assembled vogel-type electron gun
5 mm
FIGURE 6.65 Example of processed strain-free mirrorlike surface by the colloidal
silica polishing technique and conventional processing technique on the top and lateral
sides of LaB6 single-crystal chips for use in an electron gun (SEM photograph).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 336 23.10.2006 6:36pm
336 Handbook of Lapping and Polishing
Pol
ishe
d su
rfac
e fin
ishe
dco
lloid
al s
ilica
(i) P
olis
hed
surf
ace
finis
hed
b
y co
nven
tiona
l tec
hniq
ue(ii
) M
eltb
ack
0.5
µm(ii
i) M
eltb
ack
5 µm
Epi
taxi
al g
row
th fi
lmE
pita
xial
gro
wth
film
100
µmX
Y
Z
Pol
ishi
ng s
urfa
ce fi
nish
ed b
y co
nven
tiona
l tec
hniq
ue a
nd m
eltb
ack
surf
ace
at e
ach
dept
h, a
nd e
pita
xial
sur
face
gro
wn
at e
ach
surf
ace
Pol
ishe
d su
rfac
e fin
ishe
d by
the
pres
ent p
roce
ssin
g te
chni
que
and
epita
xial
film
gro
wn
ther
eon
(b)
(a)
FIG
UR
E6.6
6P
ho
tog
rap
ho
fsu
rfac
ean
dep
itax
ial
gro
wth
film
of
LiT
aO3
sin
gle
cry
stal
po
lish
edb
yth
ep
rese
nt
pro
cess
ing
tech
niq
ue
and
the
con
ven
tio
nal
pro
cess
ing
tech
niq
ue.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 337 23.10.2006 6:36pm
Polishing Technology 337
REFERENCES
1. Otte, G., An improved method for the production of optically flat surfaces, J. Sci.Instrum., 42, 911 (1965).
2. Lerstner, A., Progress report on Teflon polishing for precision optical flats, Appl.Opt., 11(4), 960 (1972).
3. Twyman, F., Prism and Lens Making, 2nd ed., Hilger & Watts Ltd., London,
1952, p. 373.
4. Rumsay, J., The effect of eccentric loading of optical flat during grinding or
polishing, J. Sci. Instrum., 32(9), 338 (1955).
5. Kasai, T., Study on precision machining of flat planes, Electric Communication
Laboratories Report No. 13634, NTT Public Corporation (in Japanese) (1979).
6. Kasai, T., Lapping and polishing, Ultraprecision Machining Technology, Kuma-
gaya Memorial Committee Meeting, Japan Society of Grinding Engineers, 1984,
p. 451 (in Japanese).
7. Bajuk, D.J., Computer controlled generation of rotationally symmetric aspheric
surfaces, Opt. Eng., 15(5), 401 (1976).
8. Becker, K. and Beckstette, K., M400 and P400—A pair of machines for computer
controlled fine correction of optical surfaces, Proceeding of the InternationalCongress for Ultraprecision Technology in Aachen=PRG, 1998, pp. 212–223.
9. Mori, Y., Yamauchi, K., and Endou, K., Elastic emission machining, Prec. Eng.,
9(3), 123 (1986).
10. Kasai, T., Study on precision machining of flat planes, Electric Communication
Laboratories Report No. 13634, NTT Public Corporation, 1979, p. 175 (in
Japanese).
11. Doy, K.T. et al., Development of a both sides simultaneous polishing system for
300 mm silicon wafers, aiming at the production of nanotopography-free surfaces,
Proceedings of the Third International Symposium on Advanced Science andTechnology of Silicon Materials, 2000, pp. 248–257.
12. Kubo, T., Introduction to Mechanochemistry (in Japanese), Tokyo Kagaku Dojin
Co., Tokyo, 1971, p. 5.
13. Yasunaga, N. et al., Mechanochemical polishing of single crystals with soft
powders, Proc. ICPE, 32, 32–37 (1974).
14. Duwell, E.J. and Butzke, H.C., Effect of interface composition on the wear rate of
sapphire, ASLE Trans., 7, 101 (1964).
15. Yasunaga, N. et al., Sapphire abrasion in friction between quartz and the sapphire,
J. JSPE, 44(8), 939 (1978) (in Japanese).
16. Regh, J. and Silvey, G., Electro Chem. Soc., Fall Meeting, 266, 119 (1966).
17. Mendel, E., Polishing of silicon, SCP Solid State Technol., 10(8), 27 (1967).
18. Karaki, T., Wet-type mechanochemical polishing of Si wafers, Tool Eng., 24, 38
(1984) (in Japanese).
19. Karaki, T. and Kasai, T., Mechano-chemical polishing characteristics of GGG
single crystal using acid solution, J. JSPE, 44(3), 333 (1978).
20. Karaki, T. et al., Facilitation mechanism of polishing rate in mechano-chemical
polishing of Si single crystals, J. JSPE, 46(3), 331 (1980) (in Japanese).
21. Karaki, T. and Watanabe, J., Effect of frictional heat on removal rate in mechano-
chemical polishing of crystals used in electronics, ASME Wear Mater., 227
(1983).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 338 23.10.2006 6:36pm
338 Handbook of Lapping and Polishing
22. Doy, T.K., Optical and electro-optical engineering contact, SPIE, 27(8), 482
(1989) (in Japanese).
23. Namba, Y., Mechanism of Float Polishing, Technical Digest at Topical Meeting
on Science of Polishing, OSA (1984, 4) Tub-A2.
24. Mori, Y., Yamauchi, K., and Endo, K., Elastic emission machining, Prec. Eng.,
9(3), 123 (1987).
25. Sato, Y., Knight, J.D., and Somerday, B., Observation and prediction of cavities in
a lubricant between non-parallel disks in relative rotation, Tribol. Trans., 35(1),
170 (1992).
26. Baron, Y.M., Technology of Abrasive Machining in a Magnetic Field, Masino-
strojenije, St. Petersburg, 1975 (in Russian).
27. Ruben, H.J., Advances in Surface Treatments, vol. 5., Niku-Kari, A. (Ed.), Perga-
mon Press, New York, 1987, p. 239.
28. Shinmura, T. and Yamaguchi, H., Magnetic-field-assisted machining process and
its industrial applications, J. Magnetics Soc. Jpn., 23(9), 1946 (1999) (in Japanese).
29. Shinmura, T., et al., Study on magnetic-abrasive process—Process principle and
finishing possibility, Bull. Jpn. Soc. Prec. Eng., 19(1), 54(1985).
30. Kureha Chemistry Industry Co. Ltd, Composite whetstone particle for magnetic
abrasion and production thereof, Japan Patents 61-250084, A, 1986.
31. Anzai, M., Sudo, T., Otaki, H., and Nakagawa, T., Experiment of magnetic
assisted finishing using slurry abrasive, J. Jpn. Soc. Abrasive Technol., 37(1), 51
(1993) (in Japanese).
32. Fox, M., Shinmura, T., and Komanduri, R., Magnetic abrasive finishing of rollers,
Ann. CIRP, 43(1), 181 (1994).
33. Shinmura, T., Yamaguchi, H., and Watanabe, M., Study of a new internal finish-
ing process by the application of magnetic abrasive machining—Development of
an abrasive slurry circulating system and its effects on the finishing character-
istics, J. Jpn. Soc. Prec. Eng., 67(4), 575 (2001) (in Japanese).
34. Geeng-Wei, C., Biing-Hwa, Y., and Rong-Tzong, H., Study on cylindrical mag-
netic abrasive finishing using unbonded magnetic abrasives, Int. J. Mach. ToolManuf., 42, 575 (2002).
35. Japan Society for Precision Engineering, Seimitsukakojituyobinran, Nikkan
Kogyo Shinbun Ltd., Tokyo, 2000, p. 559 (in Japanese).
36. Yamaguchi, H., Shinmura, T., and Kobayashi, A., Development of an internal
magnetic abrasive finishing process for nonferromagnetic complex shaped tubes,
JSME Int. J., 44(1), 275 (2001).
37. Shimbo, Y., Development of a new process for deburring and edge finishing of
complex shapes industrial precision parts by the application of magnetic field-
assisted machining, in Applied Electromagnetics and Mechanics, Takagi, T. and
Uesaka, M. (Eds.), Jpn. Soc. Appl. Electromagn. Mech., Tokyo, 2001, p. 131.
38. Anzai, M., Nakagawa, T., Yoshioka, N., and Banno, S., Development of magnetic
abrasive finishing system for electric razor blades, Proceedings of the JapanSociety of Precision Engineering Fall Annual Meeting, 1999, p. 221 (in Japanese).
39. Ohmori, H. and Nakagawa, T., Mirror surface grinding of silicon wafers with
electrolytic in-process dressing, Ann. CIRP, 39(1), 329 (1990).
40. Ikeno, J., Tani, Y., and Sato, H., Development of highly homogeneous pellets
applying electrophoretic deposition of ultrafine abrasives for nanometer grinding,
Ann. CIRP, 43(1), 319 (1994).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 339 23.10.2006 6:36pm
Polishing Technology 339
41. Kimoto, Y., Electro compounding polishing of stainless steel, J. Electro Machin-ing Soc., 12(23), 1 (1978) (in Japanese).
42. Seimiya, S., Electro-abrasive mirror polishing and its application, J. JFWA, 3(4),
163 (1996) (in Japanese).
43. Iiyama, S., Ida, I., Yamaguchi, M., and Sugane, K., Chemical polishing of GaAs
Single crystals, Rev. Elec. Commun. Lab., 18(3–4), 235 (1970).
44. Kasai, T. and Kobayashi, A., Progressive Mechanical and Chemical Polishing,
Technical Digest at Topical Meeting on Science of Polishing, OSA (1984, 4)
TuB-A2.
45. Kasai, T., Horio, K., Doy, K.T., and Kobayashi, A., Improvement of conventional
polishing conditions for obtaining super smooth surfaces of glass and metal works,
Ann. CIRP, 39(1), 321 (1990).
46. Izumitani, T. and Adachi, S., Polishing Mechanism of Fused Silica Glass, Topical
Meeting on the Science of Polishing, Technical Digest, OSA (1984) TuB-Al-1-3.
47. Brown,N.J., Some speculations on the mechanisms of abrasive grinding and
polishing, Prec. Eng., 9(3), 129 (1987).
48. Kasai, T., Matsumoto, F., and Kobayasi, A., Newly developed fully automatic
polishing machines for obtainable super-smooth surfaces of compound semicon-
ductor wafers, Ann. CIRP, 37(1), 537 (1988).
49. Karaki, T. et al., Strain-free polishing of sapphire single crystal colloidal silica,
J. JSPE, 47(12), 1458 (1981) (in Japanese).
50. T.K. Doy, Colloidal silica polishing based on micromechanical removal action
and its applications, Sensor&Mater., 3, 153 (1981).
51. T. Kasai and T.K. Doy. Tribology from a viewpoint of ultraprecision polishing
technology, J. Tribology, 375, 539 (1992).
52. T.K. Doy et al., New precision contouring process of LaB6 crystals used for
electron guns, J. Ceram. Soc. Jpn., 107(6), 502 (1999).
53. S. Kondo, K. Sugii, S. Miyazawa and S.Uehara, LPE growth of Li(Nb,Ta)O3
solid-solution thin film waveguide on LiTaO3 substrate. J. Cryst. Growth, 46(3),
314 (1979).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C006 Final Proof page 340 23.10.2006 6:36pm
340 Handbook of Lapping and Polishing
7 Chemical MechanicalPolishing and ItsApplicationsin ULSI ProcessToshiro K. Doi
CONTENTS
7.1 Orientations and Role of CMP in Semiconductor Process................ 343
Toshiro K. Doi
7.1.1 Relation of Planarization CMP with ULSIDevice Process ........................................................................ 343
7.1.2 Ultraprecision Polishing and CMP in theFabrication Process of ULSI Devices .................................... 3447.1.2.1 Outline of ULSI Device Fabrication Process .......... 3457.1.2.2 Ultraprecision Polishing and CMP
of Bare Silicon Wafers............................................. 3467.1.3 Planarization CMP and Its Roles ........................................... 349
7.1.3.1 Reasons for Planarization......................................... 3497.1.3.2 Background for Introducing Planarization
CMP and Its Application Process ............................ 3507.2 Basic Concept of Planarization CMP ................................................ 354
Toshiro K. Doi
7.2.1 Basics of CMP—Progress of Ultraprecision Polishingand Its Applications ................................................................ 356
7.2.2 Requirements and Points to Be Noted forPlanarization CMP .................................................................. 357
7.2.3 Basic Design Concept of CMP System.................................. 3597.2.4 Works to Be Polished by CMP and Defects Caused
by Polishing ............................................................................ 3597.3 Basic Technology of Planarization CMP........................................... 363
7.3.1 CMP Machine System ............................................................ 363
Toshiro K. Doi
7.3.1.1 Polishing Station....................................................... 3657.3.1.2 Cleaning Station ....................................................... 369
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 341 23.10.2006 6:43pm
341
7.3.2 Slurries for CMP..................................................................... 370
Masaharu Kinoshita
7.3.2.1 Basis of CMP Slurries .............................................. 3707.3.2.2 ILD CMP Slurry....................................................... 3717.3.2.3 STI CMP Slurry........................................................ 3737.3.2.4 W-CMP Slurry.......................................................... 3817.3.2.5 Cu CMP Slurry......................................................... 386
7.3.3 Pads for Planarization CMP ................................................... 396
Masanobu Hanazono and Masaharu Kinoshita
7.3.3.1 Basic Properties of the CMP Polishing Pad ............ 3967.3.3.2 Pad Conditioning and Polishing Performance ......... 4047.3.3.3 Improvement for New Pads ..................................... 411
7.3.4 Modeling and Simulation of CMP Processes......................... 414
Masaharu Kinoshita
7.3.4.1 Purpose of Modeling ................................................ 4147.3.4.2 Modeling of Planarization Process .......................... 4157.3.4.3 Modeling of the Polishing Pad
and Planarization ...................................................... 4247.3.4.4 Modeling of Slurry Behavior ................................... 431
7.4 The Study Case of Device Wafer ...................................................... 436
Keisuke Suzuki
7.4.1 Introduction of CMP Technology .......................................... 4377.4.2 History of CMP Technology .................................................. 4397.4.3 Device Integration and CMP .................................................. 443
7.4.3.1 Device Fabrication ................................................... 4437.4.3.2 Problems in Integration ............................................ 444
7.4.4 Present State of the CMP Development................................. 4497.4.4.1 STI–CMP.................................................................. 4497.4.4.2 Tungsten CMP.......................................................... 4527.4.4.3 Cu and Low-k CMP ................................................. 452
7.4.5 Development of Endpoint Detection Method ........................ 4587.4.6 Future Prospects...................................................................... 459
7.5 Thin Film Magnetic Recording Heads............................................... 460
Masanobu Hanazono
7.5.1 Structure and Read and Write Mechanism of ThinFilm Magnetic Head ............................................................... 460
7.5.2 CMP Process for Thin Film Magnetic Heads ........................ 4637.5.2.1 Smoothing of Alumina Basecoat
Film Surface ............................................................. 4637.5.2.2 Bottom Shield CMP ................................................. 4647.5.2.3 Bottom Pole and Top Shield CMP .......................... 4657.5.2.4 Cu Damascene Process............................................. 4667.5.2.5 Overcoat CMP .......................................................... 467
7.6 CMP of Compound Semiconductor Wafers ...................................... 468
Toshiro K. Doi
7.6.1 Polishing Characteristics of GaAs Crystal Wafers ................ 4697.6.2 Polishing Characteristics of CdTe Crystal Wafers ................ 471
References.................................................................................................... 473
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 342 23.10.2006 6:43pm
342 Handbook of Lapping and Polishing
Taking a general view of the development of ultralarge-scale integration
(ULSI) devices, the background that requires chemical mechanical polishing
(CMP) as a processing method of multilevel interconnections and planariza-
tion is clarified. Requirements for CMP, system construction method, and key
element technologies are discussed here while reviewing the latest trends of
ULSI devices and the needs of planarization CMP with its process. Moreover,
case examples of the actual planarization CMP of device wafers are intro-
duced here, referring also to the application cases to other fields.
7.1 ORIENTATIONS AND ROLE OF CMPIN SEMICONDUCTOR PROCESS
TOSHIRO K. DOI
7.1.1 RELATION OF PLANARIZATION CMP WITH ULSI DEVICE PROCESS
Semiconductor Manufacturing Technology Institute (SEMATECH) in the
United States has completed a road map on the technical trends of DRAM up
to the year 2016, coming out with a scenario toward the realization of 64G-bit
DRAM, which announced that we had run into the era of ULSI of G-bit class.
As a consequence, silicon wafers have been becoming large from f800 to f1200.Table 7.1 is a summary of technical trends of memories (DRAM) and
logics. The large-scale integration (LSI) technology, in which DRAM tech-
nology played a leading role as a technology driver, has resulted in rapid
progress toward the wide-ranging technologies. Today, G-class DRAM with a
minimum line width of 0.13 mm is mass produced, which has driven the
development of devices with further small line width of 0.1 mm or below as a
next generation device.
TABLE 7.1Roadmap of Semiconductor Technology (2001 ITRS)
Year of Production 2001 2003 2005 2007 2010 2016
DRAM 1=2 pitch (nm) 130 100 80 65 45 22
Overlay accuracy (nm) 46 35 28 23 18 9
Gate length (nm) 90 65 45 35 25 13
Number of metal levels 7 8 8 ~ 9 9 9 ~ 10 11
CD control (nm) 8 5.5 3.9 3.1 2.2 11
Tox (equivalent) (nm) 1.3 ~ 1.6 1.1 ~ 1.6 0.8 ~ 1.3 0.6 ~ 1.1 0.5 ~ 0.8 0.4 ~ 0.5
Junction depth (nm) 48 ~ 95 33 ~ 66 27 ~ 47 18 ~ 37 13 ~ 26 7 ~ 13
Metal cladding (nm) 16 12 9 7 5 2.5
Intermetal dielectric k 3.0 ~ 3.6 3.0 ~ 3.6 2.6 ~ 3.1 2.3 ~ 2.7 2.1 1.8
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 343 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 343
Also the size of gate electrode in the logic devices has reduced to 0.14 mm,
prompting the miniaturization of devices. In other words, miniaturization has
been pursued, aiming at the realization of high integration and high efficiency
devices. The backbone technology that supported this topmost priority of
miniaturization is a multilevel interconnection technique, and it is the planar-
ization CMP, a theme of this chapter that made the multilevel interconnection
possible.
The cross sections of ULSI devices have drastically changed by the
introduction of planarization CMP, derived from the ultraprecision polishing,
a proven technique as a finishing process of bare silicon wafers. Its impact on
the multilevel interconnections is large.
As interconnections are patterned on a planarized interlayer film, pattern-
ing over the gaps is unnecessary, though it is still a problem in lithography,
allowing the patterning made more minute. Planarization CMP applies not
only to the planarization of interlevel dielectric layers but also to the planar-
ization of metal plug and STI that is indispensable to make future devices
highly efficient and minute [1].
Furthermore, without planarization CMP technology, materialization of
damascene interconnections proposed by IBM, USA, as an embedded wiring
method would not have been possible [2]. Cu interconnections that is a long
cherished dream was also realized by CMP technology using damascene or
dual damascene wiring method.
As mentioned above, planarization CMP, a derived technology from the
ultraprecision polishing technique, has completely changed the multilevel
interconnection process technique for ULSI devices, and even prompted the
introduction of Cu materials into the process that is ideal wiring metal but
considered hard to apply. If we think of future potential application fields,
planarization CMP is extremely important [1].
7.1.2 ULTRAPRECISION POLISHING AND CMP IN THE FABRICATION
PROCESS OF ULSI DEVICES
Figure 7.1 shows a flow chart of ULSI device fabrication process from the
growth of silicon crystals, wafer fabrication, and device fabrication processes
to the completion of device fabrication. In the semiconductor fabrication
process, wafers are produced through the formation of silicon single crystals,
periphery grinding, notch formation, slicing, beveling, lapping (or grinding),
etching, ultraprecision polishing (mirror polishing), and ultraprecision clean-
ing in this order, using only precision processing technique. This process is
referred to as the wafer fabrication process. Subsequently, it enters into the
device fabrication process, which is divided into two processes as (1) a wafer
process (referred to as preprocess) where wafers generally undergo the device
fabrication process and (2) an assembly and test process (referred to as
postprocess) where chip fabrication and assembly are undertaken.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 344 23.10.2006 6:43pm
344 Handbook of Lapping and Polishing
7.1.2.1 Outline of ULSI Device Fabrication Process
As shown in Figure 7.2, layout of the pattern relative to the circuit design and
circuit configuration is designed in the device fabrications so as to accomplish
the desired functions. After layout design of the pattern, masks equivalent to
the photographic negatives are fabricated. Various fine patterns formed on a
mask are exposed on the bare silicon wafers that have undergone the fine
polishing and precision cleaning. Using such masks, formations of isolation
structure, p- and n-well regions by ion implantation and gate oxide films or
Polishing
Applied to the planarizationCMP of device wafers
Devicefabricationprocess
Lifting
Quartz potGraphite pot
Melting liquid
Heater
Wafer Etchant
Bonding
Anneal heattreatment
Ingot
Grinding stone
Support baseabrasives
Group rollerWafer
Grinding stone
Wafer fabrication
Polishing agentWafer-bonding
plateCleaning Inspection
PolisherPolishing plate (RCA)
(Mirror finish)
Crystal growth Peripheral grinding Notch formation
Slicing Beveling(chamfering)
Lapping (both sidessimultaneously)
Etching
FIGURE 7.1 Fabrication process of bare silicon wafers.
Devices
Postprocess
Inspection
Protective coatingprocess
Wiring process
MOS Tr.• Formation of shallow trench isolation (STI)• Formation of p / n wells (ion injection)• Formation of gate oxide film poly-Si• Formation of sources/ drains (ion injection)
Device formationprocess
Front-process
Device fabrication process
Bare Si wafer process
Assemblingprocess
Mask fabrication
Circuit pattern layout
Circuit design
FIGURE 7.2 Devices fabrication processes flow.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 345 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 345
polysilicon films among others are performed. MOS transistors are produced
using such techniques.
Recently, the minimum design rule of the patterns to be exposed has
shrunk below 0.2 mm. In this process, multilevel interconnections are formed
by connecting various transistors alternately to secure device performances.
Multilevel interconnections are made in such a way that each of the interlevel
dielectric layer, hole aperture to connect upper and bottom layers of the
interconnection, and interconnection layer are formed repeatedly one after
another. To carry out the multilevel interconnections, it is necessary to
microscopically planarize irregular surfaces induced each time so that various
kinds of layers are formed repeatedly. CMP is the essential technology for this
planarization Process. Upon completion of the multilevel interconnection
process, a protective film is coated over the surface. This preprocess where
wafers are processed is referred to as the wafer process. The wafers, after the
wafer process, consecutively enter into the postprocess for the chip assembly
and test, or assembly and test process. The most typical finished packages, dual
in-line package (DIP), and flat package are shown in Figure 7.3.
The process to produce ULSI is explained in the above section. In the next
section, we will discuss on the ultraprecision polishing and CMP of bare
silicon wafers, which is a basis for the planarization CMP.
7.1.2.2 Ultraprecision Polishing and CMP of Bare Silicon Wafers
There are two polishing methods for silicon wafers (both-sides simultaneous
polishing like lapping and single-sided polishing). In the single-sided polish-
ing, there are several wafer-holding methods such as vacuum chucking
method, wax adhering method, and water chucking by the surface tension
between the backing film and template without any adhesives like wax.
FIGURE 7.3 Examples of typical finished packages, dual in-line package (DIP) and
flat package.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 346 23.10.2006 6:43pm
346 Handbook of Lapping and Polishing
Currently the single-sided polishing is more popular with a high-precision
wafer-bonding method to the ceramics plate using a bonding agent. The
backing film or template method is also applied to total thickness variation
(TTV) and local thickness variation (LTV) that take no count of the precision.
In connection with the planarization CMP of STI, the minimization of
nanotopography of silicon wafers (Figure 7.4) has recently become an
important issue, for which the both-sides simultaneous polishing is attracting
attention as it can result in wafers with a high precision [3]. In light of the
impurity-free residue, it is believed that the both-sides simultaneous polishing
method is widely applied to the finishing process of f300 mm wafers.
In this polishing process, silicon wafer surfaces are required to be 1–2 nm
Ry in roughness, oxidation-induced stacking fault (OSF free), microscratch
free, and haze free. These surfaces should have high-precision finishing as the
time polishing is complete. Therefore, polishing process is generally per-
formed in several steps. The first step is aimed at efficiently producing planar,
mirror surface. The second step is aimed at producing OSF-free surface and
improving surface roughness. The third step or fourth step is aimed
at the production of haze-free and contamination-free surfaces [4]. Table 7.2
indicates examples of polishing aims and polishing conditions for each step.
On completion of polishing, the wafers undergo a precision cleaning, to
which RCA cleaning (cleaning on the basis of SC-1 cleaning—NH4
H(1)þH2O2þH2O(5), diluted HF (DHF) cleaning, and SC-2 cleaning—
HCl(1)þH2O2(1)þH2O(5) [5]) is commonly applied to clean the silicon
wafers contaminated during polishing process and to thoroughly remove
residuals like particles (Table 7.3).
104
Haze Ripple
Dimple
LTVTTV
(1 µm)
(1 µm)
(1 nm)
(1 nm) (1 mm)
Nanotopography
103
102
10
1 10 102 103 104
Wavelength in transverse direction (period) (Å)
Rou
ghne
ss R
y (Å
)
105 106 107 108 109
FIGURE 7.4 Relation between transverse wavelength of the phenomenon appeared
on the processed silicon wafer and its roughness.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 347 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 347
TA
BLE
7.2
An
Exam
ple
of
SiW
afer
Polish
ing
Condit
ion
sfo
rU
LSI
Fabri
cati
ons
Pro
cess
ing
Condit
ions
Pro
cess
Slurr
y(P
oli
shin
gR
eage
nt
and
Abra
sive
s)
Pad
(Polish
er=
Poli
shin
gPad
)
Poli
shin
g
Pre
ssure
Stock
of
Rem
ova
lTar
get
Fir
stp
oli
shin
gS
iO2
typ
eab
rasi
ves
(or
ZrO
2):
Po
lyu
reth
ane
imp
reg
nat
ed
par
ticl
esi
ze5
00
~7
00
AP
oly
este
rn
on
wov
encl
oth
(har
dty
pe)
Hig
hef
fici
ency
Poli
shin
gre
agen
t:10
~15
mm
alk
alin
eso
luti
on
(pH
10
–11
)3
~8
N=c
m2
Sm
ooth
mir
ror
surf
ace
(20
~4
0R
y)
Sec
ond
po
lish
ing
SiO
2ty
pe
abra
siv
es:
Fo
amed
po
lyu
reth
ane
(art
ific
ial
leat
her
)or
Po
lyes
ter
no
nw
ov
en
clo
th(s
oft
typ
e)
Par
ticl
esi
ze500
~700
Ao
r1
00
~2
00
Poli
shin
gre
agen
t:al
kal
ine
solu
tion
(pH
10–11)
OS
F-f
reea
1~
3N=c
m2
~1
mm
Imp
rov
emen
to
fsu
rfac
e
rou
ghn
ess
(10
~2
0R
y)
Th
ird
~F
ou
rth
po
lish
ing
SiO
2ty
pe
abra
siv
es:
Fo
amed
po
lyu
reth
ane
(art
ific
ial
leat
her
)
(so
ftty
pe)
par
ticl
esi
ze1
00
~2
00
Poli
shin
gre
agen
t:
amm
onia
or
amin
ety
pe
(pH
8–
10)
0.1
~0
.3m
Haz
e-fr
ee
Con
tam
inat
ion
free
1N=c
m2
or
less
aO
SF
:O
xid
atio
n-i
nd
uce
dst
ack
ing
fault
.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 348 23.10.2006 6:43pm
348 Handbook of Lapping and Polishing
After undergoing the above polishing and cleaning processes, the wafers
are transferred to the device fabrication process. The above-mentioned ultra-
precision polishing technology for silicon wafers is going to be applied to the
planarization CMP of the device wafers as described later.
7.1.3 PLANARIZATION CMP AND ITS ROLES
7.1.3.1 Reasons for Planarization
For the purpose of delivering ULSI toward high integration and high per-
formance, it is extremely effective to make interconnections multilevel.
If multilevel interconnections are desired to be achieved when trying to
accomplish device miniaturization, the existing process has its limit. Because
the gaps tend to become larger in conformity to the surface topography of the
lower layer, the step coverage in the film formation process becomes worse as
the number of interconnection layers increases. Therefore, improvement of
such step coverage is essential to produce multilevel interconnections by
planarizing it in an appropriate process.
In the meantime, when the device fabrication process advances, the irregu-
larities get further amplified reaching to the extent that the resolution and depth
of focus (DOF) in the photolithography are hard to be compatible, making it
difficult to simultaneously focus on both surface concaves and convexes. The
resolution can be improved using either short-wavelength light or optical system
that is larger than the numerical aperture (NA). However, when l is made small,
TABLE 7.3Standard Cleaning Procedure for Si Wafers
Procedure
Temperature
(8C) Effect
Sulfuric acid þ hydrogen
peroxide (1:0.25=1:1)
120 ~ 150 Metal, organic substances SPM
(‘‘Piranha clean’’)
(DIW rinse) RT
Dilute HF RT Native silicon dioxide etching
(DIW rinse) RT
Ammonium hydroxide þhydrogen peroxide þDIW (1:1:4 ~ 1:1:5)
80 ~ 90 Particles, organic substances (SC-1 or APM)
(DIW rinse) RT
Hydrochloric acid þhydrogen peroxide þDIW (1:1:4 ~ 1:1:5)
80 ~ 90 Metal (SC-2 or HPM)
(DIW rinse) RT RCA Cleaning
Dilute HF RT Hydrogen dead-end
(DIW rinse) RT
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 349 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 349
and NA is made large, the DOF becomes shallow, making the exposure difficult
for nonflat surface. For the miniaturization of interconnections in ULSI fabrica-
tion process, it cannot progress to the next process unless surfaces of interlevel
dielectric layers are flatter than the DOF.
Figure 7.5 shows an example of the relations between the design rule and
the number of interconnection layers and minimum interconnection width in
the logic ULSI [6]. As the wavelength is increasingly becoming short, the
focus margin becomes small during exposure. As a consequence, it is essen-
tial to produce surfaces whose gaps are below the DOF.
As mentioned above, in an appropriate step of the process, planarization is
required to remove surface irregularities of the device wafer, which is, in
brief, aimed to form multilevel interconnections to cope effectively with the
wiring delay and to deal with the DOF in the lithography [1]. This is the
reason for performing CMP as a planarization process of wafer surface in
the device fabrication process.
7.1.3.2 Background for Introducing Planarization CMPand Its Application Process
Existing planarization techniques can be divided into four methods including
etch-back method, film formation method, fluidization method, and selective
growth method as indicated in Table 7.4.
These planarization methods have their limits to processing efficiency
depending on the film types such as metal or dielectric layer. Besides, a fatal
problem pointed out is that the area that these methods can planarize is extremely
limited to somewhere between several mm and 10 mm (or at most 100 mm).
The surface topographies of planarized wafers are classified into three
types as summarized in Figure 7.6 as against the cross sections not planarized.
1001301802503505006500
5
10
15
20
25
30
35
40
45
Interconnect delay, Cu and low-kInterconnect delay, Al and SiO2
Sum of delays, Cu and low-kSum of delays, Al and SiO2
Gate delay
Design rule (nm)
Del
ay (
ps)
Al and Cu line
0.8 and microthick
43 and microlong
Al and Cu
k = 2.0Low-k
k = 4.0 SiO2
Cu
Al
Sum of delays,Al and SiO2
Sum of delays,Cu and low-k
3.0 µΩ . cm
1.7 µΩ . cm
FIGURE 7.5 Transistor and interconnect delay.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 350 23.10.2006 6:43pm
350 Handbook of Lapping and Polishing
It is ideal to preferentially planarize projected areas only on a rough surface
to produce a smooth flat surface, regardless of the substrate conditions. This is
termed global planarization. For the improvement of yield and reliability, it is
indispensable to achieve global planarization across an entire wafer surface.
TABLE 7.4Conventional Planarization Techniques and Features
Type Techniques Features
Etch-back method Sputter . Easy process
RIE . Hard to control etching
Plasma etching
Deposition method Bias sputter . Planarization in concurrence with
film depositionBias ECR
Plasma CVD . High possibility of damage
RF plasma CVD . Excess dusts
Fluidization method Re-flow . Easy to handle
SOG (spin-on-glass) . Sparse and instable film
(FB sputter) . Migration acceleration
Selective growth Selective CVD
(plug method)
. Embedding only where necessary
(hole) is possible
Selective epitaxial growth . Low controllability over selective
growth
. Instable
(Global planarization)All over planarization in a wafer
(Local planarization)Partial planarization(Planarization of pattern parts)
(Smoothing)(Planarization of dense pattern part)
(Before planarization process)
t1
t2+
t2
FIGURE 7.6 Planarization mode of a device wafer.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 351 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 351
This planarization polishing or CMP is an applied technology of the
ultraprecision polishing that has achieved successful results as a mirror-finish
processing in the polishing process of bare silicon wafers. Planarization
polishing or CMP mechanically produces a large surface to a flat surface.
Such a simple concept leads to the user-friendly comprehensibility. Besides,
the possibility of planarizing large-sized area like a wafer, needless to say
chip size area, is practical. Polishing in the wafer processing, especially
mechanochemical polishing or CMP, has supported cutting edge optomecha-
tronics industry, including semiconductor industry over the years, delivering
excellent results. Therefore, it is a natural development that such a technology
and the know-how have been diverted and applied to the planarization
polishing or CMP. However, it is not like that the planarization of device
wafers can be realized by simply diverting existing polishing techniques as it
is. There are still many problems remaining. The technology referred to as
polishing or CMP dates back to the second half of the year 1960 when IBM
unveiled the development of a concept of the current mechanochemical
polishing or CMP for Si using NaOH solutions.
Dielectric isolation (DI) wafer for LSI to withstand high pressure was a
typical product manufactured in the early stage of the polishing technology
introduced into the device fabrication process [7]. A study on DI wafers
(Figure 7.7) for the LSI for digital subscriber circuits has been carried out
since the first half of 1980 when mechanochemical polishing or CMP was
introduced in its fabrication process [8].
Figure 7.8 is an exterior view of a fully automatic cassette-to-cassette
polishing machine developed at that time, which seems to be the world’s first
fully automatic polishing machine [9].
100 µm
Si island Si island Si island
Dielectric SiO2 film
Poly-Si
FIGURE 7.7 DI (dielectric isolation) wafers for digital subscriber circuits made by
introducing CMP technique. (From NTT in 1983.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 352 23.10.2006 6:43pm
352 Handbook of Lapping and Polishing
Such being the case, the bare silicon wafer CMP has progressed toward
planarization polishing or CMP for LSI devices. However, the process applicable
is supposed to vary depending on the LSI types. As is the case with the
planarization by etch-back method, CMP is basically applied to the device,
isolation, and interconnection processes on SiO2 film (oxide film) as interlevel
dielectric layers, metal film (W, Al, Cu, etc.) as interconnection materials, and
polycrystalline silicon (poly-Si) and single crystal silicon as capacitor materials.
Figure 7.9 shows a sectional diagram of a device and the processes to
which planarization CMP is applied. From the bottom, they are STI CMP,
interlevel dielectric (ILD) layer CMP, W-plug damascene CMP, and wiring
metals (Al, Cu) damascene CMP. When the plug and ILD are formed
simultaneously, it is termed a dual damascene CMP.
Figure 7.10 indicates Cu wiring process by the dual damascene method.
Basic requirements for the wafer planarization in the device fabrication process
and its related processing factors and conditions are shown in Table 7.5.
FIGURE 7.8 A fully automatic cassette-to-casette polishing machine for DI wafer
process. (From NTT & Fujikoshi Machinery Corp. in 1985.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 353 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 353
7.2 BASIC CONCEPT OF PLANARIZATION CMPTOSHIRO K. DOI
This section deals with the polishing mechanism of planarization CMP while
discussing how ultraprecision polishing technology has been applied to a
planarization CMP so that we can understand the basics of planarization
Via hole(plug)
Trench(wiring)
STI-CMP
ILD-CMPW-CMP
Metal CMP
Sixth wiring
Fourth wiring
Third wiring
Second wiring
W W
STI
First wiring
n-well
Interlevel dielectric layer
Interlevel dielectric layer
Interlevel dielectric layer
Interlevel dielectric layer
Interlevel dielectric layer
Fifth wiring
V5
W BPSG
Gate electrodep-well
STI
V3
V2
V1
STI
Silicon wafer
V4
FIGURE 7.9 Sectional diagram of a device and the processes to which planarization
CMP is applied.
Formation of covering layer
(Cu and barrier metal CMP and postcleaning)
Cu and barrier metal CMP
Formation of seed Cufilm and Cu embedding
Formation of barriermetal
Formation of via holeand ditch for wiring
Deposition of dielectriclayer
Cu embedding
Selection of barrier metaland its film formation
Etching of low-k filmSelection of low-k material
Cu
CMP process:
• 1 step CMP
• 2 or 3 steps CMP
Dielectriclayer
Interlevel dielectric layer
Barrier metal
1
65
432
FIGURE 7.10 Cu wiring formation process by Cu-dual damascene and subsequent
works in each process.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 354 23.10.2006 6:43pm
354 Handbook of Lapping and Polishing
TABLE 7.5Basic Requirements for Planarization CMP
Requirements Description Relative Factors
Planarity Preferential removal of
projecting features only
within the specified
processing margin (normally
0.5 ~ 1 mm) to achieve
desired smoothness and
flatness
Pad types (hardness, surface
profile), relative speed,
polishing pressure, slurry type
and stability, pattern
dimensions and density,
dummy pattern
Uniformity Planarization across the entire
surface of large-sized wafer
(f1200)
Uniform pressurization, pad
uniformity (thickness accuracy,
groove pattern, etc.) and
hardness, uniform flow and
supply of slurry retainer-ring
adjustment, dressing
Clarification of
polishing end point
Detection of polishing end
point (specified stock of
removal) to finishing
polishing
Polishing time control: pad and
slurry stability, timing of
dressing, stopper, etc.
In-situ monitoring: improvement
of motor current and optical
film thickness measurement
toward higher precision and
better reproducibility
High-quality processed
surface
Damage-free processed surface
(scratches, breakage, etc.)
Slurry agglomeration and particle
distribution, filtering,
impurities inside pad, falling
off particles from dresser,
High cleanliness
processed surface
Removal of contaminated
particles and metals by post-
CMP cleaning
Wet conditions maintained and
postcleaning, chemical
cleaning (low concentration
solution, same sign zeta
potential liquid), physical
cleaning (scrub, mega-sonic,
ice-scrub, super high-pressure
jet, etc.), wafer spin dry
High throughput and
low cost
Polishing conditions and
equipment for realization of
low processing cost and high
throughput
Consumable cut-down, dressing-
free, higher efficiency-oriented
postcleaning, efficient pad
replacement, wafer
transportation, slurry recycle
and circulation, planarity
securement
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 355 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 355
CMP. Through the requirements for planarization CMP and points to be
noted, basic design concept of CMP system was worked out including
workpieces to be polished by CMP and polishing factors.
7.2.1 BASICS OF CMP—PROGRESS OF ULTRAPRECISION POLISHING
AND ITS APPLICATIONS
A variety of polishing methods have been proposed so far. Earlier, the optical
polishing as a mirror-finish method was especially popular for glasses that
were considered difficult to be processed by the cutting or grinding method.
Subsequently, clarification of the polishing mechanism of optical glasses as a
finishing method of semiconductor silicon wafers has progressed, leading to
the wide recognition of the importance of the mirror polishing to be upgraded
and ultraprecise, which encouraged the emersion of several ultraprecision
polishing methods. Such polishing methods are the originals of the present
global planarization CMP.
Recently, characteristic polishing methods have been proposed using
various abrasives and polishing reagents. Without denying the interpretation
of the traditional processing mechanisms, but recognizing the existence of
various mechanical actions and chemical actions induced by the combined
polishing materials such as the work materials to be polished, slurries, and
pads, a chemical and mechanical compound polishing was worked out, taking
advantage of such characteristics. The typical examples thereof are the CMP
and mechanochemical polishing (MCP). As a matter of convenience, both are
handled here as the same, and referred to as CMP.
In this section, mirror finishing or smooth surface polishing is discussed.
As shown in Figure 7.11 (1) the projected areas of the residual surface
features formed in the previous process are preferentially removed or
(2) both projected and recessed areas on the wafer surface are removed,
however, placing emphasis on the removal of the projected areas. The former
is the optical polishing that is not affected too much by the presence of
damaged layers, whereas the latter is the mechanochemical polishing of
bare silicon wafers that require complete removal of the damaged layers. In
this case, as the stock of removal (polishing margin) is as big as 10 to 15 mm,
it can finally realize smooth planarization even with a soft pad, whereas, in
the planarization CMP of the device wafers, the polishing margin is no more
than 0.5 to 1 mm, and moreover, complete planarization is essential by
removing projected areas only. Such planarization CMP of device wafers
corresponds to the case of optical polishing. In case that only projected areas
are to be preferentially removed, it is advantageous to have membranous
reaction products formed as shown in Figure 7.12 to facilitate sequential
removal of its projected areas while controlling the polishing not to process
the recessed areas or protect them. This becomes effective in preventing
dishing and erosion, which are discussed later.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 356 23.10.2006 6:43pm
356 Handbook of Lapping and Polishing
7.2.2 REQUIREMENTS AND POINTS TO BE NOTED FOR PLANARIZATION CMP
The main requirements and points to be noted are as follows:
. To process minute topographic features to a smooth and planar surface:
Fine projected areas of a high-density pattern should be preferentially
removed. The stock of removal (polishing margin) is roughly 0.5 to
1 mm depending on the type of devices and works to be processed. Within
such narrow range, all gaps across a wafer should be removed uniformly
Pol
ishi
ng ti
me
Hard pad Soft pad
Small stockof removalto producethe surfaceplanar
Large stockof removalto producethe surfaceplanar
Mirror surface Mirror surface
WaferWafer
Before polishing
(a) Preferential removal from the projecting features (hard pad)
(b) Projecting features tend to beremoved more aggressivelythan recessed features (electric soft pad)
FIGURE 7.11 A model of smoothening and planarization of rough surfaces by
polishing. (Original drawing by Kasai.)
Work
Pad
Polishingpressure
Abrasives held bythe pad surface
Reaction productshydrated film,passivate film, etc.
Softened layer bysubstitution reaction
Swelled layer
Disturbed layercaused by friction
Relative motion
FIGURE 7.12 Diagram of planarization by the formation and removal of film type
reaction products. (Original drawing by Kasai.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 357 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 357
without polishing the recessed areas of the pattern. For which, a surface
reference polishing method should be worked out, taking into consider-
ation that the reference of the polishing surface is the surface where
devices are formed. The surfaces to be polished should be infinitely
made damage-free, as the presence of any cracks and distortions on
the surfaces can cause functional problems.. To make the surface to be processed washable and contamination free:
In the polishing with slurry, slurries and pads generally become a source
of contamination. Especially, metal ion has a fatal effect on the electric
properties of the devices. It is fundamental to select polishing materials
and conditions that do not cause or leave such contaminations. It is also
necessary to establish polishing conditions that allow the cleaning in
conformity to the postprecision cleaning method, for which the cleaning
conditions, which are that the zeta potential sign of the particles in slurry
coincides with that of the cleaning liquid, should be applied, taking into
consideration the residuals of the ingredients contained in the slurries.. To make the polishing endpoint detection:
The reference surface for CMP is the surface of the device wafer.
Topographic features of the wafer are removed little by little preferen-
tially from the projected areas across the wafer. Polishing should stop
when a desired amount (~1 mm) is removed, when the fluctuations of
the remaining film thickness should be, for instance, within +5%. As it
is obvious, fixing the polishing end point is a must here.
Under the present situations, although in-situ measuring technique
has advanced, polishing end point is still generally controlled by the
processing time of the stock of removal fixed previously depending on
the device types. This requires establishment of repeatable polishing
conditions and techniques through the optimization of pads and slurries
including pad conditioning. However, it is a primary goal to establish
high resolution, in-situ measurement method.. To have high throughput:
In the device fabrication process, CMP process should not become a
rate-determining step. To obtain a productivity that matches the
throughput of the stepper or more, it is necessary to secure high
polishing efficiency and low-cost oriented process.. To accommodate large-sized wafers:
Recently, f1200 (f300 mm) wafers have won popularity over f800
wafers, and are being introduced in CMP process, which has driven
us to work to establish the polishing methods and conditions for high-
precision CMP of large-sized wafers of f1200 or more when manufac-
turing CMP machines.. To consider the planarization technology as a comprehensive technology:
As the problems pertinent to the planarization technology are extended
over various fields, planarization technology cannot be realized without
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 358 23.10.2006 6:43pm
358 Handbook of Lapping and Polishing
comprehensive technology through close collaborations of not only
processing engineers but also researchers and engineers from other
fields such as measurement, cleaning, and device fabrication fields.
From this viewpoint, the Planarization CMP Technical Committee
(Chairman: T.K. Doy) of Japan Society of Precision Engineering is
encouraging close exchanges with people from all fields, particularly
from device-related fields to share information and insight for the
comprehensive goal [10].
The basic requirements for planarization CMP with some related factors are
shown in Table 7.6.
7.2.3 BASIC DESIGN CONCEPT OF CMP SYSTEM
As a finish process of bare silicon wafers, polishing has been dealing with
increasingly severe conditions, fighting to improve quality and accuracy of
wafers. To introduce the polishing to the device fabrication process, realiza-
tion of high precision, high efficiency, and cleanliness is indispensable
together with automatization of full peripheral equipments.
CMP system design is summarized in the following three basic points:
. The polishing system should be equipped with a cleaning unit, a mini-
environment unit, and handling systems of the loading and unloading
stations of wafer cassettes and of wafer transfer apparatus, whereas
systems of slurry supply and disposal of waste solutions should be in
compliance with fab environment.. In terms of automatization, the system should be equipped with a
monitoring unit of CMP process such as polishing pressure distribution,
polishing end point, pH of slurries, pad loading and temperature, and
with an adaptive control unit thereof.. As a part of a semiconductor fabrication line, CMP system should be
centrally controlled.
Table 7.6 is a summary of the basic design concept for building a CMP
machine, and the points to develop CMP machines.
7.2.4 WORKS TO BE POLISHED BY CMP AND DEFECTS CAUSED
BY POLISHING
Depending on the types of LSI devices, work materials to be polished by
CMP vary such as SiO2 for interlevel dielectric layer or embedded dielectric
layer, metals (Cu, Al, W) for wiring or connection (plug) of each wiring layer,
barrier metals (Ta, TaN, TiN, WN) to prevent metal diffusion, and Si3N4 for
the polysilicon-made stopper or dielectric layer [1]. Moreover, a defect-free,
high-quality polishing is of utmost importance when producing planar and
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 359 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 359
TA
BLE
7.6
Poin
tof
CM
PM
achin
eSy
stem
Dev
elopm
ent
Item
s
Mai
nU
nit
s—Fu
nct
ions
Consu
mab
les
Mai
nPoli
shin
gSt
atio
nW
afer
Cle
anin
g
Stat
ion
Waf
er
Tra
nsp
ort
atio
n
Stat
ion
Slurr
yPad
Tar
gets
Hea
dPla
ten
Condit
ionin
g
Unif
orm
ity
acro
ssa
waf
eran
dw
afer
-
to-w
afer
Pla
nar
izat
ion
Rat
est
abil
ity,
hig
h
thro
ughput
Cle
anin
g
Low
-cost
Rig
idit
y(p
oli
shin
g-
resi
stan
t,poli
shin
g
pre
ssure
-res
ista
nt)
,w
afer
reta
inin
gpre
ssuri
zati
on
met
hod,
bac
kin
gm
ater
ial
(waf
er-c
huck
ing
char
acte
rist
ics,
war
p-
corr
ecti
on
char
acte
rist
ics,
unif
orm
pre
ssuri
zati
on
per
form
ance
,vac
uum
-
chuck
ing
per
form
ance
),
shap
ean
dsi
zeof
reta
iner
,unif
orm
ity
of
trai
lden
sity
(no.
of
rota
tion,
osc
illa
tion,
vib
rati
on,
ampli
tude)
,
avoid
ance
of
reso
nan
ce
poin
t,lo
ng
stab
ilit
yof
var
ious
movem
ents
Sam
eas
inth
ele
ft.
Unif
orm
moti
on
mec
han
ism
of
tools
(gri
ndin
g
stone)
,unif
orm
ity
of
trai
lden
sity
(unif
orm
pro
cess
ing
of
pad
surf
ace)
Hig
hef
fici
ency
slurr
yre
moval
,
full
rem
oval
of
met
al
conta
min
atio
n
and
par
ticl
es
(bel
ow
109
cm-2
),si
ngle
waf
ercl
eanin
g
and
its
stab
ilit
y,
clea
nin
gpro
cess
(bru
shsc
rub,
meg
a-so
nic
,
clea
nin
gfl
uid
,
tem
per
ature
,ti
me,
etc.
)
Waf
erlo
adin
gor
unlo
adin
gst
atio
n,
cass
ette
-to-
cass
ette
,w
afer
rever
sing
mec
han
ism
,hig
h
spee
dtr
ansf
er,
dust
gen
erat
ion
pre
ven
tion
Par
ticl
esi
ze
dis
trib
uti
on,
dis
per
sibil
ity,
pH
val
ue,
addit
ive,
stab
ilit
y,
types
and
shap
esof
abra
sives
,
tem
per
ature
contr
ol
Ela
stic
def
orm
atio
n
char
acte
rist
ics,
trac
kin
gab
ilit
y,
surf
ace
textu
re,
shap
e,pre
ssure
dis
trib
uti
on
(acr
oss
aw
afer
:
unif
orm
izat
ion,
loca
lar
ea:
pro
ject
edar
eas
only
hig
h
pre
ssure
),co
ntr
ol
of
hydro
pla
ne
phen
om
enon,
tem
per
ature
contr
ol
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 360 23.10.2006 6:43pm
360 Handbook of Lapping and Polishing
Rem
arks
.E
ndpoin
tdet
ecti
on
monit
ori
ng
tech
niq
ue
(low
dust
gen
erat
ion
des
ign,
airf
low
contr
ol,
etc.
)
.H
igh
accu
racy
,hig
hri
gid
ity,li
ght
wei
ght
des
ign
.L
ow
ther
mal
expan
sion
mat
eria
ldes
ign
.C
hem
ical
pro
of
mea
sure
s
.S
yst
emco
ntr
ol
tech
niq
ue
.C
entr
alsu
pply
contr
ol
syst
em
.W
aste
wat
er
trea
tmen
t
tech
niq
ue
.L
ow
cost
and
recy
clin
g
.A
ggre
ssiv
esl
urr
y
.L
ow
cost
.L
ong
stab
ilit
y
.S
lurr
y-l
ess
pad
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 361 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 361
irregularity-free or gap-free surfaces within a given polishing margin. It goes
without saying that high polishing efficiency (throughput) is indispensable to
satisfy the production basis.
Table 7.7 schematically shows macrorequirements in the fabrication pro-
cess of LSI devices and the relationship between slurries (composed of particles
and its dispersing reagent) and pads, with the influencing factors thereof. Due
to a large number of contributing factors, all-round investigations become
necessary to feed them back to the CMP system and polishing conditions.
When a patterned device wafer is polished, generation of various polish-
ing defects is anticipated. Figure 7.13 is an example of polishing defects
induced during metal CMP, such as thinning, recess and erosion, dishing,
microscratch, and keyhole. As indicated in the figure, the causes of the
generation of such defects are mostly related to either of the excess polishing,
or slurry and pad. As a consequence, it goes without saying that polishing
TABLE 7.7Aims of Polishing (CMP) and Its Factor
Requirements
Base Elements
of Polishing Factors
High quality
(distortion-free
mirror finish)
Slurry
Polishing solution
þ (additires)
. Reactivity against works=
reaction pattern
High efficiency
(high
throughput)
Abrasives
(fine particles)
. Density and types of
chemicals solution
. Temperature
. Particle size
. Hardness
. Shape
. Type
. Dispersing
. Distribution
High accuracy
(flatness)
Pad (polisher=
polishing cloth)
. Elastic deformation
characteristics
. Hardness and thickness
. Thickness accuracy
. Surface topography
(polishing agent retaining
function)
Cleanliness
(contamination
free)
Precision cleaning . Environment
. Chemicals (purity, type,
density, temperature,
etc.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 362 23.10.2006 6:43pm
362 Handbook of Lapping and Polishing
planarity and uniformity should be secured by optimizing polishing condi-
tions and achieving defect-free polished surfaces.
7.3 BASIC TECHNOLOGY OF PLANARIZATION CMP
7.3.1 CMP MACHINE SYSTEM
Toshiro K. Doi
As mentioned in the previous section, there are several requirements for
CMP for the planarization of wafers in the device fabrication process. Main
requirements are as follows:
1. Planarization of the patterns with various forms of microscopic fea-
tures to a uniform and smooth surface across a wafer
2. Post-CMP cleaning of the processed surfaces
3. Clear polishing end point
4. High throughput with stable polishing and cleaning characteristics
5. Small footprint and lightweight system
Roughly, the above requirements 1, 3, and 4 belong to the group of polishing,
and requirements 2 and 4 to the cleaning. Point 5 is concerned with the design
of the whole machine system. For points 3 and 4, it is fundamental to stabilize
polishing characteristics while building CMP machine system taking transpor-
tation system and in-situ measurement into account.
Cause ofgeneration
Excessive polishing
Selectivity ratio betweenmetal and oxide film
Planarization conditions
• Hard pad
• Rough particles
• Slurry agglomeration
Poor metaldeposition
High etchingslurry
Abnormal etching
Processingtemperatureincrease
Thinning Recess Erosion Dishing Microscratches Keyhole
Metal
Metal Dielectric layerDielectric layer
(Dense pattern) (Sparse pattern)
Height of thedielectric
layer beforeCMP
Before CMP
After CMP
• Excessive polishing• Soft pad• Selectivity ratio between metal and oxide film• Planarization condi- tions
• Excessive polishing• High etching slurry• Soft pad• Selectivity ratio between metal and oxide film• Planarization condi- tions
(Factors) (Slurry) (Pad, slurry) (Slurry, pad) (Pad, slurry) (Plating)
•
•
•
•
•
•
•
FIGURE 7.13 Typical processing defects and phenomena caused during metal CMP,
and its causes.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 363 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 363
CMP machine system is built with the polishing station, cleaning station,
and transportation system with a control system mounted on each of them.
CMP machine system is basically the same as the polishing machine of
bare silicon wafers. However, as extremely high accuracy is required for
CMP machine system, a variety of improvements and features have been
fully worked out to the component parts of each system and unit. As CMP is
one of the semiconductor device fabrication processes, it is essential for the
CMP machine system to have a wide range of advanced equipments and
functions incorporated, including fully automatic cassette-to-cassette, clean
room matching, link with the systems like polishing and cleaning systems,
in situ measurement (endpoint detection), and communication facility with
host computer.
Figure 7.14 is a schematic representation of CMP machine system, indi-
cating linkages between each element and control factors. The core parts of
this CMP machine system are the CMP station and post-CMP cleaning station
with respective transportation and control systems where element techniques
for the various types of the instruments and tools are important. Due to the
relations between the planarization accuracy and throughput, the platens with
their driving mechanisms, slurry with its supply and control mechanisms, pad
with its conditioning unit, and wafer retainer with its uniform pressurization
Wafer for wiringmetal buildup
Wafer for interleveldielectric layer (ILD) buildup
Processingfluid
Abrasives
Types (compositions)pH
Types (materials)Particle sizeShape
DensitySlurry
System (rotary system)Brush cleaningMegasonic cleaningHigh-pressure jet cleaning
Brush/scrub
Deionized waterChemical solution (types)Concentration
Cleaningsolution
Air/water back
MaterialsSurface profileThickness and hardness
Backing materialsMaterials
Surface profile
Thickness and hardness
Groove and shape
With or without lining
PadUniformpressurization
Supply/control system
Post-CMP cleaning(water polishing)
Dressing
CMP system
Waste water treatment unit
Wafer transportation
Cry method: spin dry, etc.
High-pressurejet cleaning
Mega-sonic cleaning
Brushing cleaning
Scrub cleaning
System
Cleaning unit
Polishing pressure and rotation number
Uniform pressurization
Presence of oscillation
MultiMulti
MultiSingle
SingleSingle
HeadLapping plate
System
CMP unit
(In-situ measurement and endpoint detection)
FIGURE 7.14 CMP system configuration and unit control factors in the planarization
process of ULSI device wafers.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 364 23.10.2006 6:43pm
364 Handbook of Lapping and Polishing
mechanism are recognized as key points in this polishing station. On the
other, the basic function of the cleaning station is to remove particles and
metal impurities through the rough cleaning by deionized water polishing,
followed by the finish cleaning and drying.
7.3.1.1 Polishing Station
Figure 7.15 shows the basic structure diagram of the polishing unit in the
platen rotary-type CMP machine system, equipped with (1) a polishing head
with its driving mechanism, which rotates the platen and applies pressures
onto the wafers while retaining wafers, and across from it, (2) polishing platen
with its driving mechanism to which polishing pad is adhered, others such as
(3) polishing pad with its conditioning (dressing) mechanism, (4) wafer and
chuck surface cleaning unit, and (5) slurry supply mechanism are also main
component parts comprising the polishing station.
7.3.1.1.1 Polishing HeadIn the planarization CMP of the device wafers, flatness precision of the
processed surface should be within 10 nm whereas the margin allowed for
the polishing is only 1 mm and not more. Consequently, how to retain wafers
precisely and how to apply uniform pressure to them become extremely
important. It is no exaggeration to say that the polishing head that retains
Pressurizationhead andmechanism
Drivingmechanism
Slurry supply unit
PlatenPolishing pad
Wafer
Backing material
Platen drivingmechanism
Pressurization
Slurry
Pad dressing unit
FIGURE 7.15 General construction of polishing mechanism.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 365 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 365
and pressurizes wafers is exactly a core part of the polishing station. Such
a head is structurally constituted of three parts, including (1) a part that
uniformly pressurizes the reverse sides of the wafers, (2) a ring to prevent
wafers from coming off (retainer-ring), and (3) a wafer retaining chuck for
wafer transportation.
In the polishing head, the above-mentioned point 1 is considered most
important as it directly determines uniformity over the wafers. It is common
today that a pressurization control mechanism is incorporated into the
retainer-ring (point 2) to prevent wafers from falling off during polishing
and to minimize wafer dull-edge so as to improve the accuracy of the wafers.
As to the chuck (point 3), the wafers are chucked and retained inside the
retainer of the heads and placed on the pad surface. The chucking is released
immediately before the polishing. On completion of the polishing and water
polishing, the wafers are rechucked for transportation to the next station
where the wafers are released. Such chucking functions, although not directly
involved in the polishing precision, are important as such chucking ensures
accurate wafer retaining and positioning. In Figure 7.16 a typical uniform
pressurization method is illustrated [1].
7.3.1.1.2 Pad PlatenThe platen to which a pad is adhered revolves almost in conformity with the
revolution of the head (several tens of revolutions=min). Surface precision of
the platen is critical as is the case with that of bare silicon wafer polishing,
which requires necessary control over the temperature increase of the pad
surface during polishing.
Fluidpressurizationmethod
(D) Vacuum chucking, plate bondingWafer
reverse side
(C) (A) and (B) combination method
Water back
Air backDirect
Water back
Air backIndirect
(B)
yes
yes
yes
yes
yes
yes
(A) Elastic material interposed pressurization system (backing film, insert materials, etc.)
Wafersurface
Remarks(figures below)
AccuracyWaferretainer
SystemDatum plane
Retainer WaferBacking material
(elastic) WaferRetainer Air/water back
Load
Elastic film(rubber)
Air supply tube
WaferRetainer Air layer
O
O
(O)
(O)
( )
(∆)
−
−
1
2
1 2
3
3
FIGURE 7.16 Uniform wafer pressurization method.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 366 23.10.2006 6:43pm
366 Handbook of Lapping and Polishing
The polishing time of the device wafers in CMP is less than one-tenth of
that of bare silicon wafers, contributing to a smaller temperature increase of
the pad surface than the other. However, as you will see from Figure 7.17 that
shows the temperature fluctuations of the pad surfaces during CMP; the
characteristics of the device wafer CMP are vulnerable to the instability as
the polishing completes abruptly when the temperature is still rising. Conse-
quently, temperature control by water cooling over the rotary platen is
indispensable for the CMP machine.
Meanwhile, very high load is applied to the platen during polishing. For
instance, when a f800 wafer is processed at a pressure of 500 g=cm2, about 160
kg is applied to the area (f800) of the platen where the wafer is. Consequently,
a large bearing whose size is equivalent to four-fifths of the diameter of the
rotary platen is adopted to increase rigidity of the rotary platen and prevent
deformation thereof, aiming to secure accuracy (uniformity in particular) of
the polished wafers.
7.3.1.1.3 Pad Conditioning (Dressing)It is of utmost importance to maintain processing ability of the pads, or to
obtain constant and stable polishing characteristics, which is still more required
particularly when a processing end point is determined by the polishing time.
As polishing advances, pad surfaces become loaded (clogged), which inevit-
ably deteriorates polishing characteristics, requiring conditioning of the pad
from time to time so as to make the pad surfaces to the initial conditions.
Currently popular conditioning method consists of the removal of the
pad surface layer where loading (clogging) occurred with diamond wheels.
Such conditioning is performed in situ or every certain number of wafers
processed.
22520017515012510075502500
3
6
9
12
15
Polishing time (sec)Var
iatio
n in
tem
pera
ture
of p
ad s
urfa
ce (8C
)
Outside padCenter of waferInside pad
Polishing conditionsPad: IC1000A21/S400Slurry: SC-112Polishing pressure: 300 g/cm2
Relative speed: 30 m/minPolishing time: 3 minWork: 6" wafer
Polishing start
Polishing end
FIGURE 7.17 Variation in temperature of pad surface during CMP (without cooling
of platen).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 367 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 367
Figure 7.18 shows a pad conditioning introduced by Asahi Sunac Corp.,
which successfully brings back the original state of the pad surfaces by jet
spraying the pad surface with ultrahigh-pressure water of several tens to
hundreds MPa converted from ultrapure water to remove the loaded layers
and reaction products adhered to the fine pores of the pad surfaces without
grinding down the pad surface (Figure 7.19). This conditioning method is
found most suitable for nonwoven cloth like Suba or artificial leather (suede-
like Politex) used for Si-CMP than for IC1000 pads [11].
7.3.1.1.4 Slurry Supply MechanismUnless slurry is supplied properly, the particles in the slurry tend to become
agglomerated, and induce processing damages to the wafer like micro-
scratches. Slurries should be supplied adequately and should be free from
drying or sedimentation.
Polishing trashSlurry waste
Flow
Polishing trashHole
Nozzle
DIW particle
Polishing pad
Jet direction
Factors of dressing • Jet pressure• Jet distance• Nozzle pattern• Flow• Cleaning time• Jet angle
q
FIGURE 7.18 Pad dressing model by super high-pressure microjet.
(b) After polishing(a) A new polishing pad (c) After HPMJ dressing
3 500
• Polishing conditionsPolishing objects Oxygen films on silicon
waferSlurry Silica type slurryPolishing pad Bubble urethane type
Polishing time 1200 s
• Dressing conditions
Jet pressure 12 MPa
Jet distance 100 mm
Jet flow 1.1 L/min
Jet time 30 s (pad piece)
FIGURE 7.19 SEM images of the bubble urethane type polishing pad surface.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 368 23.10.2006 6:43pm
368 Handbook of Lapping and Polishing
Either vacuum system or pump system is equipped with the central supply
system in the mass production line. Both systems supply slurries to the
polishing station while circulating them through the slurry pipe.
7.3.1.2 Cleaning Station
Processed wafers are transferred to the wafer cleaning station where the
particles and impurities adhered to the wafers during polishing process are
washed off. The particles and impurities are generated by the slurry or pads. As
it is presumed that the number of particles just after CMP reaches from
thousand to tens of thousands per cm2, and impurities to 1014 per cm2, it is
common to transfer the wafers to the cleaning station only after such particles
and impurities are roughly removed by water polishing on completion of
CMP [12].
Currently popular cleaning process flow is as follows:
Brush cleaning (Figure 7.20) ! Ultrasonic cleaning ! Spin drying
Diluted chemical solutions are also used in combination with the above
cleaning processes, depending on the types of slurries and works used in
CMP. For brush cleaning, roll-type or disk-type polyvinyl alcohol (PVA)
materials are often used, which is adequately soft for the purpose. When
zeta potentials of the particles in CMP slurries and PVA are taken into
account, the brush cleaning fluid can be deionized water, alkaline solution
(i.e., ammoniac water), or acid solution (i.e., dilute hydrofluoric acid). In
other words, in accordance with the relationship between pH value and zeta
potentials shown in Figure 7.21, a brush cleaning fluid of the same sign
between PVA and slurry particles should be selected for use [1].
For the ultrasonic cleaning, a megasonic cleaning is introduced that
irradiates ultrahigh sonic wave of megahertz class frequency to the processed
wafers in solution. By using megahertz class frequency, cavitation threshold
values ascend when removal effect of the fine particles increases and gener-
ation of damages decreases. As a summary here, the cleaning fluid should be
chosen on the basis of zeta potentials as mentioned above.
Rotation of wafer
Rotary roller
PVA brush for the surface of a waferChemical supply
PVA brush for the reverse of a wafer
Supply of deionized water
FIGURE 7.20 An example of brush scrubbing method for cleaning after CMP of wafer.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 369 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 369
7.3.2 SLURRIES FOR CMPMasaharu Kinoshita
7.3.2.1 Basis of CMP Slurries
CMP slurries are composed of three major elements such as abrasive particle,
distilled water, and additive agents. The abrasive particle’s function is to
remove material along with assisting in the reduction of friction between a
polishing pad and wafer. This function results in the improvement of lubri-
cation property of slurry. Distilled water is a transportation media of abrasive
particles as well as the lubricant and cooling agent between polishing pad and
wafer. The lubrication is closely connected with the tribological mechanism
during CMP process [13]. Figure 7.22 shows how the abrasive concentration
40
30
20
10
0
10
20
30
11 (pH)10987654321
Zet
a po
tent
ial (
mV
)Al2O3
SiO2
PVA
FIGURE 7.21 Dependency on pH value of zeta potential in various slurry and PVA.
(From de Larios, J.M., Chemical-Mechanical Planarization of Semiconductor Mater-ials, M.R. Oliver (Ed.), Springer, New York, 262, 2003.)
00
0.1
0.2
0.3
0.4
0.5
3 6 9
SiO2 content by weight (%)
Coe
ffici
ent o
f fric
tion
(CO
F)
12 15 18 21 24 27 30
FIGURE 7.22 Effect of abrasive concentration on COF.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 370 23.10.2006 6:43pm
370 Handbook of Lapping and Polishing
affects the coefficient of friction (COF). Results clearly indicate that abrasive
particles contribute dramatically to the lubricity of the system such that at low
abrasive contents, the wafer and pad are more intimately in contact with one
another, thus leading to higher values of COF. At high abrasive concentrations,
the particles allow significant rolling between the wafer and pad surfaces, thus
reducing the COF. Additive agents can control the slurry properties such as
physical, chemical, and electrical [14,15]. The formulation of additive agents
still remains know-how (key intellectual property) for the slurry suppliers.
Additive agents include acids, bases, corrosion inhibitors, and surfactants.
Acids and bases react differently to generate various surface active and inactive
layers by chemical reaction and also to resolve surface materials. The inhibitor
controls or suppresses the reaction rate of the CMP process. Particle dispersion
in the slurry also controls the particle adhesion on the wafer surface and can be
facilitated by a surfactant, which adjusts the zeta potential of the particle.
High-performing slurries are designed by combining these three elements
along with the performance requirements of the specific CMP polishing step.
Slurry development should also satisfy requirements of polished wafer quality
and CMP process productivity. Major concerns for quality performances include
reducing defectivity on the polished surface, minimizing dishing, thinning and
erosion on patterned wafer, and stabilizing the removal rate. The increase of
removal rate is a factor to be considered for productivity improvement of the
various CMP processing steps. Additionally, environment friendly slurries are
also desired for disposal and ease of post-CMP cleaning issues.
The issues for slurry designing are summarized as follows:
. Slurry components and their performance
. Abrasive materials, their manufacturing processes, size, profile, and
properties. Dispersion, coagulation, and sedimentation characteristics of abrasive
particles. Additive agents and their functions. Selectivity for different materials when polishing. Characterization of slurry. Filtering of abrasive particles. Slurry waste treatment and recycling
7.3.2.2 ILD CMP Slurry
CMP for interlayer dielectrics (ILD) requires very low defectivity perform-
ance. Moreover, the recent move to low-k dielectrics, which will replace
conventional TEOS ILD film, is providing new challenges to the industry
and suppliers and necessitating new product development to meet its unique
properties. These low-k dielectrics are materials with inferior mechanical
abrasive resistance to TEOS.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 371 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 371
Fumed silica and colloidal silica are the two major abrasives used for ILD
slurry. Figures 7.23 and Figure 7.24 show TEM images of fumed and col-
loidal silica, respectively. Fumed silica particles are synthesized from SiCl4 in
a hydrogen–oxygen flame, by which metal contamination-free particles can
be obtained. As synthesized fumed silica particles have a very broad size
distribution as shown in Figure 7.25, filtering larger particles is required to
make ILD slurry. Dispersing fumed silica particles in water and then chem-
ically stabilizing the material produce ILD slurry. There exist several different
kinds of methods for filtering larger particles. High-pressure homogenizing is a
method in which particles collide together and then break into smaller particles
by using a jet mill as illustrated in Figure 7.26. Particle collision can be done
either in a dry solid state or in a wet solution state with water. Another method
is centrifugal separation. Centrifugal separation is a method for separating
particles with mass difference by centrifugal force as shown in Figure 7.27.
High dispersion can also be obtained by kneading highly concentrated particles
under high shear force with equipment shown in Figure 7.28. Additional
filtering effects may be necessary by using fiber filtering and decantation.
Larger particle components in the slurry may cause scratches on the
polished wafer. Scratches with brittle fractures, which are sometimes called
chatter marks, are killer defects. Empirically, a correlation exists between
scratch counts and a number of particles larger than 0.1 mm in slurry. The
FIGURE 7.23 TEM image of fumed silica in ILD1300 slurry. (Rohm and Haas
Electronic Materials CMP Inc., formerly Rodel.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 372 23.10.2006 6:43pm
372 Handbook of Lapping and Polishing
chatter mark scratch counts are significantly reduced, shown in Figure 7.29,
when the large particles are decreased to less than 1=100 in the original slurry.
Either filtering or decantation, as shown in Figure 7.30, can also significantly
reduce other small defects.
The typical ILD CMP performance is shown in Figure 7.31. The removal
rate is more or less 2000 A=min with nonuniformity performance of less than
5%. Figure 7.32 shows the planarization efficiency performance of the ILD
CMP process.
7.3.2.3 STI CMP Slurry
Shallow trench isolation (STI) is a process to isolate one active transistor
device region from another. A layer of silicon nitride protects the active
regions on the silicon. The oxide that is deposited to fill the trench also
deposits on top of the active regions, and hence requires to be planarized.
Figure 7.33 illustrates an STI process and Figure 7.34 shows a scanning
electron microscope (SEM) image of the active region island structure before
FIGURE 7.24 TEM image of colloidal silica particles (50–70 nm). (Klebosoly-
Clariant).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 373 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 373
oxide filling. Presently, two approaches are used for this planarization as
depicted in Figure 7.35: one involves a combination of reverse etch-back
process followed by CMP and the other, a one-step or direct CMP process.
The latter approach is much preferred as it eliminates one lithography step
and causes considerable reduction in process cost of ownership (COO). This
approach is incorporated into device designs at the 0.18 mm node or less as
the benefits of the change become necessary and justifiable [16–18].
The main technological breakthrough to direct CMP process for STI
planarization comes from a consumable set that delivers a high rate on the
oxide, a high selectivity to nitride, and good planarization efficiency.
Conventional oxide polishing slurries based on fumed silica have very little
selectivity toward silicon nitride. Conversely, ceria powder has a high polish
rate for silicon oxide, traditionally used in glass polishing, but has relatively
Particle size (µm)
Fre
quen
cy (
%)
0.010
5
10
15
20
25
30
35
40
0.1
MPD = 145 nm
MPD = 132 nm
MPD = 164 nm
1
FIGURE 7.25 Fumed silica particle distribution.
Typical specifications
Pressure
Max flow rate
0−100 MPa
500−100 L/h
1. Jet flow2. Slurry collision and
dispersion3. Dispersion acceleration
by vortex flow
FIGURE 7.26 High-pressure homogenizer. Genus Py(py50-10abt-r). (Courtesy of
Hakusui Tech Co., Ltd.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 374 23.10.2006 6:43pm
374 Handbook of Lapping and Polishing
low polish rate for silicon nitride. Therefore, cerium oxide slurries have
become the best fit for STI CMP polishing as they can achieve the planarity
as well as low oxide dishing and nitride erosion.
Figure 7.36 shows a typical distribution of ceria particles, indicating a
narrow particle size distribution without big particles [19]. The relative
planarity performance capability between a variety of STI CMP slurries is
shown in Figure 7.37. These are standard silica slurries, run with a stacked
IC1010 pad or a harder solo IC1020 pad, two silica HSSs, and a ceria HSS.
HSS stands for high selectivity slurry. The IC1010 and IC1020 are porous
urethane pads made by Rodel Inc. The WID stands for within die planariza-
tion efficiency. The result shows that the ceria high selectivity slurry differ-
entiates the performance with relatively lower range for both trench depth
Typical specificationsCentrifugal force 3000 GCylinder internal volume 1.2 LSlurry flow rate 0.1–1.0 L /min
Screw conveyer
Slurry
Filtered fluidSludge
FIGURE 7.27 Centrifugal separation, decanter centrifuges (DS-10v). (Courtesy of
Mitsubishi Kakoki Co., Ltd.)
Combination ofplanetary mixingand homogenizeddispersion
Disper Planetary mixer
FIGURE 7.28 High shear stress dispersion, hivis disper mix(hm-3d-50). (Courtesy of
Tokushu Kika Kogyo Co., Ltd.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 375 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 375
variation and nitride thickness variation [20]. A typical performance of ceria
slurry is summarized in Table 7.8. The oxide to nitride polish rate selectivity
is 200:1. The dishing is also lower compared to silica slurry as shown in
Figure 7.38 [17].
100
Chatter mark counts
0
237
300
250
200
150
100
50
00
13
Slurry-A Slurry-B Slurry-B Slurry-B Slurry-B Slurry-B
10 3
Slurry A: fumed silica, mill grinded
Slurry B: 80
60
40
>1
µm p
artic
les,
nor
mal
ized
Cha
tter
mar
k (c
ount
s/w
afer
)
20
−20
0
fumed silica, decante or filtered
FIGURE 7.29 Chatter mark and large particles in slurries.
100,0001,20065,557
Postdefects (counts)Postdefects (counts)>1 µm LPC (counts)
1,036
893
5,452
1,000
800
600
400
200
0
10,000
>1
µm L
PC
(pa
rtic
les/
0.5
ml)
Pos
tdef
ects
(co
unts
)
1,753
516786155
ILD1300 Afterdecantation
After filteringby dispersion
1,000
100
FIGURE 7.30 Defect reduction by decreasing larger particles in ILD slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 376 23.10.2006 6:43pm
376 Handbook of Lapping and Polishing
50%
45%
40%
Average removal rate 3390 Å/minAverage nonuniformity 5.89%
35%
30%
25%
20%
15%
5%
0%
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
Number of measured wafersRemoval rate (Å/min)
Rem
oval
rat
e (Å
/min
)
Nonuniformity (%)
17 18 1920 21 22 2324 2526 2728 2930 31 32 33 34 35 36 37
10%
4,000
3,500
3,000
2,500
2,000
1,500
1,000
500
0
FIGURE 7.31 Removal rate and nonuniformity for fumed silica ILD slurry baseline,
ILD1300 slurry with IC1400=K groove pad.
10
Patterndensity (%)
20
30405060
708090100
0 2,000
1.2
0.8
0.6
0.4
0.2
0
1
4,000 6,000
Amount removed (A )
8,000 10,000 12,000
Pla
nariz
atio
n ef
ficie
ncy
FIGURE 7.32 Planarization efficiency for fumed silica ILD slurry. (From Lawing, S.,
Polishing rate, pad surface, morphology and pad conditioning in oxide chemical
mechanical polishing, I1-Fifth International Symposium on Chemical Mechanical
Polishing (CMP), ECS 201st Meeting, Philadelphia, 2002.)
Deposition of trenchfilling oxide
SiO2
SiO2
SiO2
SiO2
SiO2
SiO2
Si3N4
Si3N4
Si
Si
Si
Oxide CMP stop atsilicon nitride–directCMP
Removal of nitride layerby wet etch
FIGURE 7.33 CMP for shallow trench isolation.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 377 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 377
The high selectivity slurry consists of two parts: a ceria-based abrasive
and a planarity selective additive. To achieve both the high selectivity and
planarity, the effect of the additives is very important. Topography reduction
is first done by removing the up feature, whereas the down feature remains
unpolished. Ceria particles are coated by the additive chemical and become
inert to the chemical reaction on the down feature surface. On the up feature
surface, mechanical force acts on the ceria particles and abrades the
oxide surface. Then the polishing mechanism with ceria slurry is understood
as shown in Figure 7.39. Under lower down force, the polish rate remains low,
but when down force increases, the polish rate goes up. The result is given in
Figure 7.40, which indicates non-Prestonian-type polishing mechanism, that
is, the polish rate is not proportional to polish pressure and relative velocity.
Differentiating the zeta potential between the nitride and oxide surfaces can
FIGURE 7.34 SEM image of active region islands for shallow trench isolation.
Direct CMP,stop at Si3N4surface
Etch-back
Si
Si3N4 Si3N4 SiO2
Si
SiO2
CMP
(a) Etch-back + CMP (b) Proposedprocess
FIGURE 7.35 (a) Alternative STI processes using CMP and (b) direct STI.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 378 23.10.2006 6:43pm
378 Handbook of Lapping and Polishing
100
80
60
Cum
ulat
ive
(%)
Dis
trib
utio
n (
%)
40
20
Diameter (µm)
0
25
20
15
10
5
0
0.03
0.04
0.06
0.08
0.10
0.13
0.17
0.23
0.30
0.39
0.88
0.67
0.51
FIGURE 7.36 Caria particle distribution. (From Leduc, P., Aiming for perfect planar-
ization, CMP-MIC, 2002.)
Silica slurry, IC1010
1600
1200
800
400
Ran
ge (Å
)
0
HSS A (silica)
HSS B (silica)Silica slurry, IC1020
Nitride WIDTrench WID
Ceria HSS
FIGURE 7.37 Relative performance of various slurries in direct STI.
TABLE 7.8Typical Performance of Ceria Slurry
Item Ceria Slurry Silica Slurry
Planarization remained gap (A) ~500 2000
Selectivity (SiO2=SiN) ~200 3
SiN polished thickness (after CMP) (A) 23 250
Dishing at 500 mm (A) 200 800
Defect (count=cm2) 0.02–0.05 0.1–0.3
STI process Direct polish Reverse mask
Particle content as used (%) 1–2 12
Source: From Hanazono, M., et al., Why CeO2 is promising for STI? CAMP, Clarkson
University, 2001.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 379 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 379
generate selectivity. As illustrated in Figure 7.41, the additive can be easily
adsorbed on the nitride surface, because of the nearly zero zeta potential, and
protect the surface from removal. However, the oxide surface stays at a higher
zeta potential, which reduces the additive adsorption and thus results in higher
oxide removal. The effect of the additive to oxide and nitride selectivity is
given in Figure 7.42. As shown in Figure 7.42, increasing the additive drives
down the removal rate, but this reduction does stabilize at a point.
The abrasive and additive were mixed and delivered to the CMP tool.
Accurate mixing and dispensing, short residence time, and accurate flow
control are required for ceria slurry delivery because ceria is a high-density
material that easily falls out of suspension. Defectivity is also severely
controlled in STI. Even though initial microscratch levels remain fairly low,
CeO2 slurrySilica slurry
1 10
1000
800
600
400
200
Dis
hing
(Å
)
0100
Trench feature size (µm)(active density 50%)
1000
FIGURE 7.38 Dishing of the trench for STI CMP.
Pressure
Additive
SiO2 film
CeO2 particle
Projection area: additive is removed by a high pressure (high removal rate)
Hollow area: additive covers CeO2 particles (low removal rate)
FIGURE 7.39 Polishing model for high selective ceria slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 380 23.10.2006 6:43pm
380 Handbook of Lapping and Polishing
they tend to increase rapidly as oxide is cleared from the nitride as shown in
Figure 7.43 [20]. Considering the complex interaction between abrasive
particles, additive chemistries and the wafer surface as the nitride is exposed,
the late stages of removal and clearing play a critical role in final defect
performance.
7.3.2.4 W-CMP Slurry
Tungsten (W) material is used for interconnection plugs and vias. As tungsten
is a very hard material, the surface is at first oxidized and then the oxide layer
is removed in CMP, which is illustrated in Figure 7.44. W-CMP slurry
consists of abrasive slurry and an oxidizer. Conventional abrasives are silica
and alumina. Oxidizers are selected from acid groups such as potassium
iodate, ferric nitrate, and hydrogen peroxide. A performance comparison by
using different W-CMP slurries is summarized in Table 7.9 [21]. The first-
Ceria powder
Ceria withadditive
0 5 10
Pressure (psi)
15
8000
7000
6000
5000R
emov
al r
ate
(A
/min
)
4000
3000
2000
1000
0
FIGURE 7.40 Non-Prestonian behavior of ceria slurry.
Slurry pH 8, electric charge of the additive <0
Additive CeO2 abrasive
SiO2 film Si3N4 film
(ζ potential: ~50 mv) (ζ potential: ~0 mv)
FIGURE 7.41 Selective adsorption of the additive in ceria slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 381 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 381
generation slurry has good oxide selectivity and low oxide erosion perform-
ance, but has a disadvantage for metal contamination, pad staining, and is
prone to settling after mix. However, in Table 7.9, this slurry shows
large erosion results, which might be caused by a softer polishing pad.
The second-generation slurry has a high pH value and less static etching
characteristic, but poor selectivity and pad staining result. The third-generation
slurry has a high throughput, good selectivity, and ease of handling, but has a
high static etching.
W polish rate increases with the lower pH value. At this point the strong
oxidizer gives higher W polish selectivity to oxide. The high selectivity will
help ensure stopping on the oxide layer, however the erosion occurs. Oxide
erosion occurs when there is selective removal of the oxide in areas where
1% CeO2
Amount of STI additive(relative value)
200
150
Sel
ectiv
ity (
SiO
2 /S
iN)
100Sample: P-TEOS LP-CVD SiN50
00 100
FIGURE 7.42 Effect of additive to selectivity oxide vs. nitride.
0 50
200
150
100
Mic
rosc
ratc
h (c
ount
s / w
afer
)
50
0100 150
23
89
162
Total polish time (sec)
200 250 300 350
59
FIGURE 7.43 Progressive increase of microscratch during unoptimized STI CMP
process with ceria slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 382 23.10.2006 6:43pm
382 Handbook of Lapping and Polishing
there are patterns as depicted in Figure 7.45. This selective erosion of the
oxide negatively impacts the integration of the next metal level as it intro-
duces nonplanarity at the via or contact level. Nonplanarity at the contact
level is also of great concern because it limits the resolution of subsequent
lithography steps necessary in forming the next metal level. Oxide erosion
also depends on the solid concentration of abrasive particles and oxidizer
concentration in the slurry. Figure 7.46 and Figure 7.47 provide a description
of these effects. Reducing the solid content of the slurry significantly reduces
Oxidized and polished
TiNw
Ti
SiO2
Polished surfaceCMP
Plug
SiO2 SiO2
FIGURE 7.44 Tungsten CMP.
TABLE 7.9W-CMP Performance Comparison by Different Slurries
First Generation
Slurry
Second Generation
Slurry
Third Generation
Slurry
Abrasive particle Alumina Alumina Silica
Particle size (nm) 100 230 200
Oxidizer Fe(NO3)3 KIO3 H2O2
pH 1.6 4.3 2.3
Polishing pad Suba 500(P)=Suba IC1000(P)=Suba IC1000(P)=Suba
Polish rate (nm=min) 400 310 350
Selectivity (W:TEOS) 35:1 22:1 100:1
Dishing (nm)
(plug 0.4 space 5.0 mm)
45 15 15
Oxide erosion (nm)
(plug 0.4 space 0.4 mm)
300 80 120
Surface roughness RMS 1.079 1.151 0.192
(nm) MAX 7.593 12.391 1.65
Source: From Namiki, K., Ebara Eng. Rev., 183, 63, 1994.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 383 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 383
the oxide erosion, and reducing the peroxide concentration of the slurry
slightly reduces the amount of oxide erosion, more significantly for the line
patterns than the plug patterns [22]. Oxide erosion is a result
of the combination of the slurry and pad selection. Figure 7.48 shows a
comparison between oxide erosion results obtained with a peroxide-based
slurry compared with a ferric-based slurry. A hard pad (Rodel IC1000) was
used for the peroxide-based slurry and a soft pad (Rodel politex) for the
ferric-based slurry. The hard pad with peroxide-based slurry result showed
significantly less oxide erosion than the soft pad with ferric-based slurry
result. The difference in pad hardness, rather than slurry type, may also be
the reason for the difference in oxide erosion [22,23].
OxideOxide
Oxide erosion
Oxide erosion
Tungsten
Dishing
FIGURE 7.45 Tungsten plug dishing and oxide erosion.
Dense line pattern
Sparse line pattern
Dense plug pattern
Sparse plug pattern
Nor
mal
ized
oxi
de e
rosi
on (
arb.
uni
ts)
0.2 0.3 0.4 0.5 0.6Solids concentration (normalized W%)
0.7 0.8 0.9 1.0 1.1 1.2
1.0
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0.0
FIGURE 7.46 Effect of solid content to oxide erosion. (From Lum, P., Oxide erosion
characterization of a tungsten CMP process, CMP-MIC, 207, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 384 23.10.2006 6:43pm
384 Handbook of Lapping and Polishing
For further reduction of W defectivity and improved dishing and erosion
results, a new type of W slurry has been developed. This is called the fourth-
generation slurry, which is based on periodic acid as an oxidizer with fine
alumina or silica particles. The particle distributions in slurries are quite
Dense line pattern
1.0
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0.01.0 1.5 2.0 2.5
Hydrogen peroxide concentration (wt%)
Nor
mal
ized
oxi
de e
rosi
on (
arb.
uni
ts)
3.0 3.5 4.0 4.5 5.0
Sparse line pattern
Dense plug pattern
Sparse plug pattern
FIGURE 7.47 Effect of oxidizer concentration to oxide erosion. (From Lum, P.,
Oxide erosion characterization of a tungsten CMP process, CMP-MIC, 207, 1999.)
Peroxide-based slurry, IC1000 pad
1.20
1.00
0.80
0.60
0.40
0.20
0.00
Ferric-based slurry, Politex pad
Nor
mal
ized
oxi
de e
rosi
on (
A)
Under polished Target Over polished
FIGURE 7.48 Oxide erosion with a combination of slurry and pad. (From Lum, P.,
Oxide erosion characterization of a tungsten CMP process, CMP-MIC, 207, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 385 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 385
different from generation to generation. The fourth-generation slurry has a
smaller particle distribution than the first- and second-generation slurries as
shown in Figure 7.49 [24]. Periodic acid (H5IO6) is a stronger acid and
oxidant than KIO3. Tungsten dissolves freely in periodic acid solutions. The
dissolution rates follow the order: W WO2>WO3. During dissolution,
periodate is reduced to iodate and iodide. Dissolution rates in periodic acid
are higher than that in potassium iodate solutions. Figure 7.50 shows Tafel
plots for W dissolution in a slurry under different pH values [25]. The CMP
baseline for periodic-acid-based slurry is shown in Figure 7.51. As can be
seen, good removal rate stability and nonuniformity are obtained.
Because of the large particle size, the first-generation slurries are followed
by an oxide buff to remove several hundred angstroms of damaged oxide.
This buff also helps to improve overall planarity. The smaller abrasive particle
distribution may help to reduce the defect counts at W-CMP. Figure 7.52 shows
an example of excellent defectivity results with a single-step process using the
periodic-acid-based slurry.
7.3.2.5 Cu CMP Slurry
7.3.2.5.1 Two-Step Process and SelectivityCopper (Cu) is now a promising material for multilayer interconnection. The
process using copper is aggravated by the fact that copper does not adhere to
oxides, unlike aluminum. The approach to this problem is to deposit a barrier
Fourth generation (alumina/silica)
Third generation (silica)
0.1 1
30
25
20
15
10
5
0
Long particle size (µm)10
First generation (alumina)
Fre
quen
cy (
%)
FIGURE 7.49 Abrasive particle size distribution for each generation of W slurry.
(From Peterson, M., et al., Fourth Generation W Damascene Slurry, CMP Technology
for ULSI Interconnect, SEMICON West 2000, Q-1.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 386 23.10.2006 6:43pm
386 Handbook of Lapping and Polishing
Tafel polarization(abrasion of W at different pHs)
Slurry: EKCPeriodic acid:alumina:H2O2= 1:1:1.7
Pad:IC1000
700600500
pH 5
pH 3
pH 7
pH 2
pH 1.4400300
E (
mV
vs.
SH
E)
200100
0−100−200
1.E−07 1.E−06 1.E−05
Current density (A /cm2)
1.E−04 1.E−03 1.E−02
100
Rem
oval
rat
e (A
/mm
)
80604020
00 1 2 3 4
pH5 6 7 8
FIGURE 7.50 Dissolution of W film in a slurry at 6 psi. (From Gaghavan, S., et al.,
Periodate as an oxidant for tungsten CMP, NSF I=UCRC Center for Microcontamina-
tion Control at Arizona and Rensselaer, CMPUG, October 2001.)
5000
3500/3510 baseline-TW813 pad
Tungsten removal rate
Nonuniformity
4500
4000
3500
3000
2500
Rem
oval
rat
e (A
/min
)
2000
1500
1000
500
0
4 10 20 25 35 45 55 65 75
Wafer number
85 149
174
199
224
240
50
45
40
35
30
25
Non
unifo
rmity
(%
)
20
15
10
5
0
FIGURE 7.51 Periodic acid-based W CMP slurry baseline. (From Peterson, M., et al.,
Fourth Generation W Damascene Slurry, CMP Technology for ULSI Interconnect,
SEMICON West 2000, Q-1.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 387 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 387
layer, which adheres to both copper and oxide, between the two materials.
Tantalum (Ta) and tantalum nitride (TaN) have been found to provide good
adhesion to both copper and oxide. However, the removal rate for copper,
oxide, Ta, and TaN are not the same during CMP and the trait is referred to as
selectivity. Ta is a very hard material, and is much slower to remove than
copper and oxide. Within Cu-CMP there are challenges for removing more
than three different materials in the processes, eliminating metal residues,
accomplishing low dishing, low erosion, and low metal and oxide losses. For
accomplishing these requirements, Cu-CMP is done in two steps by using two
types of slurries. In the first step the bulk copper is removed and in the second
step barrier material or residual copper, barrier metal, and portion of the
dielectric film are removed. In the case of a selective slurry process, all the
copper is removed with first step polishing slurry, and barrier is removed with
the second step polishing slurry. In the nonselective slurry process, bulk
copper is removed with first step polishing slurry and residual copper, barrier,
and some portion of the dielectric layer are removed with second step
polishing slurry. Figure 7.53 shows a cross-sectional view of the Cu damas-
cene interconnection planarized by CMP.
7.3.2.5.2 Pourbaix Diagrams for Cu–H2O SystemCu-CMP slurry formation is based on Pourbaix diagrams. Figure 7.54 shows a
diagram for the Cu–H2O system [26]. Cu is stable in reduction potential
domain, which is in minus potential region, where the Cu surface is polished
mechanically. In an acidic solution, where the oxidation–reduction potential
(ORP) is more than 0.16 V, copper dissolves as Cu2þ ions. This is an etching
process. On the other hand, in a basic solution with pH value of more than 7.5,
200
Sum of defects (pre)
Defects added
Sum of defects (post)150
100
Def
ects
0.2
µm
50
00 5 10
Wafer number
15 20
FIGURE 7.52 Defectivity after W CMP using periodic-acid-based slurry. (From
Gaghavan, S., et al., Periodate as an oxidant for tungsten CMP, NSF I=UCRC Center
for Microcontamination Control at Arizona and Rensselaer, CMPUG, October 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 388 23.10.2006 6:43pm
388 Handbook of Lapping and Polishing
the copper surface is coated by Cu2O film, which protects Cu dissolution, and
again becomes stable. When the ORP goes higher, the CuO phase becomes
another stable state. In these cases, removing the oxide film layer mechanically
polishes the copper surface. In Cu–NH3–H2O solution, dissolved NH3 forms a
complex with the copper ion and copper solubility is increased between pH 7
and 9. Thus, the copper polishing is also possible in a basic solution, but the
polishing mechanism is based on an etching process. The disadvantage of
using high static etch rate slurry is the high Cu removal rate in the recessed
area. To prevent such a copper dissolution, reagents can be added to the slurry
to form a passivating surface film that protects the copper from dissolution.
5 µm
ILDSecond layer Cu
First layer Cu
FIGURE 7.53 Cross-sectional view of Cu damascene interconnection.
−2 0
2.0
1.6
1.2
0.8
0.4
Pot
entia
l (V
)
0
2
CU++
NH1NO3
NH4Cl
4 6 8 10
CuO
CuO2
Cu
HCuO2
Cu2ONH4OH
12 14 16
2.0
1.6
1.2
0.8
0.4
−0.4
−0.8
−1.2
−0.4
−0.8
−1.2
−1.6 −1.6
−2 0 2 4 6 8pH
10 12 14 16
0
FIGURE 7.54 Pourbaix diagram for Cu–H2O system.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 389 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 389
Benzotriazole (BTA) is known to inhibit corrosion of copper in aqueous
solution by forming Cu–BTA monolayer.
7.3.2.5.3 Organic Acid–Based SlurryConventionally ferricyanide and nitric acid have been used as oxidizers in Cu
slurries. To meet the constant demand for lower dishing and corrosion results,
organic acids have replaced conventional chemicals as an effective additive in
slurries. The basis of Cu-CMP using organic acid is that the Cu hydrate reacts
with organic acid and forms a Cu chelate complex. Glycine is an amino acetic
acid, which has the simplest structure among the amino acid group and is also
one of the additives used for Cu-CMP slurry [27]. It reacts with Cu hydrate
and forms Cu–glycine complex, which is soluble in aqueous solution. To
accelerate the Cu hydrate formation, hydrogen peroxide (H2O2) is added in
slurry. Hydrogen peroxide oxidizes copper surface as well as contributes to
form a protective layer from etching. Although dipping into the glycine
solution does not dissolve copper, the dissolution rate increases by adding a
small amount of hydrogen peroxide. However, the excess amount of hydrogen
peroxide addition tends to inhibit dissolution as shown in Figure 7.55 and
finally stops dissolution, which indicates that the copper surface is passivated.
Polish rate for glycine-based slurry shows a similar tendency to etching rate,
but the mechanical abrasion of passivated film layer continues even after the
etching stops. Then the up feature continues to be polished, leaving the recess
area unetched. Thus planarization can be realized.
Quinaldic acid is another organic acid additive used for Cu-CMP slurry.
Being different from glycine, quinaldic acid makes a Cu–quinaldic acid
chelate complex formed with hydrated copper, which is intrinsically insoluble
in aqueous media. Figure 7.56 shows polarization curves for Cu–H2O system.
When the Cu film is immersed in the hydrogen peroxide solution, copper
100
Polishing conditions
Etching condition
Slurry flow rate: 12.5 ml/min
Immersion time: 10 min
Down force: 300 g/cm2
Platen: 60 rpmPad: Suba 800
Glycine 0.1 wt%
H2O2 content (%)
100
80
60
Pol
ish
rate
(nm
/min
)
Etc
h ra
te (
nm/m
in)
40
20
0
80
60
40
20
0151050
FIGURE 7.55 Polish and etch rate dependency on hydrogen peroxide concentration in
glycine-based slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 390 23.10.2006 6:43pm
390 Handbook of Lapping and Polishing
oxide is formed on the surface. The addition of quinaldic acid increases the
polarization voltage and corrosion current. In Pourbaix diagram for Cu–H2O,
the polarization voltage corresponds to a region where copper oxide is absent.
The chemical analysis proved that organic compounds with Cu are formed on
the surface. The polishing mechanism for Cu-CMP using quinaldic acid–
based slurry is illustrated in Figure 7.57. The Cu–quinaldic acid complex is
formed on Cu surface by reaction with Cu hydrate and quinaldic acid. The
mechanical abrasions by a polishing pad, and particles in the slurry, foster to
remove the complex and reveal a new Cu surface, whereas the complex
formed on the recessed surface remains nonabraded as well as unetched
100
Cur
rent
(m
A)
I corr (mA/cm2) Ecorr (V)0.5770.464
−0.8 −0.4 0
(1) Quinaldic acid+ H2O2 + H2O
(2) H2O2 + H2O
(1) 4.43310−2
(2) 3.04310−3
0.4Voltage (V)
0.8 1.2
10−1
10−2
10−3
10−4
10−5
10−6
FIGURE 7.56 Polarization curves for Cu–H2O system.
Cu–quinaldic acid complexQuinaldic acid
H2O
H2O H2O
H2O
Cu2+Cu2+
O
OO
OC
C
N
N
Cu hydrate
Complex formation
Repeated
Removal Interconnection
Prevention of dishing
Insoluble
Pad
Cu
ILD
FIGURE 7.57 Polishing mechanism of Cu-CMP by using organic acid–based slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 391 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 391
because of insolubility. This process is repeated until the surface topo-
graphy is planarized. Cu polish rate increases accordingly with quinaldic
acid content, and saturates at more than 1 wt% as shown in Figure 7.58.
The hydrogen peroxide content also affects the polishing rate as shown in
Figure 7.59 [28].
7.3.2.5.4 Role of Abrasive ParticlesThere are many options for abrasive particles in Cu-CMP slurries. Alumina
abrasive particles increase removal rate up to twice as much as colloidal
silica; however, defectivity tends to be worse. There are different phases of
alumina particles depending on baking conditions. Figure 7.60 shows differ-
ent phases of alumina particles dependent on their hydration ratio. The
a-alumina is the hardest alumina with no water content and gives the highest
polish rate, but results in high scratching. Figure 7.61 shows that the lower the
0 0.5
H2O2: 5 wt%
120
Polishing conditionsSlurry flow rate: 12.5 ml /minDown force: 300 g /cm2
Platen rotation: 60 rpmPad: Suba 800P
olis
h ra
te (
mm
/min
)
Quinaldic acid content (wt%)
100
80
60
40
20
01 1.5
FIGURE 7.58 Effect of Quinaldic acid content on Cu polish rate.
00
100
80
60
40
20
2 4
Quinaldic acid: 0.7 wt%
Amount of H2O2 content (wt%)
Pol
ish
rate
(m
m/m
in)
6 8 10
Polishing conditions
Slurry flow rate: 12.5 ml/minDown force: 300 g/cm2
Platen rotation: 60 rpmPad: Suba 800
FIGURE 7.59 Effect of H2O2 content on Cu polish rate.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 392 23.10.2006 6:43pm
392 Handbook of Lapping and Polishing
hydration ratio, the higher the polish rate. The u-alumina slurry demonstrates
a very high polishing rate in the quinaldic acid–based slurry. Besides, some
water content in alumina facilitates to reduce scratching. The colloidal silica
does not contribute much for increasing polish rate in Cu-CMP slurry, but
facilitates a lubrication between wafer and pad, which affords to reduce
defectivity and copper residue. Zeta potential of abrasive particles is also an
important factor to facilitate selectivity as shown in Figure 7.62. With add-
ition of anion surfactant, alumina particle exerts a repulsive force on Cu
Gibbsite (α-Al2O3 3H2O)
Hydration ratio (%)
34.65
15.00
2.00
1.20
0.35
0.00
Boehmite (α-Al2O3 H2O)
γ-alumina
(5008C)
(8008C)
(9008C)
(11008C)
δ-alumina
θ-alumina
α-alumina
Bayerite (β-Al2O3 3H2O)
Diaspore (α-Al2O3 H2O)
Har
der
FIGURE 7.60 Different phases of alumina particles.
TaNCu
TEOS
A0.1
0
100
200
300
400
500
600
700
800
900
1000
Hydration (%)
Cu
polis
hing
rat
e (n
m/m
in)
TaN
, TE
OS
pol
ishi
ng r
ate
(nm
/min
)
1 10 100
0
5
10
15
20
25
30
35
40
45
50Slurry:
Alumina grain, 0.5 wt%Quinaldic acid, 0.4 wt%Lactic acid, 0.36 wt%H2O2, 16.8 wt%Surfactant, 1.9 wt%
Polishing pressure:300 gf/cm2
A: θ-aluminaB: γδθ-alumina mixtureC: γ-aluminaD: α-alumina–H2OE: β-alumina–3H2OB C D E
FIGURE 7.61 Polishing rate dependency on hydration of alumina grain.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 393 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 393
surface. It assists for a recessed part not to be polished, whereas an up feature
part is polished.
7.3.2.5.5 Slurry for Cu and Low-k CMPCopper and low-k materials are currently being implemented in metal inter-
connects as a means to reduce the RC delay for 0.90 nm or less logic device.
In general, the hardness of low-k materials are much lower than that of
ordinary oxide-based film such as TEOS and borophosphosilicate glass
(BPSG). Table 7.10 shows a typical removal rate for Cu, barrier metal,
oxide, and low-k dielectric material [29]. The low-k dielectrics have a wide
range of materials varying from diamondlike carbon-doped oxide (CDO)
CVD film to porous organic spin on film. Figure 7.63 shows the topography
generated after first step polishing for both oxide and Black Diamond (CDO
of AMAT). Planarization performance seems similar for both materials.
Anion surfactant
Aluminaparticle Alumina
particlesRepulsion
Zet
a po
tent
ial (
mV
)
Surfactantaddition
Surfactantaddition
040
20
0
20
40
2 4 6
pH
8 10 12Adhesion of surfactantto abrasive grain
FIGURE 7.62 Zeta potential control of alumina abrasive particle.
TABLE 7.10Example of Removal Rate Difference between Selective and
Nonselective Slurries for Cu-CMP
Film
First Step Slurry Second Step Slurry
Nonselective Selective Nonselective Selective
Copper 8277 2105 624 227
TaN 235 22 510 606
Black Diamondy 780 26
BLOK 350 50
Source: From Wijekoon, K., et al., Chemical mechanical polishing of copper-CVD low k films:
A comparison of selective and nonselective processes, VMIC, November 2001.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 394 23.10.2006 6:43pm
394 Handbook of Lapping and Polishing
However, the selective slurry process results in relatively lower topography
than the nonselective slurry process as indicated in Figure 7.64. Even after the
completion of CMP processes, the selective slurry process gives a better
topography. The solid content in the nonselective slurry also affects topog-
raphy. Figure 7.65 shows that the lower solid content results in higher erosion
Oxide
Nor
mal
ized
dis
hing
and
ero
sion
DishingCDO: Black DiamondErosion
0
0.2
0.4
0.6
0.8
1
Low-k CDO
FIGURE 7.63 Topography after first step CMP for oxide and low-k material. (From
Wijekoon, K., et al., Chemical mechanical polishing of copper-CVD low k films: A
comparison of selective and nonselective processes, VMIC, November 2001.)
Selectiveslurry
Nor
mal
ized
dis
hing
and
ero
sion
DishingLow-k materials: Black DiamondErosion
0
0.2
0.4
0.6
0.8
1
Nonselectiveslurry
FIGURE 7.64 Topography performance depending on slurry selectivity after first
step CMP for Cu=low-k. (From Wijekoon, K., et al., Chemical mechanical polishing
of copper-CVD low k films: A comparison of selective and nonselective processes,
VMIC, November 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 395 23.10.2006 6:43pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 395
and lower dishing. However, for lower solid content slurries, it may be
necessary to polish for a longer time to clear the copper residue [29].
7.3.2.5.6 Abrasive-Free SlurryAbrasive-free Cu-CMP is reported to afford much lower dishing and erosion
results [30,31]. On the other hand, the lack of abrasive particles creates a large
challenge to eliminate metal residues, low dishing, low erosion, low metal,
and oxide losses. When tuning the polishing conditions for abrasive-free
slurry, chemistries alone cannot solve the Cu residue issue, keeping dishing
low. Friction control assists to stabilize polishing and to eliminate Cu residue.
The polishing rate is assumed to be proportional to the friction between the
substrate and the pad. By keeping friction constant, pressure is allowed to drift
down when the barrier layer is exposed, and to drift back when Cu is cleared, as
shown in Figure 7.66. A copper sheet resistance comparison between abrasive-
free Cu-CMP and common Cu polishing slurry is shown in Figure 7.67.
Abrasive-free data are shown in almost vertical lines, which suggests that
abrasive-free Cu-CMP slurry provides better electrical performance [32].
7.3.3 PADS FOR PLANARIZATION CMPMasanobu Hanazono and Masaharu Kinoshita
7.3.3.1 Basic Properties of the CMP Polishing Pad
7.3.3.1.1 Pad Properties and Polishing PerformanceThe polishing pad used for CMP processing is made of polyurethane material.
The polyurethane pad had been commonly used in glass polishing for many
years. Additionally, polymer-impregnated felts and poromeric pad have been
the standard pad for silicon wafer polishing. The IC1000 (Trademark of
10 8 6 4
Nor
mal
ized
dis
hing
and
ero
sion Dishing
Erosion
0
0.2
0.4
0.6
0.8
1
Solid content (%)
FIGURE 7.65 Effect of solid content in nonselective slurry on topography. (From
Wijekoon, K., et al., Chemical mechanical polishing of copper-CVD low k films:
A comparison of selective and nonselective processes, VMIC, November 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 396 23.10.2006 6:44pm
396 Handbook of Lapping and Polishing
Rohm and Haas Electronic Materials CMP Inc., formerly Rodel Inc.) pad,
which is now a de facto standard for CMP polishing pad, was developed for
ILD CMP under the basis of urethane technology for polishing. The cross-
sectional structure of IC1000 pad is shown in Figure 7.68. It is composed of
urethane matrix and randomly distributed micropores. The pores are spherical
in nature and range in diameters from 30 to 50 mm. The fraction of the pore is
Polishing time (ms)
Fric
tion
(arb
. uni
ts)
Pre
ssur
e (a
rb. u
nits
)
00
10
20
30
40
50
60
70
10,000 20,000 30,000 40,000 50,0000
0.1
0.2
0.3
0.4
0.5
0.6
0.7
FIGURE 7.66 Pressure and friction behavior under friction control polishing. (From
Ki, S., et al., A low cost and residue-free abrasive-free copper CMP process with low
dishing, erosion and oxide loss, IITC 2001=IEEE.)
0.0290.01
12
3040
7080
95
99
99.9Abrasive-free slurry
Slurry withabrasive
0.031 0.033Ra (arb. units)
Per
cent
0.035 0.037 0.039
FIGURE 7.67 Copper sheet resistance comparison between abrasive-free and slurry
processes. (From Ki, S., et al., A low cost and residue-free abrasive-free copper CMP
process with low dishing, erosion and oxide loss, IITC 2001=IEEE.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 397 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 397
about 35% of the pad total volume, but can be changed by manufacturing
conditions. The higher the porosity, the lower the pad density. Table 7.11
shows the typical specifications of the IC1000 pad. The pore radial size and
distribution are also controlled to obtain a specific pad hardness and elastic
modulus. Hardness is measured by using the Shore D hardness test. Figure 7.69
shows the effect of density on pad hardness. Typical density of the IC1000
pad is in the range between 0.63 and 0.85 g=cm3 and the corresponding
hardness ranges from 52 to 62.
The pad properties are closely related with polishing performance in CMP
and the relationship is complex. The polishing performance should be viewed
from three levels of scale. They include wafer scale, die scale, and feature
FIGURE 7.68 Cross-sectional view of IC1000y pad.
TABLE 7.11IC1000 Pad Physical Properties
Type
51=A1 52=A2 53=A3 54=A4
Density (g=cm3) 0.63–0.80 0.74–0.85 0.70–0.85 0.63–0.80
Hardness (Shore D) 52–62
Compressibility (%) 0.5–6.0
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 398 23.10.2006 6:44pm
398 Handbook of Lapping and Polishing
scale as listed in Table 7.12. The pad properties are also strongly interde-
pendent. The correlation of pad properties with polishing performance is
summarized in Table 7.13. Removal rate is dependent on the pad density,
texture, and hydrophilicity. It has also been found that porous pads achieve a
higher removal rate against nonporous pad as shown in Figure 7.70. Non-
uniformity depends on pad density, stiffness, texture, roughness, and pad
compressibility. Pad life depends on pad tensile properties, stiffness, texture,
and abrasion resistance. Pad density and hardness also affect defectivity.
Planarization is affected by pad stiffness, compressibility, and roughness.
Metal interconnect dishing and oxide loss are affected by pad density, hardness,
roughness, and compressibility [33,34].
7.3.3.1.2 Elastic and Viscoelastic Properties of Polishing PadThe pad modulus of elasticity and compressibility have been found to influ-
ence planarization performance. Figure 7.71 shows the stress distribution on
Density (g/cm3)
Har
dnes
s (S
hore
D)
0.600
50.0
55.0
60.0
0.650 0.700 0.750 0.800 0.850
FIGURE 7.69 Relationship between hardness and density for IC1000 urethane pad.
(From Jams, D., Control of polishing pad physical properties and their relationship to
polishing performance, Fifth International CAMP Symposium, Clarkson University,
August 2000.)
TABLE 7.12Polishing Performance
Wafer Scale Die Scale Feature Scale
Removal rate Planarization Conductor dishing
Nonuniformity Defectivity Oxide loss
Edge effects Selectivity
Macroscratches Defectivity
Pad life Roughness
Source: From Jams, D., Pad properties during polishing and their effects on polishing performance.
CAMPS Sixth International CMP Symposium, Clarkson University, August 2001.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 399 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 399
TABLE 7.13Relationship between Pad Properties and Polishing Performance
Pad Properties
Polishing ScaleCondition
AbilityWafer Die Feature
Density (porosity) Removal rate Defectivity Conductor dishing Yes
Nonuniformity Oxide loss
Hardness Macroscratch Defectivity Defectivity Yes
Roughness
Conductor dishing
Oxide loss
Tensile properties Pad life Yes
Abrasion resistance Pad life Yes
Stiffness Edge effects Planarization
Nonuniformity
Modulus Yes
Thickness Pad life
Top pad compressibility Planarization Conductor dishing
Oxide loss
Base pad compressibility Edge effects Planarization
Nonuniformity
Pad texture (grooves) Pad life
Removal rate
Edge effects
Nonuniformity
Pad roughness Removal rate Planarization Conductor dishing Yes
Nonuniformity Oxide loss
Hydrophilicity Removal rate Yes
Source: From Jams, D., Control of polishing pad physical properties and their relationship to
polishing performance. CAMP Fifth International CMP Symposium, Clarkson University,
August 2000.
Pad without pores Pad with pores
Removal rate (Å/min)
1000
05
1015202530
1200 1400 1600 1800 2000
Cou
nt
FIGURE 7.70 Effect of porosity to removal rate. (From Jams, D., Control of polishing
pad physical properties and their relationship to polishing performance, CAMP Fifth
International CMP Symposium, Clarkson University, August 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 400 23.10.2006 6:44pm
400 Handbook of Lapping and Polishing
the wafer surface under the vertical down force through the pad [35]. The
contact stress goes up significantly at the wafer edge after once decreasing.
This causes a nonuniform removal rate distribution at the wafer edge.
A typical property of the urethane pad density on elastic modulus is shown
in Figure 7.72. With increasing density, the elastic modulus also increases. As
polishing is a dynamic process often at elevated temperatures, the dynamic
mechanical analysis is very important. A cyclic strain is applied to the pad
sample and the resulting stress measured. In dynamic mechanical analysis, the
viscoelasticity takes an important role. Figure 7.73 shows typical experimen-
tal data for the elastic modulus and tan delta, depending on the different
curing conditions of the pad. The measured modulus shows the linear char-
acteristic for the typical polishing temperature range. However, the pad loses
its elastic property at higher temperature. Polishing performance of the pad
deteriorates significantly at temperature higher than 608C. Urethane pad
properties are not the same when the pad is in dry as in wet. Table 7.14
shows the % change in pad properties in an oxide CMP slurry. ILD1300 is
ammonium-based slurry, whereas ILD1200 and SS25 are potassium-based
slurries. Pad properties will also change according to slurry chemicals used in
the CMP process step [33,34].
7.3.3.1.3 Composite Pad StructureIn CMP, both the global and local planarizations of the wafer should be
achieved at the same time. To planarize the patterned wafer with a stock
removal less than 1 mm, polishing with higher rate of step height reduction is
1000
600
400
200
0
800
Sto
ck r
emov
al (
nm)
Con
tact
str
ess
(MP
a)
0.1
0.06
0.04
0.02
0
0.08
Distance from the center (mm)
Contact stressStock removal
80 85 90 95 100
FIGURE 7.71 Stress distribution at the edge of wafer. (From Nishioka, T., Influence
of stress distribution for CMP [in Japanese], Tribology Conference, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 401 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 401
required. This means that the higher topography pattern should be selectively
removed at first to obtain a smooth and planer surface in a short period of
time, and then the total wafer topography will be reduced to zero. When the
surface topography is not uniform, the pad will be subjected to the wafer
surface warp and irregularity. The composite pad structure was designed to
accomplish the requirement. Figure 7.74 shows the cross-sectional structures
of two types of the composite pads IC1000 stacked on a Suba 400 base pad
and a closed cell foam base. The latter pad is named IC1400. The top pad has
3.80E+08
3.40E+08
3.00E+08
2.60E+08
2.20E+08
1.80E+080.600 0.650 0.700 0.750 0.800 0.850
Density (g/cm3)
Ela
stic
mod
ulus
(P
a)
FIGURE 7.72 Elastic modulus dependency on density.
0.0 25.0 50.0 75.0 100.0 125.00.0
0.05
0.1
0.15
0.2109
108
EIC1000 Style A2IC1000 Style B2
IC1000 Style A2IC1000 Style B2
Tan delta
Tan
_del
ta (
)
E
( )
(Pa)
Temp. (°C)
FIGURE 7.73 Viscoelastic properties of urethane pads.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 402 23.10.2006 6:44pm
402 Handbook of Lapping and Polishing
a higher hardness property compared to the base pad. Good die-scale
planarity can be obtained by using a solo IC1000 pad, but the wafer scale
planarity tends to deteriorate. The softer base pad helps to improve the wafer
scale planarity, keeping die-scale planarity unchanged [36].
Pad stiffness depends on many parameters such as moduli and thickness
of the stacked pad, polishing layer groove design, and base pad compres-
sibility. The planarization efficiency or the planarization quotient, which is
defined as shown in Figure 7.75, can be improved by optimizing the stiffness
IC1000 (porouspolyurethane)
Suba 400(impregnated felt)
(a) IC1000/Suba 400
Closed cell foam
(b) IC1400
FIGURE 7.74 Cross-sectional view of the composite pads.
TABLE 7.14Pad Property Change at Immersion in Slurry
% Change in Property
Property ILD1300 ILD1200 SS25
Hardness (Shore D) 10.2 8.8 9.1
Proportional limit (psi) 46.8 32.9 18.6
Elongation to break (%) 35.0 16.5 7.5
E0 (Pa) at 408C, 10 rad=sec 44.1 15.5 18.7
Notes:
IC1000 Style 5
100 hours immersion at ambient temperature
ILD1300: ammonia-based slurry (Rodel)
ILD1200: potassium-based slurry (Rodel)
SS25: potassium-based slurry (Cabot)
Source: From Jams, D., Pad properties during polishing and their effects on polishing.
Performance, CAMP Sixth International CAMP Symposium, Clarkson University, August
2001.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 403 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 403
design. Pad stiffness is defined as constantmodulus thickness. It has been
found that pattern density effects during oxide CMP are largely determined by
the modulus properties of the composite polishing pad. Figure 7.76 shows the
pad elastic modulus of composite pads. The modulus of the stacked pad is
inferior to the solo pad. Planarity in feature scale can be improved by stacked
pad stiffness as shown in Figure 7.77. Pad stiffness is a more complex
property for a stacked pad [33].
7.3.3.1.4 Pad Surface Asperity and GroovesThe pore is useful for holding slurry during polishing. In addition, grooves
and perforations are made to the pad to enhance the polishing performance.
The reasons for grooves and perforations are as follows: prevent wafer
hydroplaning, ensure uniform slurry distribution, act as channels to remove
polishing debris, control overall and localized pad stiffness, and to prevent
wafer from sticking on the pad when unloading. Figure 7.78 shows the
example of groove and perforation patterns. Groove and perforation design
performance has been found to be dependent on the CMP tool that also affects
the CMP performance. AMAT Mirra tool prefers circular groove patterns,
however, Ebara EPO tool prefers perforation and XY straight-line grooves.
Pad users spend a great deal of time optimizing groove and perforation design
by changing the groove dimension and combining groove with perforation to
improve the removal rate and uniformity.
7.3.3.2 Pad Conditioning and Polishing Performance
7.3.3.2.1 ConditionerStandard polyurethane CMP pads need to be conditioned before start of use.
Traditionally, conditioners are diamond abrasive disks, by which a thin layer
of pad material is abraded. Figure 7.79 shows close-up views of a variety of
For planarity quotient > 1, no planarization occurs.
For planarity quotient < 1, planarization proceeds.
PlanarityQuotient
= Stock removal of the down feature
Stock removal of the up feature
Feature sizeUp feature
Down feature
Planarization efficiency = 1 - planarization quotient
FIGURE 7.75 Definition of planarization efficiency or planarization quotient.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 404 23.10.2006 6:44pm
404 Handbook of Lapping and Polishing
diamond conditioners. Embedded diamond grains are dispersed either ran-
domly or regularly, which reflect a different design concept and manufactur-
ing method of conditioner. In the nickel plating, the diamond is held in place
by electroplated Ni. However, the diamond retention and chemical resistance
tend to be poor. The conventional brazing is a high-temperature process under
which the diamond grains are incorporated in a metal matrix. In sintering, the
diamonds are incorporated in a metal matrix with pressure and temperature.
Retention and chemical resistance is similar to brazing. The favorable dia-
mond conditioner architecture can be described by such parameters as a
diamond spacing, protrusion height, chemical bonding with blazed matrix,
and coating on the diamond grid, which is illustrated in Figure 7.80. The
protrusion height should be uniform to obtain a uniform pad conditioning.
1.00E+06
1.00E+07
1.00E+08
1.00E+09
0 20 40 60 80 100
Temperature (°C)
Sto
rage
mod
ulus
(P
a)
Suba IV base pad
IC1000 top pad
IC1000/Suba IV stack pad
(a) IC1000/SUBA IV pad
1.00E+06
1.00E+07
1.00E+08
1.00E+09
0 20 40 60 80 100
Temperature (°C)
Sto
rage
mod
ulus
(P
a)
IC1000 top pad
IC1400 composite pad
(b) IC1400 pad
FIGURE 7.76 Composite pads DMA modulus vs. temperature.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 405 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 405
A robust brazed matrix and an overcoated film on the diamond grain are the
key features for preventing diamond pullout, reducing risk of defectivity, and
increasing conditioner lifetime. For metal CMP application, enhanced resist-
ance to acid slurry is also required.
7.3.3.2.2 Conditioning ProcessThe pad is generally broken-in by polishing at least 25 blanket wafers.
Conditioning determines the surface asperity of the pad. To maintain the
Suba IV base pad
Exp. foam base pad
No base pad
1.31.21.11.00.90.80.70.60.50.40.30.20.10.0
0 1 2 3Feature size (mm)
Pla
narit
y qu
otie
nt
4 5 6 7 8
FIGURE 7.77 Planarity improvement by using composite pads.
Perforation
0.192
0.107
Perforation size 0.0750.214
Groove
X–Y groove (width, form)
A2
2 mm 3 mm 4 mm
B3 C4
0.06
0.008
0.015
K-grooved
X−Y grooved1 Pitch 15,20,30,40,50,70,902 Depth PAD0.8 → 0.4 mm PAD1.27→0.6 mm
FIGURE 7.78 Grooves and perforation designs for IC1000 pad.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 406 23.10.2006 6:44pm
406 Handbook of Lapping and Polishing
surface asperity, pad conditioning is done after each CMP operation. Pad
conditioning helps maintain removal rate stability and thereby enhances the
longevity of the pad. Without conditioning, polish removal rate tends to drop
steadily. Pad conditioning is done either in situ or ex situ. In-situ conditioning
is the process where the pad is conditioned during the polishing cycle. Ex-situ
conditioning occurs when the pad is conditioned between the wafers’ polish-
ing cycle. As CMP itself has an effect of smoothing the pad surface, a more
consistent removal rate can be maintained with the in-situ conditioning.
The cross section of IC1000 pad surface such as depicted in Figure 7.81
shows pores as well as asperities generated by conditioning. Figure 7.82
shows the pad surface profile after conditioning. There is a less planar area
on the top and there also appears to be deeper conditioner grooves in the pad
surface. Figure 7.83 shows the pad surface profile taken after CMP. The
roughness of the surface between the pore openings is relatively flat, whereas
Conventional brazing
Electroplating
Sintering
Brazing and PVD diamond coating
FIGURE 7.79 Close-up views of different type of conditioners. (Courtesy of Kinik.)
Diamond filmSpacing
Steel substrate
Brazed matrix
Chemicalbond
Protrusion
FIGURE 7.80 Pad conditioner architecture of Kinik diagrid conditioner.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 407 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 407
the pores are larger and deeper. There is a clear relationship between the
decrease of polish removal rate and the reduction of asperity height as shown
in Figure 7.84 and Figure 7.85. The removal rate falls off in the absence of
conditioning and also as the average asperity height decreases [37].
By using analytical techniques, subtle variations in the pad surface
morphology can be quantified. Vertical scanning interferometry images
show the difference between conditioning-dominated and wafer-dominated
pad surfaces as shown in Figure 7.86. A probability distribution represented
as a function of pad height for conditioning and wafer dominated is provided.
The effect of pad–conditioner contact is to restore and maintain a random
distribution of surface asperities through the removal or modification of the
damaged layer induced by pad–wafer contact [38].
7.3.3.2.3 Pad Surface Profile and Planarization UniformityThe user can modify the pad profile and the relative polishing pressure thus
affecting polish uniformity across the wafer. By changing the conditioner
Pad asperityPad pore
FIGURE 7.81 Diagram of cross section of IC1000 pad surface. (From Oliver, M.R.,
et al., CMP pad surface roughness and CMP removal rate, ECS Fall Conference,
Phoenix, Arizona, October 2000.)
144
0
µm
µm
+5.00
10810.00
µm0
FIGURE 7.82 IC1000 pad surface profile after conditioning. (Zygo New View 5030.)
(From Oliver, M.R., et al., CMP pad surface roughness and CMP removal rate, ECS
Fall Conference, Phoenix, Arizona, October 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 408 23.10.2006 6:44pm
408 Handbook of Lapping and Polishing
sweep length, the postconditioning pad profiles vary from constant erosion
profile across the pad to a bell-shaped profile as shown in Figure 7.87. The
amount of pad erosion is proportional to the time the conditioner spends at a
given point. It indicates that the pad erosion is proportional to linear velocity
of the conditioner and is inversely proportional to the area conditioned. The
pad profile also affects the removal rate uniformity of the wafer and the
stability over extended conditioning time.
144
0
µm
µm
+5.00
10810.00
µm0
FIGURE 7.83 IC1000 pad profile after polishing. (Zygo New View 5030.) (From
Oliver, M.R., et al., CMP pad surface roughness and CMP removal rate, ECS Fall
Conference, Phoenix, Arizona, October 2000.)
1600
1200
800
400
0 1 2 3 4 5 6 7 8Sample wafers
Rem
oval
rat
e (A
/m
in)
Fumed silica, 6 psi
FIGURE 7.84 Average polish removal rate over 1 min intervals in the absence of
conditioning. (From Oliver, M.R., et al., CMP pad surface roughness and CMP removal
rate, ECS Fall Conference, Phoenix, Arizona, October 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 409 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 409
With the slightly sloped pad profile, the oxide removal rate remains
constant over the accumulated conditioning time and the nonuniformity of
removal rate over the wafer is small. With the concaved pad profile, removal
rate profile on the wafer is edge fast, and with the Bell profile is edge slow [39].
1600
1200
800
400
01 2 3 4 5 6 7 8
Sample wafers
Ave
rage
asp
erity
hei
ght
(Ra,
µm
)
TEOS wafer
1600
FIGURE 7.85 Average asperity height after polishing over 1 min intervals in the absence
of conditioning. (From Oliver, M.R., et al., CMP pad surface roughness and CMP removal
rate, ECS Fall Conference, Phoenix, Arizona, October 2000.)
−40−20
020
Pad H
eight (µm)
Frequency (a.u.)
ConditioningDominated
Wafer Dominated
−40−20
020
Pad H
eight (µm)
Frequency (a.u.)
(a) Surface profile (b) Pad height distribution
FIGURE 7.86 Pad surface morphology. (From Lawing, A.S., Polishing rate, pad
surface, morphology and pad conditioning in oxide chemical mechanical polishing,
I1-Fifth International Symposium on Chemical Mechanical Polishing (CMP), ECS
201st Meeting, Philadelphia, 2002.)
(continued)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 410 23.10.2006 6:44pm
410 Handbook of Lapping and Polishing
7.3.3.3 Improvement for New Pads
7.3.3.3.1 Nonporous PadPorous urethane pad shows a good CMP process performance. However, a
variation of pad properties and process performance remains to be resolved as
a result of the pore size variation and nonuniformity of pore distribution.
Nonporous pad has benefits for more consistent physical properties, removal
rate, planarity improvement, longer pad life, and lower defectivity, if the
surface asperity is well controlled. OXP4000, a nonporous pad that was
developed by Rohm and Haas Electronic Materials CMP, Inc. has an ability
to control pad surface topography through conditioning. However, pad con-
ditioning becomes more critical for obtaining a stable CMP performance.
Nonporous pads also need to be grooved for proper slurry circulation and
residue removal. Pad conditioning on the OXP4000 pad changes surface
(c) Scanning interferometry image
0.00.0
0.2
0.4
0.6
0.8
1.0
1.2
1.4
1.6
1.8
0.0
0.2
0.4
0.6
0.8
1.0
1.2
1.4
1.6
1.8
mm
mm µm
mm
mm
µm
0.5 1.0 1.5 2.0 2.4
0.0 0.5 1.0 1.5 2.0 2.4
−79
−60−50−40−30−20−10
−84
−60
−40
−20
0
20
40
58
010
25
FIGURE 7.86 (continued)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 411 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 411
roughness significantly [38]. Figure 7.88 shows the height distribution of the
pad asperity. OXP4000 pad surface has a very narrow and sharp asperity height
distribution, which indicates that the pad surface topography can be controlled
more uniformly than IC1000. Three profiles correspond to the different
conditioning modes of abrasion depth. In oxide CMP, the wafer planarizes
Mea
sure
pad
thic
knes
s (m
m)
Bell shapeFlat 1 shapeFlat 2 shapeWafer location
0.1110.11
0.1090.1080.1070.1060.1050.1040.1030.1020.101
0.1
11
9.
5
6.
5
5
3.
5
2
8
0.
5 1
2.5 4 108.57
5.5
Distance from pad center (Inches)
FIGURE 7.87 Postpolish pad profile. (From Freeman, P., et al., Characterization of pad
conditioning profiles in oxide chemical–mechanical polishing, CMP-MIC Conference,
57, 1996.)
−40 −20 0 20Height (µm)
Fre
quen
cy (
au)
HighMediumLow
−25 −15 −5 5 15 25
HighMediumLow
OXP4000IC1000
Height (µm)
Fre
quen
cy (
au)
FIGURE 7.88 Pad surface height distribution for porous and nonporous pads. (From
Lawing, A.S., Polishing rate, pad surface, morphology and pad conditioning in oxide
chemical mechanical polishing, I1-Fifth International Symposium on Chemical Mech-
anical Polishing (CMP), ECS 201st Meeting, Philadelphia, 2002.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 412 23.10.2006 6:44pm
412 Handbook of Lapping and Polishing
faster with OXP4000 than with IC1000 as shown in Figure 7.89. This facilitates
the reduction of dishing and erosion for STI CMP application [39].
7.3.3.3.2 Fixed Abrasive PadA fixed abrasive pad is another challenge for CMP. Fixed abrasive pads have
an enhanced mechanical removal property that results in a high selectivity for
STI CMP. 3M developed the fixed abrasive technology for CMP. 3M’s Slurry
0
0.2
0.4
0.6
0.8
1
1.2
0 2,000 4,000 6,000 8,000 10,000
Amount removed 90% area(a)
Pla
nariz
atio
n ef
ficie
ncy
G 10%
S 10%
G 20%
S 30%
G 40%
S 50%
S 70%
G 80%
G 90%
S 90%
IC1000with ILD1300
0
0.2
0.4
0.6
0.8
1
1.2
0 2,000 4,000 6,000 8,000 10,000
Amount removed 90% area(b)
Pla
nariz
atio
n ef
ficie
ncy
G 10%
S 10%
G 20%
S 30%
G 40%
S 50%
S 70%
G 80%
G 90%
S 90%
OXP4000with ILD1300
FIGURE 7.89 Planarization efficiency of oxide CMP with different pads (a) IC1000
porous pad with ILD1300 slurry and (b) OXP4000 nonporous pad with ILD1300
slurry. (From Lawing, A.S., Polishing rate, pad surface, morphology and pad condi-
tioning in oxide chemical mechanical polishing, I1-Fifth International Symposium on
Chemical Mechanical Polishing [CMP], ECS 201st Meeting, Philadelphia, 2002.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 413 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 413
Free (trademark) consists of precisely formed, structured abrasive features
bonded to a polyester film backing. The micro-replicated poststructures
are typically 200 mm in diameter and 40 mm high, spaced to a 10% bearing
area. Each post contains submicron (average particle size is less than 0.5 mm)
ceria particles evenly dispersed and captured within a toughened composite
binder. The fixed abrasive materials are typically configured in a roll form for
use on web-type CMP polisher. Subpad used with the 3M slurry-free fixed
abrasive material typically consists of a rigid polycarbonate layer bonded to a
more resilient foam or urethane-impregnated felt layer. Figure 7.90 shows a
fixed abrasive pad [40]. The topographical selectivity is 200:1 and oxide
to nitride selectivity is 1.2:1. Typical STI CMP performance is shown in
Figure 7.91.
7.3.4 MODELING AND SIMULATION OF CMP PROCESSES
Masaharu Kinoshita
7.3.4.1 Purpose of Modeling
Understanding the polishing mechanism of the CMP process greatly
contributes to the topography prediction after planarization, the optimization
of cost of consumables, the process optimization, and the improvement
of wafer quality. CMP process modeling is broadly classified into three cat-
egories [41–52]:
FIGURE 7.90 Fixed abrasive pad. (Courtesy of 3M.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 414 23.10.2006 6:44pm
414 Handbook of Lapping and Polishing
1. Modeling of planarization process regarding to the surface topography
and pattern density
2. Modeling of the effect of polishing pad physical properties on planarization
3. Modeling of the effect of slurry behaviors on planarization
The methodology for simulation is based on two types of models such as
phenomenological and analytical. In the former, empirical equations for step
height reduction are derived using experimental results for planarization of up
and down feature patterns. The latter models are based on Preston’s equation,
in which polish removal rate is proportional to the product of the polishing
pressure and relative speed to wafer; therefore, the distribution of polishing
pressure is obtained from the elastic theory of the polishing pad and the fluid
dynamics of the slurry. Both models consider that the CMP process involves
the issue of mechanical force applied to the wafer surface.
7.3.4.2 Modeling of Planarization Process
7.3.4.2.1 Hard ModelIt is known empirically that the up feature planarizes easier than down feature
and lower density pattern also planarizes faster than dense pattern. Figure
7.92 shows the up and down features in pattern profile. In ILD CMP,
empirical observation indicates that the planarization rate increases depend-
ing on feature size, such as the following:
Small up feature> large up feature> small down feature> large down feature
As a blanket wafer provides an ultimate surface of large up feature
pattern, the polish rate of a blanket wafer is taken as a reference. Introducing
an index D0 to indicate pattern dependency, defined as D0¼ (polish rate of
Rate and planarization (typical STI polish)
0
500
1000
1500
2000
2500
3000
3500
4000
0 25 50 75 100 125 150 175 200Polish time (sec)
Ste
p he
ight
(Å
)
0
1000
2000
3000
4000
5000
6000
7000
Rem
oval
rat
e (Å
/min
)
Rate
Step
FIGURE 7.91 Planarization by fixed abrasive pad (3M M3100). (From Gagliardi, J.J.,
et al., Total planarization of the MIT 961 Mask Set wafers coated with HDP oxide,
CMP-MIC Conference, March 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 415 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 415
down feature)=(polish rate of a blanket wafer), planarization process can be
described as follows: when D0 is small, polishing of down feature patterns
does not proceed, but selective polishing of up feature patterns advances.
When D0 approaches 1, planarization is completed and down feature patterns
are polished similar to up feature patterns. Thus, according to CMP, ratio of
up and down feature pattern areas varies. Experiments show that the polishing
rate of down feature decreases linearly when D0> 0.3, and it logarithmically
decreases when D0< 0.3 [42]. Figure 7.93 shows a step height reduction
curve for D0< 0.3, which is expressed by an experimental equation as
S=S0 ¼ exp[(1 D0)Ut=S0] (7:1)
where D is the polishing rate for down feature, U is the polishing rate for up
feature (constant), S is the step height in the pattern, and S0 is the initial step
height related to D0 [42].
(a) Up feature
(b) Down feature
FIGURE 7.92 Patterned wafer features (a) up feature and (b) down feature.
Steps polished
Ste
p he
ight
(no
rmal
ized
)
0.1 mm0.5 mm1.5 mm
Up feature width
D0 > 0.3
00
0.2
0.4
0.6
0.8
1.0
1.2
2 4 6 8 10
FIGURE 7.93 Simulation of step height reduction rate for down feature pattern
(normalized by initial step height as 0.6 mm). (From Burke, P.A., Semi-empirical
modeling of SiO2 chemical–mechanical polishing planarization. Proceedings of theVMIC Conference, pp. 379–389, 1991.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 416 23.10.2006 6:44pm
416 Handbook of Lapping and Polishing
7.3.4.2.2 Soft ModelAssuming that a polishing pad is soft, the hard model can be extended to soft
model by introducing the feature-dependent polish rate factors. By setting the
polish-acceleration factor at up feature (Ai), the polish-deceleration factor at
down feature (Si), and the motion factor at slope (Ki) as shown in Figure 7.94,
polish rate Ri at each point on wafer is expressed as follows [43]:
Ri ¼ KiAiSi 1 (7:2)
Equation 7.2 indicates that Ri increases with increasing Ai at up feature,
whereas Ri decreases with increasing Si at down feature. The value of Ki
increases as the slope increases. Therefore, Ri¼KiAi=Si¼ 1 holds at a planar
surface. Assuming that the polish rate is proportional to the polishing pres-
sure, Ai and Si have a reciprocal relationship at each point, and the following
equation holds for n points on the wafer:
XAi=Si ¼ n (7:3)
Meanwhile, if we designate zi as the height in the vertical direction at point i,the pad properties can be expressed using a logarithmic function as
Si ¼ exp(Dzi=zo) (7:4)
Dzi ¼X
ziWi (7:5)
Wi ¼ 1=cosh(ri=ro) (7:6)
where Wi is a weighting function with respect to the scale in the horizontal
direction, along which deformation of the pad is generated. As the polishing
rate increases at large slopes, Ki is obtained as follows, designating the slope
angle to be ai:
Ki ¼ 1þ K0 tan ai (7:7)
Wafer surface
Polish-deceleration factor (Si): large
Polish-acceleration factor (Ai): largeMotion factor (Ki): large
FIGURE 7.94 Profiling factors for a variety of pattern features. (From Warnock, J.,
J. Electrochem. Soc., 138(8), 2398, 1991.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 417 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 417
Substituting Equation 7.3 through Equation 7.7 into Equation 7.2, numer-
ical simulation for a soft model is possible.
Hard and soft models are phenomenological simulation methods, which
are commercially available as simulation software ATHENA (Silvaco).
Figure 7.95 shows examples of simulations.
7.3.4.2.3 Model Using Pattern DensityThe contacting area between polishing pad and wafer varies during polishing
because of the wafer topography change. For modeling a planarization
process on wafer scale, pattern dependency on topography can be quantita-
tively expressed by using the interaction distance, id, and the planarization
length [44]. Pattern density r is defined as a rectangular area (density win-
dow) enclosed by interaction distances: r¼ (area of the up features)=(area of
the density window). In a region where two neighboring sections with different
0
0.5
0.4
0.3
0.2
0.1
0
0.1
100 200Pattern spacing (µm) Soft model coarse pattern
Soft model dense pattern
Ste
p he
ight
300 400
0
0.5
0.4
0.3
0.2
0.1
0
0.1
100 200Pattern spacing (µm)
Ste
p he
ight
300 400
(a)
(b)
FIGURE 7.95 Simulated topography by using soft model (SILVACO). (From Stine, B.,
Ouma, D., Divecha, R., Boning, D., Chung, J., Hetherington, D.L., Ali, I., Shinn, G.,
Clark, J., Nakagawa, O.S., and Oh, S.-Y., A closed-form analytic model for ILD thickness
variation in CMP processes, Proceedings of the CMP-MIC, Santa Clara, pp. 1–8, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 418 23.10.2006 6:44pm
418 Handbook of Lapping and Polishing
pattern densities are present, the section with the smaller pattern density is
polished faster; therefore, a slope is produced at the boundary of these
sections due to height difference. The length of this slope is designated as
the planarization length (Figure 7.96). For example, a density mask in which
25 pattern structures with different line and space (L=S) patterns are arranged
at 2 mm square intervals as shown in Figure 7.97. The pattern density differs
at each of the 25 pattern structures, because L=S values are different. Mean-
while, the pattern density in the density window differs between the case
in which the interaction distance, id, on the mask is set at 1 mm and the case in
which the id is set at 10 mm. Because there is a correlation between pattern
density and interaction distance, it is important to decide a value of the
interaction distance in actual simulations. On the basis of experimentally
obtained results of the relationship between the change in the film thickness
of ILD films and pattern density, interaction distances of 3.2–3.5 mm are
considered to be appropriate.
Using the above definition of pattern density, Preston’s equation (Equa-
tion 7.8) can be rewritten as an equation in which surface topography is
considered:
R ¼ kPv (7:8)
Oxide
Planarizationlength
Metal
FIGURE 7.96 Definition of planarization. (From Stine, B., Ouma, D., Divecha, R.,
Boning, D., Chung, J., Hetherington, D.L., Ali, I., Shihn, G., Clark, J., Nakagawa,
O.S., and Oh, S.-Y., A closed-form analytic model for ILD thickness variation in
CMP processes, Proceedings of the CMP-MIC, Santa Clara, pp. 1–8, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 419 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 419
where R is the polishing rate, P is the polishing pressure, v is the wafer
relative velocity, and k is the Preston coefficient. In this form of Preston’s
equation, substituting the polishing rate R with the removal rate of ILD film
dz=dt, and denoting the contact area between wafer and polishing pad in the
density window as A and the polishing force as F, Equation 7.8 can be
rewritten as
dz=dt ¼ kFv=(id)2 r(x,y,z) (7:9)
in which
P ¼ F=A, A ¼ r(x,y,z)(id)2K ¼ kFv=(id)2 (7:10)
then
dz=dt ¼ K=r(x, y, z) (7:11)
In this equation, (id)2 is the area of the density window and r(x,y,z) is
the pattern density. The pattern density is a function of position (x,y) inside
Area = Ar = id × id
Top view of anexample layout
FIGURE 7.97 Interaction distance and patterned mask. (From Stine, B., Ouma, D.,
Divecha, R., Boning, D., Chung, J., Hetherington, D.L., Ali, I., Shinn, G., Clark, J.,
Nakagawa, O.S., and Oh, S.-Y., A closed-form analytic model for ILD thickness vari-
ation in CMP processes, Proceedings of the CMP-MIC, Santa Clara, pp. 1–8, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 420 23.10.2006 6:44pm
420 Handbook of Lapping and Polishing
the wafer, as well as a function of the film thickness (z) (Figure 7.98). Therefore,
by solving Equation 7.11 for each density window, it is possible to determine
the pattern dependency in the wafer. In this equation, K is the removal rate of
a blanket wafer (flat-film wafer with a pattern density of 100%).
The surface topography of oxide films is determined by factors such as
deposition conditions, line, and space of IC pattern. We assume the following
conditions concerning the pattern density and polishing rate:
. r(x,y,z)¼ r0(x,y) z> z0z1 up to the removal of step height
1 z < z0z1 after the removal of step height
In this equation, z0 is the initial thickness of oxide film, z1 is the initial step
height.
. Polishing rate of down feature polishing rate of up feature
As a result of this assumption, the step height reduction process, i.e.,
planarization, is expressed by the following two equations. When only the
up features are removed, i.e., Kt< r0(x,y)z1
z ¼ z0 [Kt=r0(x,y)] (7:12)
After the up features are removed, i.e., Kt> r0(x,y)z1
z ¼ z0 z1 Ktþ r0(x,y)z1 (7:13)
When initial step height is removed, the entire surface is in contact with
polishing pad. At this point, the pattern density is increased by an amount
associated with the down features, and therefore the polishing pressure is
decreased, resulting in a decrease in the polishing rate.
Oxide
Metal
Down area
Up area
z =
0 z1
z1 z > z0 − z1
z < z0−z1
FIGURE 7.98 Illustrated model of ILD. (From Stine, B., Ouma, D., Divecha, R.,
Boning, D., Chung, J., Hetherington, D.L., Ali, I., Shinn, G., Clark, J., Nakagawa, O.S.,
and Oh, S.-Y., A closed-form analytic model for ILD thickness variation in CMP
processes, Proceedings of the CMP-MIC, Santa Clara, pp. 1–8, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 421 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 421
Using this model, the final state of planarization in which sparse, inter-
mediate, and dense pattern densities are present in a mixed state can be well
explained. Namely, as shown in Figure 7.99, initial step height with sparse
patterns is removed at an earlier stage and local planarization advances; when
in regions with dense patterns, initial step height reduction advances grad-
ually and progress of planarization is slow. Regions with intermediate-density
patterns are in between these two cases. As a result, a slope is produced at the
boundary of the three patterns by the height differences.
Figure 7.100 and Figure 7.101 show examples of simulation results. These
figures demonstrate well that the residual thickness of the ILD film differs
depending on the pattern density. However, with this model, simulation
results do not agree well with experimental results when pattern densities
are 15% or lower.
7.3.4.2.4 Model of Local Topography in Cu-CMPIn Cu-CMP, modeling of local planarization including dishing and erosion
is required. The difference in the polishing rate between Cu, barrier metal,
and oxide film, as well as the selectivity should be considered. Cu-CMP
process in which a damascene structure interconnection is formed consists
of three-step polishing.
In the first step, Cu overburden layer is polished and Cu surface topog-
raphy is rapidly planarized.
In the second step, residual Cu and the barrier metal layer are removed.
During this process, the polishing rate selectivity of Cu or barrier metal
Dense patternMediumCoarse pattern
Nonplanar regime Nonplanar regime Nonplanar regime
Nonplanar regimeNonplanar regimeLinear regime
t = 0
t = r1z
1/K
t = r2z
1/K
t = tt
Linear regime
Linear regime Linear regime
Linear regime
Linear regime
Nonplanar regime
FIGURE 7.99 Simulation of polish time dependent topography on pattern density
for ILD. (From Stine, B., Ouma, D., Divecha, R., Boning, D., Chung, J., Hetherington,
D.L., Ali, I., Shinn, G., Clark, J., Nakagawa, O.S., and Oh, S.-Y., A closed-form
analytic model for ILD thickness variation in CMP processes, Proceedings of theCMP-MIC, Santa Clara, pp. 1–8, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 422 23.10.2006 6:44pm
422 Handbook of Lapping and Polishing
is made close to 1, so that dishing in the Cu interconnection line should be
kept minimal.
In the third step, the overpolishing can afford a topography correction,
so that uniformity in the entire wafer surface is achieved. As the interlayer
oxide film is removed during this process, thinning and erosion should be
suppressed as much as possible.
Polishing pad: IC1000/Suba IV
Experimental
Simulated
ILD
thic
knes
s (µ
m)
Pattern density0
1
1.2
1.4
1.6
1.8
2
2.2
2.4
2.6
2.8t = 0
t = 1/3tf
t = 2/3tf
t = tf
0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
FIGURE 7.100 Simulation of pattern density vs. ILD thickness (mm).
Experimental
Pattern density
Simulated
0 0.05 0.1 0.15 0.2 0.25
0.7
0.65
0.55
ILD
thic
knes
s (n
orm
aliz
ed)
0.45
0.35
0.5
0.4
0.6
FIGURE 7.101 Simulation of pattern density versus ILD thickness (normalized).
(From Stine, B., Ouma, D., Divecha, R., Boning, D., Chung, J., Hetherington, D.L.,
Ali, I., Shinn, G., Clark, J., Nakagawa, O.S., and Oh, S.-Y., A closed-form analytic
model for ILD thickness variation in CMP processes, Proceedings of the CMP-MIC,
Santa Clara, pp. 1–8, 1997.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 423 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 423
Diagrams showing the polishing rate at each step of Cu-CMP are depicted
in Figure 7.102 [45]. A mathematical model of the dishing of Cu and erosion
of oxide film is obtained using these diagrams, as
Dm ¼ d0 exp[(tc t)=t3]þ Dss1 exp[(tc t)=t3] (7:14)
Eox ¼ Kox[1þ Dssrm=dmax(1 rm)](t tc)
þ [Kox(Dss d0)rm=dmax(1 rm)=t3] [ exp (tc t)=t3 1] (7:15)
In this equation, tc is the time required to reach the barrier metal and remove
it, t3 is the time constant, d0 is the depth of dishing at the final period of the
second step, and rm is the pattern density of Cu. Figure 7.103 shows simula-
tion results of dishing and erosion.
To model the chemical etching processes observed in Cu-CMP polishing,
we should not treat the Preston coefficient as a constant, but should rather
divide the coefficient into one in which chemical reactions are incorporated
based on findings regarding activation energy and chemical reaction theory.
7.3.4.3 Modeling of the Polishing Pad and Planarization
The basic characteristic of material removal in CMP assumes to follow the
Preston’s equation (Equation 7.8), where all physical factors in CMP are
included in the Preston coefficient k. If we assume that Preston’s equation
holds at any point on a wafer, all we need to know is k, P, and v at each point.
During steady CMP process in which k and v are assumed to be constant,
simulation of planarization process can be done by obtaining the distribution
of the contact pressure between the polishing pad and wafer and also by
assuming these values at each point. Either the finite element method (FEM)
or boundary element method (BEM) is used for the simulation.
RR (removal rate)
Up area
Rm
Rm
HexDss Dmdmax
Km
Kox
Kox
H
Down area
Cu-CMP Dishing
RR (removal rate) Rm : polish rate of copper blanket film
Kox : polish rate of oxide pattern
Km : polish rate of copper pattern
rm : pattern density of copper
Dss : dishing at stable state
dmax : dishing depth at zero downforce
Hex : local step height at downfeature when down force is not zero.
1 − rm 1 − rm
SiO2
Cu
FIGURE 7.102 Diagram of polish rate for Cu-CMP. (From Tugbawa, T. and et al.,
A mathematical model of pattern dependencies in copper CMP processes, Electrochem.Soc Honolulu Meeting, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 424 23.10.2006 6:44pm
424 Handbook of Lapping and Polishing
7.3.4.3.1 Distribution of Contact Pressure between PolishingPad and Wafer
Assuming that a polishing pad is a perfect elastic body and that a wafer is in
contact with the polishing pad by down force, the stress distribution on the
wafer surface can be analyzed by a plain strain model [53]. The contact
pressure rapidly increases at the wafer edge. Designating the Poisson ratio
and the shear coefficient of a polishing pad as v and m, respectively, when the
pressure distribution (as shown in Figure 7.104) is uniform with respect to m of
the polishing pad, the pressure distribution also shows a complex behavior
with respect to v. When v exceeds a certain value, the pressure distribution at
the center of the wafer becomes higher than that at the edge. In addition,
designating the thickness of the polishing pad as h and wafer radius as r0, the
pressure at the center of the wafer becomes high when the normalized value
of H (¼ h=r0) is less than 1 (H< 1). Therefore, to achieve uniform planariza-
tion, it is necessary to use a polishing pad with small n and large H.
7.3.4.3.2 Deformation of Pad, and Dishing and Erosion7.3.4.3.2.1 Bending Deformation
Bending deformation of a polishing pad is obtained from the equation of
deflection for beams subjected to a uniform load:
y ¼ wL3=384EI ¼ 5wL4=32Et3 (7:16)
In this equation, w is the load per unit length of the trench width, L is the
trench width, E is Young’s modulus of the pad, and I is the polar moment of
inertia of an area which is obtained as t3L=12 where t is the pad thickness.
900
800
700
Cop
per
dish
ing
and
oxid
e er
osio
n (Å
)
600
500
400
300
200
100
00 2 4 6 8 10
Copper line width (or oxide line space) (µm)
12 14 16 18 20 22
SiO2 erosion (experimental data)
SiO2 erosion (model fit)
Cu dishing (experimental data)Cu dishing (model fit)
FIGURE 7.103 Simulation results of dishing and erosion for Cu-CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 425 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 425
Dishing can result from pad bending deformation during polishing; how-
ever, actual amounts of dishing are much smaller than this value [46].
Therefore, the simulation can be done by considering a compression of the
effective surface thickness (t0< t), instead of using the pad total thickness, and
a dishing is evaluated. For evaluating erosion, the reduced Young’s modulus
(E0<E) is used by considering the surface roughness. Accordingly, in actual
simulations, t 0 and E0 are determined by combining experimental results.
These values do not express true material properties, but provide their
equivalent values. To perform analytical simulations, deformation behavior
of polishing pads should be considered using other methods, and the thickness
Base plate: steel
Pre
ssur
e p/
p 0
2
0
0
1
0
0 1
1
12 3
45
1 2 3 4 5
1 2 3 4 5
Workpiece: Si wafer
m1(GPa): 1−0, 2−1.3, 3−10, 4−50, 5−100
Polishing pad: v1 = 0.33, H = 0.5
v1: 1−0.01, 2−0.1, 3−0.3, 4−04, 5−0.6
H : 1−5, 2−2, 3−1, 4−0.5, 5−0.3
Radius r /r0
Polishing pad: m1 = 0.0069 GPa, H = 0.5
Polishing pad: m1 = 0.0069 GPa, v1 = 0.45
FIGURE 7.104 Contact pressure of distribution on pad. (From Yang, L., Solid StateTechnol., 111, 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 426 23.10.2006 6:44pm
426 Handbook of Lapping and Polishing
and the value of Young’s modulus of the section that truly contributes to the
deformation should be determined.
7.3.4.3.2.2 Conformity of Polishing Pad to Surface Topography
Conformity of the polishing pad to the pattern profile is another important
factor to determine the planarization process. With regard to the trench pattern
dimension to pad elasticity, the following three cases can be considered:
1. ww0: trench width is sufficiently narrow and the polishing pad
does not deform. The step height reduction rate is expressed as the
polishing rate at up feature.
2. w0<w wm: the polishing pad shows a sufficient compression
characteristic as well as partial conformity. Namely, contact occurs
in accordance with the trench profile, depending on its size.
3. wm w: the polishing pad shows a sufficient compression charac-
teristic and conformity; both up and down features are identically
polished and step height is not reduced.
By setting parameters for Cu trenches as shown in Figure 7.105, equations of
planarization are derived for the above three cases [47]. The basic equation of
step height reduction rate is obtained from the difference in the polishing rate
between up and down features in Preston’s equation, which is expressed as
dhs=dt ¼ R1 Rh ¼ kcuE(H1 þ DH)v=H KcuEHhv=H (7:17)
(b) Dishing, erosion, and thinningCu
wILD
SiO2
Wafer surface
(a) Copper trench profile parameters
The zero load position of thepad without conformation
The zero load position of thepad with conformation
hD hE
H0Hh (H0)
DH
H1
hs
hF
P
FIGURE 7.105 Modeling parameters for Cu-CMP. (From Yang, L., Solid StateTechnol., 111, 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 427 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 427
where Rl and Rh are the polishing rates at down and up features, respective-
ly,kCu is the Preston coefficient of Cu, E is Young’s modulus, H is the pad
thickness, Hl is the compression depth at concave feature of the pad, and Hh is
the compression depth at convex feature of the pad. DH is the increment of the
compression depth of the pad when conformity of the polishing pad is taken
into consideration; this value varies depending on the size of the pattern
width. Therefore, we assume that the following relationship:
dH00=H0 ¼ jdw=w (7:18)
holds. In this equation, j is the material property constant, which represents
conformity of the polishing pad. For w1<w wm, the following equation is
derived from Equation 7.18 as a planarization model of Cu:
hs ¼ hso exp(kcv=H)tþ (PH=E)[j ln(w=wo) 1] [1 exp(kcuEv=H)t] (7:19)
where hso is the initial height difference.
Model equations for dishing and erosion are derived based on the
above-described concept using the difference in the polishing rate. Cu dishing
can be described using the polishing rate difference between barrier metal and
Cu as
dhD=dt ¼ RBM Rcu ¼ kBME(HBM=H)v kcuE(Hcu=H)v (7:20)
Designating the selectivity of polishing rate between Cu and barrier metal as
S, we obtain
S ¼ Rcu=RBM ¼ kcu=kBM (7:21)
A dishing model in which conformity of the polishing pad is taken into
consideration is obtained as
hD ¼ [(S 1)=S](PH=E)j ln(w=wo)[1 exp(kcuEv=H)t] (7:22)
When overpolishing is performed, the erosion of the oxide film is obtained
using differences in the polishing rate between dense pattern and no pattern
regions. Designating h as pattern density, the erosion is given as
he ¼ hr(PH=E)j ln(w=wo)1 exp[koxEvt=H(1hr)] (7:23)
where w is the width of the trench array where erosion occurs. Figure 7.106
and Figure 7.107 show simulation results. Table 7.15 lists the process param-
eters used for the simulation.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 428 23.10.2006 6:44pm
428 Handbook of Lapping and Polishing
7.3.4.3.2.3 Simulation Using a Solo Pad and a Stacked PadIf the polishing pad is a perfectly elastic body and is subject to down force
(P), the polishing pad displacement (w) parallel to thickness can be obtained
from the theory of an elastic body as
w ¼ Pf (r) (7:24)
The term f(r) is a function with respect to the distance r from the point force.
In the case of solo pad, f(r) is obtained as a Boussinesq solution, designating
1600Modeling
Experimental
1400
1200
1000
Dis
hing
(Å
)
800
600
400
200
00 50 100 150 200 250 300
Copper line width (µm)
350 400
FIGURE 7.106 Dishing simulation of copper line width. (From Yang, L., Solid StateTechnol., 111, 2000.)
Pattern area 3 mm
Over polishing 180 sec
Modeling
Experimental
ILD
ero
sion
(Å
)
Pattern density (%)0
0
200
400
600
800
1000
1200
1400
1600
10 20 30 40 50 60 70 80 90 100
FIGURE 7.107 ILD erosion simulation.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 429 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 429
Young’s modulus and Poisson ratio of the polishing pad as E and n, respect-
ively; f(r) is expressed as
f (r) ¼ (1 v2)=pEr (7:25)
In the case of stacked pad, f(r) can be obtained as a sum of f1 and f2; f1 can be
described as a Boussinesq solution and f2 can be described as a Herz solution.
Namely, the following equation is obtained:
f (r) ¼ f1(r)þ f2(r) (7:26)
where
f1(r) ¼ (1 v2)=pEr (7:27)
f2(r) ¼ (12=2pD)kei(r=1) (7:28)
D ¼ E1=t31=12(1 v2) (7:29)
1 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi(Dt2=E2)4
p(7:30)
The term kei is a Bessel function, E1 and E2 are Young’s modulus at the top
and bottom layers of the polishing pad, and t1 and t2 are their corresponding
thicknesses.
With respect to up and down features and contact conditions of a polish-
ing pad, a reference plane and reference pressure with respect to the load
TABLE 7.15Process Parameter Values for CMP Process Modeling
Parameter Value
Copper Preston coeffiicient (Kcu) 4.65 1013 (step 1=slurry 1) Pa1
1.94 1013 (step 2=slurry 2) Pa1
Oxide Preston coefficient 1.94 1014 (step 2=slurry 2) Pa1
Polish pressure (P) 3.45 104 (step 1=slurry 1) Pa
1.03 104 (step 2=slurry 2) Pa
Linear velocity (V) 0.146 (step 2=slurry 2) m=sec
0.831 (step 2=slurry 2) m=sec
Pad thickness (H) 1.27 1013 m
Pad Young’s modulus (E) 1 108 Pa
Pad conformity (j) 0.11
Effective minimum linewidth (Wo) 0.01 mm
Polish rate selectivity (S) 12 (Cu=Ta) (step 2=slurry 2)
10 (Cu=Sio2) (step 2=slurry 2)
Copper film thickness (I) 10,000 A
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 430 23.10.2006 6:44pm
430 Handbook of Lapping and Polishing
applied to the plane are set, as shown in Figure 7.108. The reference plane is
taken on a flat-film section, which includes the convex and concave patterns,
and the reference pressure is selected so that the pressure at this refer-
ence plane becomes zero. Boundary conditions of boundary elements are
determined as displacements relative to the reference plane as well as pressure
differences relative to the reference pressure, and the final polishing pressure,
Qi,wafer, is determined. The polishing rate is obtained by substituting this final
polishing pressure into Preston’s equation, and a three-dimensional pattern
simulation is performed for the CMP using a solo polishing pad. As shown in
Figure 7.109, differences in the step height reduction rates for patterns from
0.2 to 4 mm are shown [48,49].
7.3.4.4 Modeling of Slurry Behavior
The relationship between polishing rate and relative speed of wafer in CMP
resembles the Stribeck curve, which is well known in the theory of fluid
bearing [50]. With the Stribeck curve, as the Hersey number (¼ viscosityvelocity=pressure) increases, the state changes from boundary lubrication to
mixture lubrication and then to fluid-dynamic lubrication (Figure 7.110).
7.3.4.4.1 Pressure from the Fluid and Polishing RateWhen the slurry is assumed to be a Newtonian fluid with constant viscosity,
the fluid flow between a polishing pad and a wafer can be described by the
three-dimensional Navier–Stokes equations as
u ru ¼ (1=r) rpþ m=r r2u (7:31)
u ¼ 0 (7:32)
where r is the fluid density, m is the dynamic viscosity, p is the pressure,
and u is the velocity vector at an arbitrary position in the fluid. Here the
Ref. plane
z = 0
Z-axisQref.Qref.
Wref. Wref.
Qwafer
Wwafer Wpad
Polishing pad
Wafer
Ω
FIGURE 7.108 Model for CMP simulation. (From Yoshida, T. Three-dimensional
chemical-mechanical polishing process model by BEM. The Electro. Soc., Honolulu
Meeting, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 431 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 431
two-dimensional pattern shape and boundary conditions shown in Figure 7.111
are considered. The surface stress s is related to the flow field by
sij ¼ pdij þ m(@ui=@xi þ @uj=@xj) (7:33)
where sij is Kronecker’s delta, s11 and s22 are the vertical stresses in the
x1 and x2 directions, respectively, and s12¼s21 represent shear stress in the x1
and x2 directions, respectively. Assuming that removal is continuously per-
formed with an infinitesimal unit, the removal speed in the direction perpen-
dicular to the surface is expressed as a function of vertical pressure s and
shear stress t applied to the wafer.
Rn ¼ f [t(t), s(t)] (7:34)
By integrating the above equation with respect to time and using the finite
element method, a pattern shape at arbitrary time can be obtained.
0
01002003004005006007008000
1020
30
510
1520
25
010
2030
050100
150
200
0510
1520
25
Pressure (kP
a)
Mes
h
Mesh
Pre
ssur
e (k
Pa)
Mesh Mesh
0
100200300400500600
010
2030
510
1520
25
010
2030
100200300400500
600
05
1015
2025
Z H
eight (nm)
Mes
hMesh
Z H
eigh
t (nm
)
Mesh Mesh
00100200300400500600
010 20
30
510
1520
25
010
2030
0100200300400500600
0510
1520
25
Z H
eight (nm)
Mes
hMesh
Z H
eigh
t (nm
)Mesh Mesh
Z Height (nm)
0
01002003004005006007008000
1020 30
510
1520
25
010
2030
0100200300400500600700800
05
10
1520
25
Z H
eight (nm)
Mes
hMesh
Z H
eigh
t (nm
)
Mesh Mesh
FIGURE 7.109 Three dimensionality of oxide pattern. (From Yoshida, T. Three-
dimensional chemical mechanical-polishing process model by BEM. The Electro-chemical Society, Honolulu Meeting, 1999.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 432 23.10.2006 6:44pm
432 Handbook of Lapping and Polishing
Direct contact Semi-direct contact
Elastohydrodynamiclubrication
Fric
tion
coef
ficie
ntF
ilm th
ickn
ess
Boundary layer lubrication
Hydrodynamiclubrication
Hydroplaning contact
Hersey number =viscosity velocity
pressure
FIGURE 7.110 Stribeck curve.
Wafer surface uja = 0
Wafer surfacex2
l1
u1 = U, u1 = 0
u2 = 0Inlet
l2l3
h
x1
u2 = 0Outlet
Flowing slurry
Polish pad Motion of pad
FIGURE 7.111 Boundary conditions for hydrodynamic analysis. (From Runnels, S.R.
and Eyman L.M., J. Electrochem. Soc., 141(6), 1698, 1994.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 433 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 433
As a model of the function f, Runnels [51,52] used the following Tayler
series expansion equation:
Rn ¼ as(t þ bt2) (7:35)
where a is the effect of a material property, i.e., mechanical removal reaction
due to flow, and b is the effect of a chemical property, i.e., a constant that
represents the etching reaction. Assuming that the vertical stress due to the
fluid is almost constant over the pattern-shape surface, simulation is per-
formed by replacing this vertical stress with the stress applied to the wafer.
Figure 7.112 shows the comparison between results of this model and experi-
mental data. The effectiveness of the model is confirmed for the pattern
shape of size 10 mm or larger. Figure 7.113 shows the planarization rate
when the thickness of the slurry fluid layer is used as a parameter. Planariza-
tion rate increases as the fluid-layer thickness decreases. Here, fluid with a
Reynolds number of 0.1 or less is assumed.
7.3.4.4.2 Behavior of Abrasive Particles in SlurryTo understand the mechanical aspects of CMP, it is essential to obtain the
behavior of abrasive particles in slurry. Luo [54] produced a model for the
problem of solid contact among a polishing pad, abrasive particles, and wafer.
In his model, surface roughness of the polishing pad is replaced by a periodic
0
–0.01
0
0.10.2
0.30.40.5
0.6
100 20 30 40 50
ModelIBM erosion data
ModelIBM erosion data
60 70 80–0.1
0Horizontal distance along wafer (µm)
200 400 600 800 1000 1200 1400
0
0.10.2
0.3
0.4
0.50.6
–0.10V
ertic
al d
ista
nce
(µm
)V
ertic
al d
ista
nce
(µm
)
Ver
tical
dis
tanc
e(µ
m)
0.10.20.3
(a)
(c)
(b)
(d)
0.40.50.6
ModelIBM erosion data
5 10Horizontal distance along wafer (µm)
Horizontal distance along wafer (µm)
Horizontal distance along wafer (µm)15 20 25 30
Ver
tical
dis
tanc
e(µ
m)
0–0.1
0
0.10.2
0.30.4
0.5
0.6
10 20 30 40 50 60
ModelIBM erosion data
FIGURE 7.112 Removal, based on slurry flow shear force. (From Runnels, S.R. and
Eyman L.M., J. Electrochem. Soc., 141(6), 1698, 1994.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 434 23.10.2006 6:44pm
434 Handbook of Lapping and Polishing
function, the average number of reacting abrasive particles is obtained using
the material-removal volume due to single-grain abrasive grains, and particle-
size distribution is taken into consideration.
Philipossian [55] obtained the relationship between abrasive particle size and
the Stokes number in slurry. The Stokes number is obtained using the particle
density in the slurry rp, average coagulation diameter of grains D, relative speed
between pad and wafer u, viscosity of slurry m, and fluid length (cross-sectional
area or diameter of groove) of the groove of the polishing pad L as
St ¼ rpD2u=mL (7:36)
where a fluid with a Reynolds number of 0.1 or less is assumed. Figure 7.114
shows Stokes numbers of various types of slurry. In the case of abrasive
grains with a grain size of 2–3 mm or less, St< 0.1 holds, indicating that the
abrasive grains move in accordance with the flow of the fluid.
7.3.4.4.3 Slurry Flow between Wafer and PadPolishing removal rate and uniformity depend on the slurry flow between
wafer and pad. Slurry starvation under the wafer causes aheat generation and
a deterioration of uniformity. G. Muldowney made a detailed numerical
modeling of the slurry flow between wafer and polishing pad. In order to
describe the pad surface asperity and slurry flow channel he defined three
factors such as flow height, characteristic length and void fraction among
asperity heights and modeled the heat and mass transport of slurry. The
transient slurry mixing dynamics has shown the infusion of fresh slurry and
the slurry backflow induced by the wafer rotation. The pad conditioning
affects a lot pad texture and fine texture gives a favorable slurry flow
compared to coarse texture as shown in Figure 7.115 [56–58].
01.5
1.75
2
2.25
5 10
Slurry thickness (µm)
15
Pla
nariz
atio
n ra
te (
µm−1
)
20 20 30 35 40
FIGURE 7.113 Planarization rate dependent on slurry of thickness (simulated by
Equation 7.36).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 435 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 435
7.4 THE STUDY CASE OF DEVICE WAFERKEISUKE SUZUKI
The first application of CMP to the fabrication of semiconductor devices was
the planarization of steps present in interconnects. As the CMP has planariz-
ing properties that cannot be achieved by conventional methods, it has been
0.011.00E–05
1.00E–04Sto
kes
num
ber
(uni
tless
)
1.00E–03
1.00E–02
1.00E–01
1.00E+00
0.1 1 10
Particle diameter (µm)
Silica abrasive
Ceria abrasive
Ceria abrasive
Settling regime
slurry at 10 poise
slurry at 10 poise
slurry at 1 poise
100
FIGURE 7.114 Stokes number and abrasive particle size (pad groove 500 mm wide,
300 mm dap, slurry flow rate 0.44=msec). (From Philipossion, A., Selected process
consumable technology requirements for advanced CMP process, the CMP Technical
Meeting, San Francisco, 2000.)
0.50 sec
1.40 sec 1.40 sec 1.40 sec
0.50 sec 0.50 sec
Mass Fractionof Fresh Slurry
1.000.950.900.850.800.750.700.650.600.550.500.450.400.300.250.200.150.100.050.00Case:
IC 1000TM K-GroovePad 33 rpmWafer 61 rpmPolish Downforce 3 psiVarious Pad Textures
Wafer Surface
Coarse Texture Medium Texture Fine Texture
Area ofDetail
FIGURE 7.115 Effect of pad texture on transient slurry mixing.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 436 23.10.2006 6:44pm
436 Handbook of Lapping and Polishing
diffused as the innovative method of planarization rapidly. Concurrent with
the shrinkage of transistors and interconnects to realize higher frequency and
large storage of the devices, new materials such as Cu and low-k materials
have been adopted. At the same time, other than the relaxation of steps, CMP
has been applied to these new materials with improvements of polishing
systems and abrasive materials (slurry and pads). This section introduces
the transition of CMP technology for the fabrications of semiconductor
devices, and describes its future perspectives.
7.4.1 INTRODUCTION OF CMP TECHNOLOGY
CMP technology was introduced by IBM and Intel in the late 1980s as a technique
for planarizing ILD films. Before that, the prevailing methods for planarizing ILD
films had been spin-on-glass (SOG) coating method [59] and the reflow of BPSG
[60] as shown in Figure 7.116. However, as high-level flatness has been required
as the feature size of integrated circuit shrinking down to sub-0.35 mm, these
methods have fallen into disuse. Consequently, the newly introduced technique is
CMP. The conventional methods were limited to an irregularity of 100 nm even in
a fine pattern of 10 mm or below, which was easy to planarize. Also, the use of
Conventional planarization methods
Reflow of BPSG(boron phosphosilicate glass)
SOG (spin-on-glass)
New planarization method
CMP(chemical mechanical polishing)
SiO2 depo.
CMP
SOG
SOG etch-back
SiO2
SiO2
SiO2
BPSG AI
Poly-Si
Heattreatment
Reflow
FIGURE 7.116 The methods for planarizing ILD films.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 437 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 437
CMP enables planarization to 20 nm or below even over a wide area of approxi-
mately 2 mm, and a high planarity can be secured.
Figure 7.117 shows the cross sections of chips with and without CMP
processing [61]. When CMP processing is performed, wirings are arranged in
(a) With CMP
(b) Without CMP
FIGURE 7.117 The cross-sectional SEM photographs of chips (a) with and (b) without
CMP. (From Keuchi Kimura, Doctoral thesis of Osaka University, 31, 2002.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 438 23.10.2006 6:44pm
438 Handbook of Lapping and Polishing
an orderly fashion. When no CMP processing is performed, a number of steps
exist in interconnects. If the steps on wirings are larger than the DOF of
lithography, defocusing occurs. Therefore, the steps on the devices must be
smaller than the DOF in lithography [62]. Figure 7.118 shows the DOF, step
relaxing properties, and the allowance of planarity and site flatness in each
generation [63]. The DOF and the NA in lithography are determined by the
wavelength of the light source. This relationship is, as Equation 7.37 shows,
that the shorter the wavelength of the light source used in lithography, the
smaller is the DOF. On the other hand, the reduction of the light source
wavelength improves the resolution, from the relationship of Equation 7.38.
This resolution determines the minimum dimension of transistors and inter-
connects patterns. Therefore, to realize the miniaturized patterns of the
devices, there are no ways other than eventually making the steps present in
the devices equal to or smaller than the DOF.
R ¼ K1(l=NA) (7:37)
DOF ¼ K2(l=NA2) (7:38)
where R is the resolution, DOF the depth of focus, NA the numerical aperture
of the lens, and K1 and K2 are process constants (K1: 0.6–0.7, K2:+0.5).
7.4.2 HISTORY OF CMP TECHNOLOGY
Presently, the applications of CMP technology are not only for the planar-
ization of interlayer films but also for the STI, the formation of W contacts
and Cu interconnects [64–66]. This is because the structures of devices
1800 0
100
200
Site
flat
ness
(nm
)P
lana
rity
(nm
)
300
400
500
600
700
800
900
1000D
OF
(µm
)
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1
DOF
Planarity
Site flatness
160 140 120 100
Device rule (nm)
80 60 40 20
FIGURE 7.118 The DOF, and the allowance of planarity and site flatness in each
generation. (From International Technology Roadmap for Semiconductor, 1998.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 439 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 439
were significantly altered with the size reduction of transistors and intercon-
nects, as well as because the new materials were introduced. Figure 7.119
summarizes the application examples of the CMP technology in each gener-
ation of devices.
In the 0.35 mm generation, CMP was chiefly used for the planarization of
the ILD films (e.g., plasma SiO2 films). In the 0.25 mm generation, W-CMP
was adopted for the contact portion that connects the electrode and wiring of a
device. Before the introduction of W-CMP, W-etch-back was prevailing. In
this method, as Figure 7.120 shows, the poor controllability of end point
causes recess around 100 nm. As the recess causes the first-layer interconnect
to be open, the etch-back method has its limits.
STI-CMP was introduced in the 0.25 mm generation. The role of STI is as
an electrical insulation between transistors. The background of the adoption
of the STI method was the necessity of sufficient dimensional accuracy due to
smaller size for the field and active areas. Specifically, in conventional
LOCOS methods, as the insulating film is grown by thermal oxidation, as
Figure 7.121 shows, a bird’s beak is produced around the LOCOS portion.
The production of the bird’s beak lowers the dimensional accuracy on the
active area. Therefore, the STI method utilizing silicon etching, which has
high processing accuracy, was chiefly used.
In the 0.18 mm generation, Cu-CMP was introduced. In this generation,
wiring materials shifted from Al to Cu. At the same time, as Figure 7.122
Technology node
CMPapplication
Interconnects
SiO2(ILD)SiO2(STI)
WCu
Low-k
AI(dry etcing)
1.2 µm
W-etch-back
CuCu
AIAI
Low-k
WW
W
Si Si Si Si
WW
W-CMP Cu-CMP Cu-CMP
0.2 µm 0.6 µm 0.4 µm
AI(dry etcing)
Cu(dual
damascene)
Cu/low-k(dual
damascene)
Pitch for interconnects
Cross section
0.35 µm 0.25 µm 0.18 µm 0.13 µm
(low-k CMP)
FIGURE 7.119 The application examples of the CMP technology in each generation
of devices.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 440 23.10.2006 6:44pm
440 Handbook of Lapping and Polishing
W-etch back
Recess
W-CMP
Polishing pad
Slurry
W
W
W W
W
FIGURE 7.120 The contact processes using (a) W-etch-back and (b) W-CMP.
Bird’s beak
LOCOS
SiNSiO2
SiNSiO2
Si
Si
Active Field
STI
Active
FIGURE 7.121 Comparison LOCOS method with STI method. In the case of LOCOS
method, the bird’s beak lowers the dimensional accuracy on the active area.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 441 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 441
shows, the formation of interconnects was changed from conventional
methods as Al and SiO2 interconnect to damascene method, because there
is no etching gas for Cu film, patterning is impossible unlike Al. As the
resistivity of Cu is lower than that of Al, the resistance of the Cu wirings
becomes lower. If the resistance of wirings is low, the delay time of signal
transmission propagated in a device decreases. In general, wiring delay is
represented by t¼RC (t is the delay time of the interconnect, R the wiring
resistance, and C the capacitance between wirings) [67]. From this relation-
ship, an increase in wiring resistance and capacitance between the wirings
delays the transmission of signals. In other words, wiring resistance is
a) AI/SiO2 b) Cu/SiO2
W-plug
SiO2
Si
SiO2
Gate
Lithography
Photo-resist
Barrier/Cu film depostion
Barrier film
CuCu
Cu-CMP
Photo-resist
Dry etching (SiO2)
Dry etching (Al film)
AI (PVD film)
FIGURE 7.122 Process flows of Al=SiO2 and Cu=SiO2 interconnects. The fabrication
method of Cu interconnect is called as the damascene method. (IBM named the
damascene method. The term damascene has the meaning of incrustation.) The
damascene method is generally divided into the single damascene method for forming
a single layer, such as the first layer, and the dual damascene method for simultan-
eously forming via contacts and wirings (two layers), such as the second layer and the
upper layers.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 442 23.10.2006 6:44pm
442 Handbook of Lapping and Polishing
increased due to the decreasing dimensions of the wiring structure, which
results in higher delay time of the interconnect. On the other hand, the same
thing can be applied to the capacitance between wirings. If the capacitance
between wirings lowers, delay time of the interconnect decreases. Therefore,
a material having a low dielectric constant, called low-k material, is used to
lower the capacitance between wirings. (An oxide film conventionally used
has a dielectric constant, k, of 4.4.) If this is used in a sub-0.13 mm device and
beyond, the effect of the capacitance between wirings is significant. There-
fore, in this generation, low-k materials of k 2.8, such as a SiOC film and an
organic film, are used on this generation [68,69].
7.4.3 DEVICE INTEGRATION AND CMP
7.4.3.1 Device Fabrication
Since the IC device shrinks down to 0.13 mm generation and beyond, high-
end ULSI device using Cu wiring and low-k (ILD) has developed. A cross
section of Cu multilayered interconnects are shown in Figure 7.123 [70]. In
the cross section of the chip, seven layers of Cu wirings are formed on a
transistor. When such a device is fabricated, CMP is applied to a wide range
from STI to the interconnect process.
Figure 7.124 shows a process flow when a device is fabricated. For STI
process, initially, an oxide film and a SiN film were patterned by dry-etch
process. Si is selectively etched off to form a trench in the Si substrate. After
the trench has been formed, an oxide film (HDP-SiO2) is deposited, and
STI-CMP processing is performed until the SiN film is exposed. This SiN
film is called the stopper film. It plays a role to protect active areas as it cannot
be polished by the CMP for the oxide film. Thereafter, ion implantation is
performed for adjusting the operating voltage (Vth) of the transistor. Then,
through the formation of a gate electrode, and after the formation of an extension
by ion implantation, the electrode is formed using S=D implantations. The
transistor is fabricated through these processes.
Next, the interconnect process is described. After an oxide film (PMD
film) is formed, the step reflecting the height of the gate electrode is planar-
ized using PMD-CMP. Thereafter, a contact hole is opened, and a W film is
deposited by W-CVD. Then a W plug (contact) is formed by W-CMP. In the
formation of a first-layer Cu and low-k interconnect, a stop film (SiC, etc.),
a low-k film, and a stopper film (SiC, etc.) are formed in this order. The
thickness of the low-k film is usually 300 to 600 nm. Then, after forming a
trench, a barrier film (TaN) is formed to prevent the diffusion of Cu into
the low-k film. A Cu seed film (of a thickness of approximately 100 nm),
which becomes an electrode for plating, is deposited by PVD. Then Cu
plating (several micrometers) and Cu-CMP processing are performed to
form the first-layer interconnect (single damascene method). In the forma-
tion of the second-layer interconnect and the following interconnects, after
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 443 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 443
opening the VIA, trenches for wiring are formed. Then in the same manner as
the first layer, a barrier film, a Cu seed film, and a Cu-plated film are
deposited, and VIA contacts and wirings are simultaneously formed by Cu-
CMP (dual damascene method).
7.4.3.2 Problems in Integration
In the entire CMP process, it is ideal for integration to realize a flat surface
without steps after polishing. In reality, however, the problem of the forma-
tion of dishing and erosion, as shown in Figure 7.125, arises. Such dishing and
erosion cause steps in the device. Figure 7.126 summarizes the problems of
CMP for each process.
CuILD
FIGURE 7.123 Cross-sectional TEM photograph of Cu multilayer interconnect.
(From Ohashi, N., et al., Proceeding of IITC, 140, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 444 23.10.2006 6:44pm
444 Handbook of Lapping and Polishing
In the STI-CMP process, the residual oxide film on the SiN film and the
abrasion of the SiN film raise problems. If an oxide film is present on the SiN
film, as Figure 7.127 shows, the SiN covered with the oxide film will remain
after SiN removal using phosphoric acid in the next process. In this case, the
transistor itself cannot be fabricated, causing a fatal defect. Such a residue of
the oxide film is produced, as Figure 7.128 shows, unless the steps present
before polishing have been removed. In the other case, the occurrence of
erosion causes the abrasion of SiN (the stopper film). As the active area is
ground by CMP, this defect causes the defective operations of the transistor.
Figure
1
23
4
56
78
9
1011
12
1314
ProcessSiO2 depositionSiN deposition
SiN/Si dry etchingHDP-SiO2 deposition
STI-CMPSiN/SiO2 remove
Vth implantationPoly-Si deposition
Poly-Si lithographyPoly-Si dry etching
Implantation 1SiO2 deposition
Dry etching (aeolotropy)
PMD-CMPHDP-SiO2 deposition
Contact lithographyContact etchingTi/TiN deposition
W depositionW-CMP
SiO2(SiC) deposition
Low-k depositionSiO2(SiC) deposition
Trench etchingTrench lithography
Barrier depositionCu PVD
Cu electroplatedCu-CMP
SiO2(SiC) depositionLow-k deposition
SiO2(SiC) depositionLow-k deposition
SiO2(SiC) depositionVIA lithography
VIA etchingTrench lithography
Trench etchingBarrier deposition
Cu PVDCu electroplated
Cu-CMP
Implantation 2
STI
Vth
Gate
Extension
S /D
PWD
Contact
1M(single
damascene)
2M(dual
damascene)
3M4M5M6M
1
4 5 6
SiO2
SiO2
Poly-Si
Gate
W
Ti/TiN
Low-k SiO2
7
9
12 13 14
10 11
Cu
Barrier
Cu-CMP
Cu-CMPCu
8 W-CMP
Extension S/D
PMD-CMP
SiO2
SiO2
SiO2
SiO2
SiN
STI-CMP
Si
2 3
FIGURE 7.124 Fabrication sequence of the high-end ULSI devices.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 445 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 445
Therefore, in STI-CMP, the reduction of the SiN film must be prevented
through the selection of appropriate slurry.
The problem with the PMD-CMP is the removal of steps from a wide
pattern area such as on the capacitors. Particularly if a fallen portion is left
between capacitor portions after CMP, the residue of W is caused after the
following W-CMP process. The present countermeasures include methods for
improving step relaxation by avoiding such a pattern layout in design and by
raising the hardness of pads.
Dishing
Erosion
FIGURE 7.125 Illustrations of dishing and erosion. Dishing means the film loss of the
center portion in a wide trench. On the other hand, the entire reduction of the film in
the portion of dense narrow trenches is called erosion.
Process
STI
PMD
W
W
Cu (low-k)
Fair quality
SiN
SiO2
SiO2
SiO2
SiO2
Si
Si
Erosion
SiSTI
Cu
Erosion Dishing
P MOS N MOS P MOS
Gate
Poly-Si Capacitor
Poor quality
Step height between wide capacitor area
SiO2 remain
FIGURE 7.126 Problems of the CMP for each process.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 446 23.10.2006 6:44pm
446 Handbook of Lapping and Polishing
The problem with the W-CMP is the erosion produced in dense contact
patterned area. (The present ability is the erosion of 20–50 nm.) The
steps produced here cause residual Cu films in the boundaries of layers, as
Figure 7.129 shows.
Cu-CMP has several problems such as dishing, erosion, voids, scratches,
corrosion, and delamination. Dishing and erosion produced in Cu-CMP cause
SiO2 remain of STI-CMP
SiN removal
using phosphoric acid
FIGURE 7.127 SiN film remain on active area after SiN removal using phosphoric
acid.
a) Before CMP
b) After CMP
Dishing
SiO2 remain
SiO2SiN
Si
FIGURE 7.128 A mechanism of producing the dishing and the SiO2 film remain on
SiN (a) before CMP and (b) after CMP. (If STI-CMP has poor performance for step
height reduction, these serious problems arise.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 447 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 447
increase in wiring resistance and the occurrence of steps. Particularly in
the Cu–low-k process, the occurrence of erosion will wear the cap film, as
Figure 7.130 shows. At this time, water and chemical components in the slurry
cause the dielectric constant of the low-k film to increase, as Figure 7.131
shows. The incorporation of voids in plating has been pointed out as another
problem of the Cu film. These voids grow with annealing, and appear as pits
after CMP. Although scratches and the oxidation of the Cu surface have been
confirmed, these are caused by coarse particles in the slurry or water droplets
remaining after cleaning. Corrosion occurs during cleaning after Cu-CMP by
the photochemical effect. The potential generated in a transistor (P–N junc-
tion) accelerates the corrosion of the surface of the Cu film, as Figure 7.132
shows [71,72]. Therefore, the postcleaning of Cu-CMP is usually performed
in a dark place. The problem of the delamination of the Cu film becomes
marked with a low-k film of k¼ 2.2. This low-k film is highly brittle, and
Cu residueCu
SiC
SiO2
Si
W
FIGURE 7.129 Residual Cu film in the first layer caused by erosion of W-CMP
process.
Pit
Scratch
Scratch
Fatal scratchSmall pit
Cu flake
Cu flake
Cu oxide formation
Cu discolobration(after polish)
FIGURE 7.130 Typical defects of Cu-CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 448 23.10.2006 6:44pm
448 Handbook of Lapping and Polishing
delamination occurs by friction during polishing (refer to Figure 7.133) [73].
To solve this problem, the use of a low processing pressure has been proposed
to lower the COF during polishing.
7.4.4 PRESENT STATE OF THE CMP DEVELOPMENT
As interconnect design rule continues to shrink, the requirement level to the
CMP technology has increasingly been elevated. Under these situations, the
developments of the CMP technologies that inhibit dishing and erosion, and
utilize ultra-low-k materials are demanded. Here, the present state of devel-
opment in each elemental process will be introduced.
7.4.4.1 STI–CMP
One of the subjects to be developed in STI–CMP is the reduction of the
thickness of the stopper film (SiN film). If the reduction of the thickness of
the stopper film is realized, the aspect ratio of a trench can be minimized
(refer to Figure 7.134). The advantage of minimizing the aspect ratio is to
inhibit the occurrence of voids when a HDP oxide film is filled in the trench.
This problem becomes more critical with the advance of the shrinkage of
Barrier film (TaN)
Damage of low-k film
Cap film (SiC)
Low k Cu
FIGURE 7.131 Damage of low-k film by wearing the cap film.
P
N
P–N junction (photovoltaic)
LightCorrosion Slurry
FIGURE 7.132 The corrosion of the photochemical effect.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 449 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 449
semiconductor devices. Therefore, in future, the problem of minimizing the
thickness of the SiN film must be solved. However, the thickness of the SiN
film is determined estimating decrease in the thickness of the SiN film, and
dishing that occurs in the trench. Figure 7.135 shows cross-sectional SEM
images before and after the STI-CMP process [74]. Although steps are present
before STI, they are planarized after CMP, and are polished to the stopper
film (SiN film) in these pictures. Ideally, the stopper film should not have
been polished, and dishing should have been nearly zero. If this state could be
accomplished, the thickness of the SiN film would be infinitely thinned.
However, in reality, the SiN film is polished and dishing occurs. With the
present ability of CMP, the thickness of the stopper film will be around
100 nm. To reduce the film thickness, several kinds of slurries that have a
high selected ratio of the SiN film and the oxide film and good step-relaxation
properties have been developed.
Scratch
Delamination
Porous low-k issue-2
FIGURE 7.133 Delamination of Cu film. (From Nobuo Hayasaka, Selete Sympo-
sium, May 28, 2002.)
S
LT
D
Aspect ratio = L /S
D = const.
S = const.Si
SiN
SiO2
Void in HDP-SiO2 film
FIGURE 7.134 The aspect ratio of the trenches for STI. The aspect ratio of a trench
can be minimized by the reduction of the thickness of the stopper film.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 450 23.10.2006 6:44pm
450 Handbook of Lapping and Polishing
Next, the state of the development of slurry will be described. The slurries
developed in STI are broadly classified into silica-based slurry and ceria-
based slurry. As ceria-based slurry can polish oxide films at a high polishing
rate, it has attracted attention from its early stages as the slurry for the CMP of
oxide films. However, it has several problems to be solved, such as high
manufacturing costs, purity, and the occurrence of scratches. Therefore, in
recent years, some manufacturers have made efforts to improve slurry,
including the minimization of scratches, by the improvement of additives
contained in the slurry, and by cost reduction. Furthermore, as this slurry has
good step-relaxation properties and a high selected ratio of the SiN film, it has
been prevailing as the slurry for STI-CMP. On the other hand, in the case of
silica-based slurry, few scratches occur. As these slurries have a low selective
ratio to the stopper film (SiN film), it has a disadvantageous factor for the
reduction of the thickness of the SiN film. For these reasons, the number of
manufacturers who use silica-based slurry for STI-CMP is decreasing.
Lastly, the examples of the evaluation of STI-CMP will be described.
Figure 7.136 shows the change in the thickness of an SiN film, an oxide film
(a) Before STI-CMP
(b) After STI-CMP
FIGURE 7.135 Cross-sectional SEM images before and after the STI-CMP process.
(From Andreas Romer et al., Proceeding of CMP-MIC, 2000.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 451 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 451
on the field, and an oxide film on the active portion when polished by a silica-
based slurry. Here, the polishing rate on the active portion is initially a little
higher than that on the field. At this time, sufficient flatness must be secured
until the oxide film on the SiN film is removed. Furthermore, after just
polishing, the progress of polishing is inhibited by the SiN film. However,
since here, dishing occurred because the oxide film on the field has been
polished. The value of dishing produced here must minimize to a value lower
than the thickness of SiN film.
7.4.4.2 Tungsten CMP
The development of tungsten CMP leads to the prevention of erosion in the
contact areas and the application to the damascene gate-forming process
[75,76]. The erosion in the contact areas has become a serious problem with
the miniaturization of devices. The reason for this is that erosion leads to Cu
residue on first layer after Cu-CMP in the next process.
On the other hand, W-CMP is applied to the damascene gate process. In
the damascene gate process, after a trench of a gate shape, as Figure 7.137
shows, a W film is formed, and a gate electrode is formed using W-CMP.
The occurrence of dishing at this time caused the defective operation of
the transistor. For this, in W-CMP, the development of new polishing
methods and slurries has been expedited to cope with dishing and erosion.
7.4.4.3 Cu and Low-k CMP
In Cu-CMP, the development of slurries and pads, other than processing
apparatuses, is important. In fact, even if the same apparatus is used under
the same conditions, the polishing properties are dramatically changed if
different slurries or different pads are used.
SiN = 170 nmHDP = 600nmOxide = 100 nm
Si-etch = 280 nm
HDP = 155 nm
0
2000
4000
6000
8000
0 20 40 60 80 100
Polish time (sec)
Film
thic
knes
s (Å
)SiN on activeHDP on activeHDP on field
Just polish
Dishing
SiN loss
FIGURE 7.136 The change in the thickness of an SiN film, an oxide film on the field,
and an oxide film on the active portion when polished by a silica-based slurry.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 452 23.10.2006 6:44pm
452 Handbook of Lapping and Polishing
At present, two-step polishing is prevailing in Cu-CMP process. Different
slurries are used in the first step and the second step [77]. (The Cu film is
polished in the first step, and the barrier film is polished in the second step.
Refer to Figure 7.138.) This is because the hardness and reactivity of the Cu
film and the barrier film are absolutely different. Therefore, the performance
required here differs in every polishing step. Here, the state of the develop-
ment of Cu-CMP for each polishing step will be described.
In the first step, a Cu film of a thickness between 0.5 and 2 mm is
simultaneously polished. As a large amount of Cu film must be removed,
(1) Dummy gate
DrainSourceSTI
CoSi2 CoSi2(2)
(3) CMP
Gate groove
Metal gate
CMP
Gate insulator
PMD(SiO2)
(4)
(5)
(6)
FIGURE 7.137 Process flow of the damascene gate transistor. (From Matsuda, S.,
et al., Proceeding of 2001 Symposium on VLSI Technology Digest of Technical
Papers, Kyoto, 64, 2001.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 453 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 453
a high polishing rate and good distribution are required, and at the same time,
dishing and erosion must be prevented. To prevent erosion, the selectivity
between the Cu film and the barrier film is important. If the selectivity is high,
even when the polished quantity is excessive, as Figure 7.139 shows, erosion
can be prevented. The selectivity differs depending on the kind of the slurry.
Therefore, the selection of the slurry is important for the development of
Cu-CMP. Particularly in recent years, a polishing fluid composed of a solu-
tion containing no abrasive grains, and abrasive-free polishing (AFP), which
polishes with a conventional urethane foam pad, has been developed [78,79].
A high selectivity has thereby been accomplished. On the other hand, dishing
occurs in the initial step of the plating film (over plating) and in the excessive
polishing process. Generally in the first step of polishing, the steps after
forming films must be relaxed. If the step-relaxation ability is high, the effect
of the steps formed in the initial stage of plating can be minimized. Therefore,
to prevent dishing, the step-relaxation ability must be secured, for example,
by optimizing the hardness of the pad. Also to minimize the dishing under
Cu electroplated film
Low k
SiC
Barrier (TaN)
First step: Cu film removal
Second step: barrier removal
FIGURE 7.138 The two intrinsic steps in Cu-CMP. (Over polish occurs at first step
and second step, due to nonuniform removal rate within wafer.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 454 23.10.2006 6:44pm
454 Handbook of Lapping and Polishing
overpolishing process, the time of overpolishing must be shortened. For this
purpose, a good within a wafer (WIW) uniformity is required, because poor
uniformity leads to long overpolishing, resulting in large dishing. To secure
good WIW uniformity, the pressurizing mechanism of the processing head
itself has been improved, and the type or the shape of the groove of the pad
has been optimized as Figure 7.140 shows. The improvement of the process-
ing head has been tried by providing a pressurizing mechanism to the retainer
portion, as Figure 7.141 shows, and by adopting a zone control mechanism.
In the second step, the selectivity is critical. The selectivity also differs
depending on slurries. The slurries presently used in the second step are broadly
Just polish in First step
Over polish
Using high selective slurry
FIGURE 7.139 The dishing and the erosion after over polish treatment of first step
using high selective slurry.
IC1000/S400 (KG) IC1400 (KG) IC1000/S400 (XY)
Slu
rry
AS
lurr
y B
−150 −100 −50 0 50 100 150
Pol
ishe
d th
ickn
ess
(au)
−150 −100 −50 0 50 100 150
Pol
ishe
d th
ickn
ess
(au)
−150 −100 −50 0 150
Pol
ishe
d th
ickn
ess
(au)
−150 −100 −50 0 50 100 150
Pol
ishe
d th
ickn
ess
(au)
−150 −100 −50 0 50 100 150
Pol
ishe
d th
ickn
ess
(au)
−150 −100 −50 0 50 100 150
Pol
ishe
d th
ickn
ess
(au)
50 100
FIGURE 7.140 Polishing profiles of several kinds of the pads using slurry A and B.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 455 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 455
classified into high selective slurry and low selective slurry. Figure 7.142
summarizes polishing stages using high selective slurry and low selective
slurry. As high selective slurry polishes only the barrier film, the wear of the
Normal YATOI Floating head
Improvement of edge profile Air-back system
FIGURE 7.141 Evolution of polishing head (EBARA).
Cu electroplated film
SiO2
First step: Cu film removal
SiO2 SiO2 SiO2
Second step
(1) Under polish (2) JUST polish (3) Over polish
SiO2
SiO2
SiO2
SiO2 SiO2
SiO2
(1) A (fatal error) (2) A (good) (3) A High selective slurry: R.R. (barrier) >> R.R. (Cu, SiO2)
Low selective slurry: R.R. (Barrier) = R.R. (Cu, SiO2)
(1) B (good) (2) B(good) (3) B
FIGURE 7.142 Polishing stages using high selective slurry and low selective slurry of
second step polish. In the case of low selective slurry, it cannot be applied to the ultra-
low-k film, as this slurry polished all the films simultaneously.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 456 23.10.2006 6:44pm
456 Handbook of Lapping and Polishing
oxide film or the low-k capping film is extremely small. Therefore, when a
low-k film is applied, the damage of the low-k film itself can be minimized.
However, as the Cu film is polished a little, if residual Cu film remains after
the first polishing, the second step slurry cannot remove it. On the other hand,
as the low selective slurry polishes the Cu film simultaneously with the
barrier film and the oxide film, the residual Cu film after the first step can
be removed easily. However, because this slurry polished all the films
simultaneously, it cannot be applied to the low-k film.
Next, the application examples of the test element group (TEG) wafer
will be introduced. Figure 7.143 shows the cross-sectional profiles after
polishing (second step polishing). In these wafers, in the 10 mm line, 30
nm dishing occurs; and in 0.2 mm=0.2 mm, 10 nm erosion occurs. These
CMP processes are evaluated to the low-k film of the level of k¼ 2.8. A
wiring-shaped TEG was fabricated, and the wiring resistance within a wafer
was measured using the four-terminal method. The results are shown in
Figure 7.144. In this figure, every rule showed an even value for the
wafer. Although the resistance is partly increased at 0.16 mm, this is because
the OPC was not corrected sufficiently. Furthermore, for a low-k film
(porous film) of the k¼ 2.2 level, research and development is conducted
at a number of research institutions [80]. Here, as the strength of the low-kfilm itself is low, the delamination of the film occurs. Therefore, the
improvement of abrasive grains, the reduction of friction force (including
the development of new slurry) and the development of electrolytic polish-
ing, as well as the optimization of polishing conditions, must be carried out
from the new point of view.
L = 0.20 µm
L = 10 µm
Dishing = 30 nm
Erosion = 10 nm
FIGURE 7.143 Cross-sectional profiles after polishing. (Second-step polishing was
treated using high selective slurry.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 457 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 457
7.4.5 DEVELOPMENT OF ENDPOINT DETECTION METHOD
The method for endpoint detection (EPD) is an important technology for the
process control of CMP. The methods for monitoring the endpoint differ
depending on the subjective processes and patterns, and in application to
CMP, they often depend on the know-how of process engineers.
Presently prevailing EPD technologies are broadly classified into three
methods, as shown in Figure 7.145. The first method is the torque current end
point detection. The principle of this method is to monitor the friction force
generated between the surface to be polished and the pad during polishing.
Actually, this is the method to detect a torque current generated when a platen
is rotated at a constant speed, and this method is used in W-CMP and
0
20
40
60
80
100
0 10 20 30 40 50Resistance (kΩ)
Dis
trib
utio
n (%
)
190 nm trench
180 nm trench
160 nm trench
FIGURE 7.144 Cu wiring resistance within a wafer.
Endpoint detection
Schematic
Torque current Eddy current
Magnetic field
Exciting coil Transparent
Reflected lightIncident light
In the case of oxide, the intensity of reflectedlight is determined by interface phenomenon
Detecting coilCurrent
Current = F (thickness of Cu film)
SiO2Cu CuPad Pad
SiO2
Optical
Driving motor
W-CMPSTI-CMP
Cu-CMP(W-CMP)
Cu-CMPSTI-CMP(W-CMP)
Torque current
Application
FIGURE 7.145 Typical endpoint detecting methods of the CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 458 23.10.2006 6:44pm
458 Handbook of Lapping and Polishing
STI-CMP. The second method is the endpoint detection by eddy current,
which uses sensors buried under the pad. Two spiral coils are buried under the
pad, one of which is made to generate magnetic force, and the metal film on
the wafer is made to generate an eddy current. This eddy current generates a
new magnetic field, and this magnetic force is sensed by another coil. As this
magnetic force is proportional to the thickness of the metal film (such as
Cu), the film thickness can be measured. The third method is the optical
endpoint detection, in which laser or light beams are directly radiated onto
the surface to be polished (wafer surface), and the change in the reflectance
is observed. As a specific example, although Cu has a high reflectance, it
decreases when a barrier or an insulating film appears as removed Cu film by
the CMP process. This difference in reflectance directly reflects information
from the surface to be polished, and the endpoint can be detected at a high
accuracy. However, with increase in the number of layers of interconnects,
as the reflected components from the underlying layers affect the measure-
ment concurrent, the detecting accuracy may be lowered. In this case, the
above-described torque detecting method or eddy current method may be
used in combination.
The prevailing endpoint detecting methods were described above. Actu-
ally, the detection of the endpoint cannot be said to be a completed technol-
ogy, as the optimal detecting method changes depending on the quality of the
film and the pattern of the devices. Therefore, it is in the present situation that
the know-how acquired by CMP engineers is freely used to optimize the
endpoint detecting methods for each process.
7.4.6 FUTURE PROSPECTS
The challenge of the CMP technology is the introduction to low-k films.
When the dielectric constant is lowered, the strength of the film itself is
weakened. Therefore, in CMP, the development of the so-called ‘‘soft pol-
ishing,’’ which prevents the damage of the film itself, will be required in the
future. Here the introduction of low-pressure polishing, and the development
of a polishing system, slurry, and pads making erosion and dishing approach
limitlessly to zero will be required.
The CMP technology still promotes the miniaturization of semiconductor
devices. This is because the improvement of the polishing technology by
polishing apparatuses, slurries, and pads achieved high processing accuracy
that endures miniaturization. However, for CMP to be more diffused in the
future, the reduction of the costs and the improvement of yield are required.
For this purpose, problems such as the reduction of costs for slurries and pads,
the cleaning method after CMP, and the production of dust in clean rooms
must be solved in the future. The further improvement of CMP technology is
expected for the development of mass-production technology in the 65 nm
generation and beyond.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 459 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 459
7.5 THIN FILM MAGNETIC RECORDING HEADSMASANOBU HANAZONO
7.5.1 STRUCTURE AND READ AND WRITE MECHANISM OF THIN FILM
MAGNETIC HEAD
Thin film giant magnetoresistive (GMR) read and inductive write magnetic
heads are now widely used as the key devices to support the increasing
demand of area density for the hard disk drive (HDD) systems. Figure 7.146
shows the progression of increasing area density in magnetic recording HDD
systems over the past 30 y [81]. From Figure 7.146 we can realize that since
the last 10 y, area density of HDD systems has sustained an annual increase as
high as 100%. These increases in area density have been supported by the
improvements of
. Thin film heads (TFH) with an air bearing surface, called sliders
. Rotating disk coated with a thin film medium
. Spindle motor to drive the disk
. Electromagnetic voice-coil rotary actuator to move the slider across
the surface
Figure 7.147 illustrates an overview of a HDD system.
TFH was developed through thin film processing technologies, similar
to that used in the fabrication of ULSI semiconductor devices [82]. TFH
fabrication processing activities are conducted in a clean room facility with
1970
10-fold in 10 y
100-foldin 10 y
Area recording density
0.1
1
10
100
1,000
10,000
100,000
Year1980 1990 2000
FIGURE 7.146 Trend of area density for hard disk drive systems.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 460 23.10.2006 6:44pm
460 Handbook of Lapping and Polishing
capabilities for electroplating, fine line photolithography, reactive etching,
ion milling, chemical mechanical polishing (CMP), thin film metal and
insulator depositions, and wet etching. The read and write heads are fabri-
cated on ceramic substrates (wafers). After wafer processing, the substrate is
sliced to individual bars using a diamond wheel. They are then finished using
an advanced lapping process with individual head close-loop control. Finally,
the bars are diced into individual heads (called sliders) using a diamond
wheel. These processes are schematically shown in Figure 7.148.
Figure 7.149 shows a plain view of the TFH. Figure 7.150 shows a
schematic structure of the multilayered TFH and the roles of each layer.
From this figure we can see the read and write TFH consisting of an
electromagnetic coil writer head and a GMR reader head. In an inductive
writer, the electromagnetic coil induces the magnetic flux in the loops (poles)
and then the induced magnetic field between two pole tips (write gap) writes
information on the disk. In a GMR reader, the magnetic field from the
disk changes the resistance of the GMR sensor and this resistance change
indicates the transition information. The GMR sensor gets the data back by
seeing the vertical magnetic field transition from the disk. The distance
between the two shields is referred as the read gap. This determines the linear
density of recording.
Figure 7.151 shows a cross-sectional view of a TFH at the air-bearing
surface of the slider. A typical GMR sensor stack has a total thickness of
420 A. This stack consists of multilayers, antiferromagnetic exchange layer
(Ni–Mn), magnetically pinned layer (Co–Fe), conductor (Cu), diffusion bar-
rier (Co–Fe), magnetically free layer (Ni–Fe), and tantalum for oxidation
protection [83]. Figure 7.152 shows the precisions of these multilayers,
Media
Head
FIGURE 7.147 Overview of HDD system. (Courtesy of Hitachi Ltd.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 461 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 461
including typical thickness of each layer. From this figure, we can realize that
the TFH structure consists of more than 15 materials. Each layer is defined by
photolithography and additive processing. The simplified TFH fabrication
process flows are summarized in Table 7.16. From this table we can realize
that the fabrication of TFH includes five CMP process steps.
To keep doubling the area recording density annually, the critical dimen-
sions (read and write feature size) in TFHs must decrease. This is obtained by
actively shrinking the device dimensions and developing the new magnetic
materials. The critical dimensions in the read and write heads have become
Wafer (fabricate TFH)
Row bar
(row slice)
Thin film head
(cut/slice for each device)
Assemble to slider
(gimbal assembly)
Raw substrate
FIGURE 7.148 Thin film head assemble process.
Coil
Magnetic pole
FIGURE 7.149 Plane view of thin film head. (Courtesy of Hitachi Ltd.)
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 462 23.10.2006 6:44pm
462 Handbook of Lapping and Polishing
smaller than those in the semiconductors [84]. To realize these requirements,
the application of CMP is an essential process for the planarization of many
layers [85–87].
In Table 7.16 and Figure 7.153, potential steps for application of CMP
treatments are shown. From these, we can identify five portions requiring
CMP treatment. Each CMP process is discussed in the following section.
7.5.2 CMP PROCESS FOR THIN FILM MAGNETIC HEADS
7.5.2.1 Smoothing of Alumina Basecoat Film Surface
AlTiC (64% Al2O3, 36% TiC) is a typical advanced ceramic material used for
the TFH-fabricating wafer substrate. The surface of this ceramic material is not
smooth enough for photolithography of less than 1 mm definition devices.
Followed by the sputter deposition of the thick (3 mm) Al2O3 film, the CMP
treatment of the Al2O3 film surface is performed to obtain the ultraflat surface
Recording media
Top poleWrite coil
Bottom pole/top shieldBottom shield
GMR sensorMagnetization
FIGURE 7.150 Thin film head schematic.
Read track width (GMR)
GMR sensor and leads
Bottom pole/top shield
Top pole
Write track width (inductive)
FIGURE 7.151 Cross-sectional view of a thin film head at the air bearing surface.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 463 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 463
required for photolithography processing. This process also provides electrical
isolation from the substrate. This step is shown in Figure 7.154. Because the
bottom shield is plated on the basecoat, as is illustrated in Figure 7.153, reduced
surface defects and roughness in the basecoat result in excellent magnetic
properties for the bottom shield. For Al2O3 CMP slurry, an alkaline solution
was selected for high removal rate of Al2O3 and low removal rate of Ni–Fe.
This slurry was also applied for Al2O3 film CMP in the following CMP.
7.5.2.2 Bottom Shield CMP
The process flow of the bottom shield is illustrated in Figure 7.155. In this
figure, steps 1 through 6 are called the frame plating method. This process was
specially developed for the fabrication of the TFH to obtain high-resolution
patterns, even if more than micrometer order of thickness multilayers were
AI2O3 overcoat 20 µm
AI2O3Co–Ni–Fe
Top pole 2 µm
Ni–FeBottom pole/top shield 2 µm
AI2O3
AI2O3 gap 300Å
AI2O3 gap 300 Å
AI2O3 gap 300 Å
AITiC substrate 1.2 mm
Readercontact
andPM
Readercontact
andPM
Ta 50 Å
Ta 35 Å
Co–Fe 25 Å
Co–Fe 15 Å
Cu 28 Å
Ni–Mn 200 Å
Ni–Fe 40 Å
Ni–FeBottom shield 2 µm
FIGURE 7.152 Precision of multilayers for TFH from bottom to top at the air-bearing
surface.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 464 23.10.2006 6:44pm
464 Handbook of Lapping and Polishing
laminated. The sputter-deposited Al2O3 film was CMP treated to obtain global
planarization through the whole substrate. This CMP process was performed
by two steps. Step 1 is Al2O3 CMP to quickly remove the isolation. Step 2 is
metal CMP to polish Ni–Fe surface. The CMP process smoothes the Ni–Fe
surface and reduces Ni–Fe protrusion. For Ni–Fe CMP slurry, an acid base
solution was selected to ensure a high selectivity of Ni–Fe=Al2O3.
7.5.2.3 Bottom Pole and Top Shield CMP
This process is similar to the bottom shield process as illustrated in Figure 7.156.
To obtain superior properties of TFH, the application of Co–Ni–Fe materials
have garnered recent attention in the marketplace. This material is much more
chemically active compared to the conventional Ni–Fe material. To obtain
satisfactory results, modification of CMP slurry or adjustment (for example
pH) of CMP process conditions might be required.
TABLE 7.16TFH Fabrication Process Flow and Requirements for CMP
Step
Number Purpose Material
Thickness
(Typical)
CMP
Applied
Step Effects of CMP
1 Substrate AlTiC 1.2 mm
2 Basecoat Al2O3 2 mm CMP Substrate roughness repair
3 Bottom shield Ni-Fe 2 mm CMP High selectivity Al2O3=Ni–Fe
to reduce step height and
stabilization of GMR
sensor
4 Read gap 1 Al2O3 30 nm
5 GMR sensor Co–Fe 45 nm
6 Read gap 2 Al2O3 30 nm
7 Bottom pole=
top shield
Ni–Fe 3 mm CMP Step height reduction for
narrow track width write
head
8 Write gap Al2O3 ~0.2 mm
9 Inductive coil Cu 2 mm CMP High selectivity Cu=organic
insulator to fabricate
write coil
10 Upper pole Ni–Fe 3 mm
11 Over-coat Al2O3 20 mm CMP High selectivity Al2O3=Cu
to fabricate outer lead
Cu pad
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 465 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 465
7.5.2.4 Cu Damascene Process
To fabricate a high signal speed semiconductor, application of Cu damascene
process has been enthusiastically adopted. Recently, abrasive-free Cu-CMP
slurries have been developed. This new slurry is well understood to reduce
dishing and erosion for fine and large feature pattern. Application of this Cu
slurry for the fabrication of write Cu coil of TFH, as is shown in Figure 7.157,
revealed reduction of dishing and erosion less than half compared with
conventional particle-containing slurries. On the basis of this data, application
of abrasive-free Cu slurries for TFH is now under development. To narrow
the coil pitch photo process extendable, additional CMP treatment of Al2O3
film will also be revealed.
Top poleCMP-4
CMP-5
CMP-3
CMP-2
CMP-1
AITiC substrate
Bottom shieldGMR sensor
Bottom pole/top shield
FIGURE 7.153 Cross-section schematic of thin film head.
AITiC substrate
Alumina base coat
AI2O3 surface CMP
Surface roughness
FIGURE 7.154 Al2O3 base coat surface CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 466 23.10.2006 6:44pm
466 Handbook of Lapping and Polishing
7.5.2.5 Overcoat CMP
After Al2O3 overcoat deposition, a CMP process step is performed for two
purposes. One is to open up Cu studs for the connection with outer circuits.
The other is to bring the overcoat thickness into specification. After this CMP
Seed layer deposition (Ni–Fe)
Photo-resist patterning (dam)
Bottom shield plating (Ni–Fe)
Strip photo-resist dam
Etch mask patterning
Field etch
AI2O3 deposition
AI2O3 CMP
FIGURE 7.155 Bottom shield CMP.
AI2O3 deposition
AI2O3 CMP
FIGURE 7.156 Bottom pole and top shield CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 467 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 467
treatment, gold plating is done to ease the bonding process. Schematics of this
process are shown in Figure 7.158.
7.6 CMP OF COMPOUND SEMICONDUCTOR WAFERSTOSHIRO K. DOI
Conventionally, mixed solution of bromine (Br) and methanol or liquid
solution of sodium hypochlorite (NaClO) has been used for the polishing of
compound semiconductor wafers such as GaAs, InP, and CdTe [88]. As these
Photo-resist patterning and Cu plating
Cu-CMP and photo-resist remove
FIGURE 7.157 Patterning of Cu conductor by CMP.
Overcoat AI2O3 deposition
AI2O3 CMP and Au plating
Pad (Au)
FIGURE 7.158 Al2O3 overcoat CMP.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 468 23.10.2006 6:44pm
468 Handbook of Lapping and Polishing
solutions have a short life as polishing reagents and are hazardous chemicals
with acute oxidization and strong odor, a specially designed polishing
machine with special working environment and facilities is required, which,
however, poses a large problem to the polishing work.
In the following text, CMP characteristics of compound semiconductors
observed using new high-quality slurry, which is practically harmless, devel-
oped on the basis of the polishing mechanism of CMP or MCP are mentioned.
7.6.1 POLISHING CHARACTERISTICS OF GAAS CRYSTAL WAFERS
Nontoxic sodium bromite (NaBrO2) that is used as a starch-off agent in textile
processing or as a slime control agent of industrial water is inexpensive, and
features mild oxidization as it does not generate hazardous gas [89].
When disk-type chemical polishing is done using only sodium bromite, a
membrane (stains) that is a reaction product tends to remain on the processed
surface causing the rough surface. By adding nonionic solution (DN agent)
that has an interface osmotic function to the bromic acid natrium solution,
such membrane can be expanded facilitating easy removal of the same, which
leads to a successful production of a smooth flat surface. Reaction products
are positively removed if fine particles are mixed in such solution, resulting in
a high efficiency polishing. Figure 7.159 shows relations of surface roughness
00
10
Pol
ishi
ng r
ate
(µm
/h)
20
30
40
0.1
SiO2 particle size (µm)
Polisher (pad): resim
agent:
Agent: (B)
(NaBrO2 aq.
+DN agent.
+SiO2
particles)
Polishing
0.2 0.30
20
Sur
face
rou
ghne
ss (
Å R
y)
40
60
80
FIGURE 7.159 Relation between SiO2 particle size and polishing rate and surface
roughness (specimen: GaAs).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 469 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 469
of GaAs crystals with CMP rate using NaBrO2 solution (containing 0.6%
NaOH) to which DN agent and SiO2 fine particles are added [90]. As the size
of SiO2 particles becomes large, polishing speed increases. This mixed-type
slurry produces the surface of GaAs crystal to a smooth surface with a
roughness below 10 A Ry.
On the basis of these polishing characteristics, processing mechanisms
[90] are summarized as follows:
1. Both Ga and As are oxidized by NaBrO2 solution, and produce gallium
oxide (Ga2O3) and arsenic pentoxide (As2O5), respectively, as reaction
products
2GaAsþ 4NaBrO2 þ 4NaBr! Ga2O3 þ As2O5 þ 8NaBr (7:37)
2. Generated As2O5 immediately turns into natrium arsenate (Na3As2O5)
by NaOH
As2O5 þ 6NaOH! 2Na3As2O4 þ 3H2O (7:38)
3. Meanwhile, Ga2O3 turns into gallium hydroxide Ga(OH)3 by alkali
hydroxide
Ga2O3 þ 6OH ! 2Ga(OH)3 þ 3O2 (7:39)
This Ga(OH)3 easily dissolves in natrium hydroxide.
4. The above chemical reactions are further promoted by the mechanical
actions of the added particles and the friction heat of the processed
surface while the above three reactions and the surface-active penetra-
tion function driven by DN agent are acting.
From the above polishing mechanism of GaAs, it is basically understood
that even the slurry consisting of the oxidant, to which small amount of alkali
hydroxide is added, is effective for the polishing of GaAs crystals. Of course,
it is also essential to produce high-quality surface by adding DN agent having
interface penetration function to the above slurry that facilitates the removal
of reaction products (stains and membranes).
Figure 7.160 shows polishing characteristics obtained by polishing
with simple slurry consisting of H2O2 to which a small amount of NaOH
and DN agent is added [90]. The polishing rate becomes the maximum at
pH 10, when similar surface quality to that of NaBrO2 solution is obtained.
However, although SiO2 fine particles are added, the increase in the
polishing rate was not so notable as with NaBrO2 because presumably
reaction products were not formed on the surface as firmly as they were
with NaBrO2.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 470 23.10.2006 6:44pm
470 Handbook of Lapping and Polishing
7.6.2 POLISHING CHARACTERISTICS OF CDTE CRYSTAL WAFERS
As opposed to the above-mentioned GaAs crystal that is a compound semi-
conductor of III–V group, CdTe crystal is a compound semiconductor of
II–VI group and is drawing attention as substrates especially for infrared
and radiation detectors, light emitting diode, and solar battery. As is the
case with GaAs crystal, CdTe is very soft due to its prominent cleavages
with a Mohs hardness of 2.8 as against 4.5 of GaAs.
On the basis of the polishing mechanism of GaAs crystals, a guiding
principle for the selection of slurries for CdTe crystals is studied, which
indicates that polishing reagents that can oxidize CdTe surface should be
selected. Assuming it is the basic mechanism that the oxides such as CdTeO3
generated by the slurry are removed with a pad or fine particles, we focus here
on the oxidizing breach that is harmless and used also at home.
Figure 7.161 shows polishing rates of CdTe crystals versus slurries with
oxidizing bleache as a main solution [91]. From the microscopic observation
of the processed surface, the slurries to which DN agent is added, as used in
the chemical compound polishing of GaAs wafers, produced the surfaces
more uniform than others without DN agent. However, the effect of DN
agent was not so remarkable as that of GaAs crystals. The roughness of the
processed surfaces is below 20 A Ry, which means that compared with the
surfaces processed with the conventional slurries, better surfaces are effi-
ciently obtained.
30
50
Pol
ishi
ng r
ate
(µm
/h)
100
150 Slurry
5 7
pH value of slurry
Polishing pressure: 250 g/cm2
Polishing conditions
H2O2 + NaOH +DN agent (1 vol%)
H2O2 + NaOH(without DN agent)
Pad: artificial leather
9 11 13
FIGURE 7.160 An example of polishing characteristics of GaAs by using another slurry
containing H2O2 (oxidizing agent), NaOH (alkali), and DN agent (nonionic solvent).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 471 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 471
From the polishing experiments and results of chemical analyses con-
ducted so far, processing mechanism in the chemical compound polishing of
CdTe single crystals [91] is explained as follows (Figure 7.162).
Firstly, CdTe surfaces should be made apt to oxidization using DN agent,
and then CdTe wafer surfaces should be oxidized with oxidizing bleach.
Subsequently, some reaction products are produced on the surfaces. Such
oxide film or reaction products are removed by the mechanical actions of the
abrasives and pad. High-quality surfaces are created by repeating the above
process sequentially.
0
10
Pol
ishi
ng r
ate
(µm
/h)
50
60
70 Pad: artificial leather (ϕ300mm)
Pressure: 240 gf/cm2
[A]: 400 Å-SiO2 abrasives (2 wt%) + water
[B] : [A] + DN agent (1 vol%)
[C] : [A] + Oxidizing bleacher (5 wt%)
Revolution: 40 rpm (relative speed: 28m/min)
[B][A]
Slurry
[C] [D]
[D] : [A] + Oxidizing bleacher (5 wt%) + DN agent (1 vol%)
FIGURE 7.161 Polishing rate by various slurries (workpiece: CdTe).
Surface state which is easily oxidized
DN agent
Effect of DN agent
Oxidizing agent(bleacher) Abrasive
Oxidized file /reaction product
1 Effect of oxidizingagent
2 Effect of mechanicalwork by abrasives
CdTe substrateCdTe substrate
Pad
CdTe substrate
3
FIGURE 7.162 Process mechanism for chemical compound polishing of a CdTe
single-crystal substrate consisting of oxidizing agent (bleacher), DN agent, and fine
SiO2 abrasives.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 472 23.10.2006 6:44pm
472 Handbook of Lapping and Polishing
Polishing mechanism of chemical compound slurries has been discussed
above, taking GaAs and CdTe crystals as examples. The mechanism is found
to be basically similar to the mechanism of the mechanical chemical com-
pound polishing of Si crystals.
The following are the summary of the basic mechanical chemical com-
pound polishing of semiconductor crystals in a sequential order:
1. Abrasive concentrations and polishing pressures including others to be
within the given conditions (tools and abrasives, etc. to work on the
work surface)
2. Chemical reactions with polishing reagent (polishing reagent should
react chemically with crystals)
3. Generation of soft reaction products (should be in a state of easy
removal)
4. Removal of reaction products (aggressive removal by fine particles)
In the case of compound semiconductors (particularly GaAs), addition
of solutions like DN that has interface penetration functions is effective
in the third stage. In the fourth stage, besides removal of reaction
products by the fine particles (or pad), chemical actions generated in
the second stage are promoted by the exposure of new surface and friction
heat.
REFERENCES
1. T.K. Doy. Details of Semiconductor CMP Technology (in Japanese), Kogyo-
chosakai Publishing co., Ltd., Tokyo, Japan, 2001.
2. C.W. Kaanta, et al. Proceedings of the VLSI Multilevel Interconnects Conference,
144, 1991.
3. T.K. Doy, et al. Proceedings of the Third International Symposium on AdvancedScience and Technology of Silicon Materials, 2000.
4. T. Karaki. Wet-type mechanochemical polishing of Si wafers. Tool Engineer, 24
(1984) 38–45 (in Japanese).
5. W. Kern, et al. Cleaning solutions based on hydrogen peroxide for use in silicon
semiconductor technology. RCA Review, 31 (1970) 187–206.
6. M. Bohr. International Electron Devices Meeting Technical Digest, IEEE, New
York, 1995, p. 241.
7. T. Sakurai and K. Kato. A new complementary dielectric isolation process for
high-voltage devices. IEEE Trans. Elect. Dev., 28(10) (1981) 1199.
8. F. Ohira, T. Karaki, and J. Watanabe. Abrasive machining system for dielectric
isolated substrates, ECS 165th Spring Meeting, 1984, pp. 178–179.
9. T. Karaki, et al. New automatic polishing machine for silicon wafers (in Japanese),
JSPE Spring Meeting, 1984, pp. 753–754.
10. The Planarization CMP Technical Committee, JSPE Planarization, CMP and ItsApplications, vol. 5 (2002).
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 473 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 473
11. Y. Seike, S. Kawashima, K. Miyachi, and T.K. Doy. A development of super high-
pressure microjet system for pad dressing and post-CMP cleaning in CMP pro-
cess. Proc. VMIC, (2002) 411–414.
12. T. Doi and M. Kinoshita (Ed.). A Library of CMP planarization technology and
application, Global Net Corp., Tokyo, 2006, p. 4 (in Japanese).
13. A. Philipossian, et al. Role of slurry flow rate and solids content on critical
tribological and fluid dynamics attributes of ILD CMP, Annual Spring Conven-tion, Japan Society of Precision Engineering, March 2002.
14. S. Hamamoto, et al. Influence of surfactants on polishing performances of CMP
slurry, Report of Cooperative Research Center, Saitama University, No. 2, 2001.
15. M.L. Free, et al. Using surfactants in iron-based CMP slurries to minimize
residual particles. Micro, May (1998) 29.
16. T. Detzel, et al. Comparison of the performance of slurries for shallow trench
isolation processing, CMP-MIC, 1997, p. 202.
17. M. Hanazono, et al. Why CeO2 is promising for STI? CAMP 2001, Clarkson
University.
18. K. Devriendt. Shallow trench isolation, the process and its integration issues, SemiEducation, Advances in CMP Technology Course, Semicon Europe, 2001.
19. P. Leduc, et al. Aiming for perfect planarization, CMP-MIC, 2002.
20. B.A. Bonner, et al. Improved direct polish STI CMP process with high selec-
tivity slurry, reduced microscratching and increased productivity, CMP-MIC,
March 2002.
21. K. Namiki, et al. Tungsten CMP process. Ebara Engineering Review, 183 (1994) 63.
22. R. Lum, et al. Oxide erosion characterization of a tungsten CMP process, CMP-MIC, 1999, p. 207.
23. K. Wijekoon, et al. Tungsten CMP process developed. Solid State Technology,
April 1998.
24. M. Peterson, et al. Fourth Generation W Damascene Slurry, CMP Technology forULSI Interconnect, SEMICON West 2000, Q-1.
25. S. Gaghavan, et al. Periodate as an oxidant for tungsten CMP, NSF I=UCRC
Center for Microcontamination Control at Arizona and Rensselaer, CMPUG,
October 2001.
26. J.M. Steigerwald, et al. Chemical Mechanical Planarization of MicroelectronicMaterials, John Wiley & Sons, New York, 1997.
27. H. Hirabayashi, et al. Chemical mechanical polishing of copper using a slurry
composed of glycine and hydrogen peroxide, CMP-MIC Conference, 1996, 100.
28. H. Hirabayashi, et al. Development of slurry for Cu-CMP using quinaldic acid,
1998 Autumn JSAP Annual meeting, and 2000 Autumn JSAP Annual meeting,
Japan Society of Applied Physics (in Japanese).
29. K. Wijekoon, et al. Chemical mechanical polishing of Copper-CVD low k films: A
comparison of selective and non-selective processes, VMIC, November 2001.
30. S. Kondo, N. Sakuma, Y. Homma, Y. Goto, N. Ohashi, H. Yamaguchi, and N.
Owada. Abrasive-free polishing for copper damascene interconnection.
J. Electrochem. Soc., 147 (2000) 3907.
31. J. Amanokura, et al. Development of new abrasive free copper CMP solutions
based on electrochemical and film analysis method, MRS, 2002.
32. S. Ki, et al. A low cost and residue-free abrasive-free copper CMP process with
low dishing, erosion and oxide loss, IITC 2001=IEEE.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 474 23.10.2006 6:44pm
474 Handbook of Lapping and Polishing
33. D. Jams. Pad properties during polishing and their effects on polishing per-
formance, CAMP Sixth International CMP Symposium, Clarkson University,
August 2001.
34. D. James. Control of polishing pad physical properties and their relationship to
polishing performance, CAMP Fifth International CMP Symposium, Clarkson
University, August 2000.
35. T. Nishioka. Influence of stress distribution for CMP (in Japanese), TribologyConference, 1997.
36. D.L. Hetherington, et al. Optimizing dielectric CMP planarization processes,
Proceedings of CMP-MIC 2000 Conference, March (2000) 339.
37. M.R. Oliver, et al. CMP pad surface roughness and CMP removal rate, ECS FallConference, Phoenix, Arizona, October 23, 2000.
38. A.S. Lawing. Polishing rate, pad surface, morphology and pad conditioning in
oxide chemical mechanical polishing, I1-Fifth International Symposium on
Chemical Mechanical Polishing (CMP), ECS 201st Meeting, Philadelphia, 2002.
39. P. Freeman, et al. Characterization of pad conditioning profiles in oxide chemical–
mechanical polishing, CMP-MIC Conference, 1996, 57.
40. J.J. Gagliardi, et al. Total planarization of the MIT 961 MaskSet wafers coated
with HDP oxide, CMP-MIC Conference, March 2000.
41. G. Nantz and L.E. Camilletti. Modeling of chemical–mechanical polishing: A
review. IEEE Trans. Semicond. Manuf., 8(4) (1995) 382–389.
42. P.A. Burke. Semi-empirical modeling of SiO2 chemical–mechanical polishing
planarization. Proceedings of the VMIC Conference, 1991, pp. 379–384.
43. J. Warnock. A two-dimensional process model for chemimechanical polish
planarization. J. Electrochem. Soc., 138(8) (1991) 2398–2402.
44. B. Stine, D. Ouma, R. Divecha, D. Boning, J. Chung, D.L. Hetherington, I. Ali, G.
Shinn, J. Clark, O.S. Nakagawa, and S.-Y. Oh. A closed-form analytic model for
ILD thickness variation in CMP processes. Proceedings of the CMP-MIC, Santa
Clara, February 1997, pp. 1–8.
45. T. Tugbawa, T. Park, D. Boning, T. Pan, P. Li, S. Hymes, T. Brown, and L.
Camilletti. A mathematical model of pattern dependencies in copper CMP pro-
cesses (invited paper). Third International Symposium on Chemical MechanicalPolishing in IC Device Manufacturing, 196th Electrochemical Meeting, Honolulu,
HI, October 1999.
46. J.M. Staigerwald, R. Zirpoli, S.P. Murarka, D. Price, and R.J. Gutmann. Pattern
geometry effects in the chemical–mechanical polishing of inlaid copper structures.
J. Electrochem. Soc., 141(10) (1994) 2842–2848.
47. L. Yang. Modeling CMP for copper dual damascene interconnects. Solid StateTechnol., June (2000) 111–121.
48. T. Yoshida. Three-dimensional chemical–mechanical polishing process model by
BEM. Electrochemical Society Proceedings of the Third International Symposiumon Chemical Mechanical Planarization in IC Device Manufacturing, Honolulu,
HI, October 1999.
49. T. Yoshida. A model of stacked polishing pad for 3-D CMP simulation. The
Electrochemical Society, Proceedings of the Third International Symposium onchemical Mechanical Planarigation in IC Device Manufacturing, Honolulu Meet-
ing, Abs#1288, October, 1999.
50. D. Dornfeld. Mechanical aspects of CMP. VMIC, June 2000.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 475 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 475
51. S.R. Runnels and L.M. Eyman. Tribology analysis of chemical–mechanical pol-
ishing. J. Electrochem. Soc., 141(6) (1994) 1698–1701.
52. S.R. Runnels. Feature-scale fluid based erosion modeling for chemical–mechan-
ical polishing. J. Electrochem. Soc., 141(7) (1994) 1900–1904.
53. G.O. Cai, Y.S. Lu, R. Cai, and H.W. Zheng. Analysis on lapping and polishing
pressure distribution. Ann. CIRP, 47(1) (1998) 235–238.
54. J. Luo. Investigation of material removal mechanism in chemical mechanical
polishing (CMP): Theory and modeling. 1999 LMA Research Report, University
of California, Berkeley.
55. A. Philipossian. Selected process consumable technology requirements for ad-
vanced CMP process, The CMP Technical Meeting 2000 in San Francisco, Part 1,
sponsored by CMP Special Committee, The Japan Society of Precision Engineer-
ing, July 2000.
56. G. Muldowney. Characterization of CMP pad surfaces using a porous media flow
approach, Proceedings of AIChE Annual Meeting, 2003.
57. G. Muldowney. Slurry mixin dynamics in CMP polishing pads of various groove
arrays. Proceedings of CAMP 9th International Symposium on CMP, Lake Placid,
August 2004.
58. G. Muldowney. The Effect of pad growth and texturing on CMP process perform-
ance, The 1st PacRim-CMP International Conference, Tokyo Denki University,
Tokyo, December 2004.
59. W. Kern, R.K Smeltzer. Solid state technology. June (1985) 171–179.
60. J.D. Romero, M. Khan, H. Fatemi, and J. Turlo, J. Mater. Ref., 69 (1991) 1996.
61. Keiichi Kimura. Doctoral thesis of Osaka University (2002) 31.
62. A. Offner. Photographic Sci. and Eng. 23 (1979) 374.
63. International Technology Roadmap for Semiconductor (1998).
64. N. Oda, et al. Proceeding of 2002 Symposium on VLST Technology Digest ofTechnical Papers, Kyoto, 2002.
65. A.K. Stamper, et al. Proceeding of ADMETA 2002, 60–61, 2002.
66. M. Matsuura, et al. Proceeding of ADMETA 2002, 62–63, 2002.
67. International Technology Roadmap for Semiconductor (2002)
68. G. Passemard, et al. Proceeding of Advance Metallization Conference, 357, 1999.
69. H.W. Thompson, et al. Proceeding of IITC, 59, 1999
70. N. Ohashi, et al. Proceeding of IITC, 140, 2001.
71. Y. Homma, et al. J. Electrochem. Soc., 147, (2000) 1193.
72. Y. Yamaguchi et al. Proceeding of IITC, 264, 2000.
73. Nobuo Hayasaka, Selete Symposium 2002 May 28, 2002.
74. Andreas Romer, et al. Proceeding of CMP-MIC 2000, 2000.
75. S. Matsuda, et al. Proceeding of 2001 Symposium on VLSI Technology Digest ofTechnical Papers, Kyoto, 64, 2001.
76. K. Matsuo, et al. Proceeding of International CMP Symposium 2000, 23, 2000.
77. S. Kordic, A. Inard, and P. Motte. Proceeding of CMP-MIC 2001 341–348, 2004.
78. Youhei Yamada, et al. Proceeding of ADMETA 2002, 112, 2002.
79. N. Ohashi, et al. Proceeding of IITC 2001, 140–142, 2001.
80. S.M. Jang, et al. Proceeding of 2002 Symposium on VLSI Technology DigestTechnical Paper, 2002.
81. M. Oshiki, et al. Next-generation data storage technology. Fujitsu, 52(4) (2001)
353–360.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 476 23.10.2006 6:44pm
476 Handbook of Lapping and Polishing
82. F. Liu, et al. Magnetic recording at a high data rate of 1 Gb=s. IEEE Trans. Magn.,
37 (2001) 613–618.
83. S.W. Yuan, et al. Advanced write heads for high density and high data rate
recording. IEEE Trans. Magn., 38 (2002) 1873–1878.
84. N. Robertson, et al. High performance write head using 45=55 Ni–Fe. IEEE Trans.Magn., 33 (1997) 2818–2820.
85. E. Lee, et al. Chemical mechanical planarization of thin film read=write heads.
VMIC, March (2000) 433–440.
86. M. Jiang, et al. Application of chemical mechanical polishing in thin film mag-
netic head wafer fabrication. Short Course on CMP Planarization for ULSI Multi-level Interconnection, February 26, 2002.
87. S. J. Tracy, et al. Planarization of Al2O3 and encapsulated Ni–Fe for GMR head
manufacturing using a single layer unfilled cast elastomer polishing pad, SemiconTaiwan, September 2001.
88. T. Ookawa, et al. Fundamental study on mirror polishing for GaAs wafers (in
Japanese). J. JSAT, 47(2) (2003) 88–92.
89. H. Nakata and T.K. Doy. JSPE Spring Meeting (1988) 91 (in Japanese).
90. T.K. Doy, T. Kageyama, T. Kasai, and T. Nakagawa. A new processing technique
of GaAs single crystals and its mechanism. Int. J. Jpn. Soc. Prec. Eng., 30(1)
(1996) 16–22.
91. T.K. Doy, T. Kasai, and T. Nakagawa. A new processing technique of CdTe single
crystals used for opto-electronics advances in science and technology, Adv. Mat.Opt. Electro-Opt. Commun. Technol., (1995) 541–548.
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 477 23.10.2006 6:44pm
Chemical Mechanical Polishing and Its Applications in ULSI Process 477
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C007 Final Proof page 478 23.10.2006 6:44pm
Index
A
Abbott curves, 63, 65
Abrasion mechanism, 1
two-body and three-body, 118–120
Abrasive(s), 177–178
aluminum oxide (Al2O3), 97, 104, 130,
132, 268, 294, 307, 392
artificial, 103
belt grinding, 12
boron carbide, 130
calcined alumina, 130, 132
charged plate, 103
coarse, 97
corundum, 104, 132
with crushing function, 269
cubic boron nitride, 3, 104, 131
diamond, 3, 97, 103–104, 183, 295–296
Fe2O3, 294
fused alumina, 104
garnet, 104
hardness of, 3
importance of, 3
MgO, 294
microcutting embedded, 2
as microscopic cutting tool, 125
motional pattern of, 116
natural, 103
norbide, 104
pad, 2
particles, 1
process, 1
role in lapping, 272
rolling, 2, 103
rolling and sliding action of, 117
silicon carbide (SiC), 104, 130, 132, 268
SiO2, 294
sliding, 2, 103
slurry, 105
unfused alumina, 102, 105, 132
zeta potential of, 393
Abrasive-free polishing (AFP) technique,
454
Abrasive processes
finishing, 93
high-precision, 93
Abrasive wears, 135–136
ACCUPRO AR-10 hardness tester, 177,
179
Active grain concentration, 68
Additive agents, 371
Agglutinations, 304
Al2O3 film, 463–464
AlTiC, 463
Altzschner, 1
Aluminum oxide, 174, see Abrasive(s)
AMAT Mirra tool, 404
Ammoniac water, 369
Analytical models, 57
Angular velocities, calculation of, 38
ANOVA analysis, 216–217, 227, 231,
233
of surface roughness
for copper plate, 219–221, 225
for iron plate, 222–224
Arrhenius plot, of polishing rates, 299
Arrhenius’ rate equation, 299
ATHENA software, 418
Atomic force microscopy (AFM), 163
Attritions wear, 4
Auto stirrer, 109
Axial cracks, 18–19
Axial–lateral crack system, 60–61
Axial–radial–lateral crack system, 19
B
Basalt, 9
Beilby, theory of, 16
Beilby layers, 16
Belt polishing, 290
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 479 23.10.2006 6:46pm
479
Benzotriazole (BTA), 390
Bessel function, 430
Black Diamond, 394
Blanchard rotary surface grinding
machine, 181
Blasting, 12
Blocky grainings, 21
Borazon TM CBN, 104
Borophosphosilicate glass
(BPSG), 394
Both-sides simultaneous lapping
machine, see Lapping, machine
Boundary conditions
of boundary elements, 431
for hydrodynamic analysis, 433
Boundary element method
(BEM), 424
Boussinesq solution, 429–430
Boussinesquian state of stress, 18,
20, 63
Boussinesquian state of tension, 60
Brittle crack formation, 20
Brittle material, 1
Br-methanol solution, 326–327, 329
Bromine (Br), 468
C
Carbide ceramics, 128
Carbon-doped oxide (CDO), 394–395
Carrier, 108
Cast-iron lap, 287
CdTe crystal, 471
Cemented carbide alloy, 168; see alsoELID-lap grinding,
experimental results
Centrifugal separation
method, 372
Ceramic finishing process, 2
Ceramic materials
nonoxide ceramics, 128
oxide ceramics, 128
silicate ceramics, 128
Ceramic seal industry, 1
Ceria
powder, 374, 378
slurry, 379
Charging technique, 2
Chatter marks, 372
Chemical mechanical polishing; see alsoThin film magnetic recording
heads
aims of, 362
basic mechanism of, for silicon crystal,
298–301
basic requirements for planarization,
355
classification
based on relationship between work
piece and polishing, 326
based on stock removal mechanism,
325
cleaning station, 369–370
close contact condition in, 305
of compound semiconductor wafers
polishing characterstics of CdTe
crystal wafers, 471–473
polishing characterstics of GaAs
crystal wafers, 469–471
examples of, 301–305
interlevel dielectric (ILD)
layer of, 353
machine system, technology of,
363–369
configuration and unit control
factors of, 364
modeling and simulaton of
modeling of planarization process,
415–424
modeling of polishing pad and
planarization, 424–431
modeling of slurry behavior,
431–436
purpose of modeling, 414–415
in noncontact condition, 325, 327
overcoat, 467–468
pads for, planarization of
basic properties of, see Chemical
mechanical polishing pads,
basic properties of
conditioning and polishing of, seeChemical mechanical polishing
pads, conditioning and
polishing performance
polishing unit, 365–369
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 480 23.10.2006 6:46pm
480 Handbook of Lapping and Polishing
polishing works and its defects,
359–363
process modeling parameters of, 430
progress of MCP-CMP, 296–297
relationship between polishing
pressure and polishing rate,
302, 304
removal mechanism in, 301
requirements for, 297–298
in semi conductor process, seechemical mechanical polishing,
in semi conductor process
in semi contact condition, 325, 327
for shallow trench isolation, 377
of Si, 300
slurries for
basis of CMP slurries, 370–371
Cu-CMP slurries, see Cu-CMP
slurries
ILD CMP slurries, 371–373
STI CMP slurries, 373–381
W CMP slurries, 381–386
system design concept of, 359
system machine development,
360–361
tribological mechanism during,
370–371
Chemical mechanical polishing, in semi
conductor process
basic concept of
basics of CMP ultra precision
polishing, 356–357
design concept of, 359
requirements and points to be noted
for, 357–359
works to be published by, 359–363
planarization of, 349–354
with ULSI device process,
343–344
and ultra precision polishing,
344–349
Chemical mechanical polishing pads
basic properties of
composite pad structure, 401–404
elastic and viscoelastic properties
of, 399–401
pad properties and polishing
performance, 396–399
pad surface asperity and grooves,
404
relationship between pad properties
and pad performance, 400
conditioning and polishing
performance
conditioner, 404–406
conditioning process, 406–408
pad surface profile and planarization
uniformity, 408–411
types of
fixed abrasive pad, 413–414
nonporous pad, 411–413
Chip generation, principle of, 285
Chucking functions, 366
Circular axial cracks, 18
Class path lengths, 52–54
CMP–MCP, of single crystal silicon
semiconductors, 297
Coefficient of friction
(COF), 75, 371
Cole-Parmer peristaltic pump,
178–180
Colloidal silica, 286, 330
polishing characteristics
on Gd3Ga3O12, 333
on LiTaO3, 333
on sapphire, 333
on silicon, 333
Colloidal silica polishing, 330–337
constitutional diagram of, 334
Computer numerical control (CNC)
machining, 108
Conditional ring=plate-polishing
machine, see Polishing
machines
Conditional rings, 289–290
Conditioning rings, 98, 107, 116, 182,
187, 269–270, 274
diamond plated, 108
solid ceramic, 107
stainless-steel-backed ceramic, 107
type lapping machine, see Lapping,
machine
Conical crack, 18
Conventional pitch polishing, 334
Copper damascene process, 466
Copper dishing, 428
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 481 23.10.2006 6:46pm
Index 481
Copper film
delamination of, 448, 450
seed, 443–444, 459
Copper hydrate formation, 390
Copper plate, randomized experiment
sequences, 257
Corundum, see Abrasive(s)
Cost of ownership (COO), 374
Crack formation, 18
Crack system, 17
Critical particle size, 140
Cubic boron nitride, see Abrasive(s)
Cu-CMP polishing process, 424,
453–454
Cu-CMP slurries
abrasive free, 396
and low-k CMP, 394–396
organic acid-based, 390–392
pourbaix diagrams for Cu-H2O system,
388–390
removal rate difference between
selective and nonselective, 394
resistance comparison of abrasive free
and residue free, 397
role of abrasive particles in, 392–394
topography performance depending on
selectivity of, 395
two-step process and selectivity
of, 386–388
Cu–glycine complex, 390
Cu–NH3–H2O solution, 389
Cu–quinaldic acid complex, 391
Cutting edge radius, 58
Cutting edge wear, 33
CVD-SiC, 162, 165
Cycle angle, 43–44
Cycle time, 42
Cycloids, 35
Cylindrical lapping, see Lapping, method
D
Damascene gate-forming process, 452
Damascene method, 442–443
Depth of focus (DOF), 349–350, 439
Design of experiment (DOE)
method, 212
Device fabrications
accuracy and quality required
in, 284
processes flow in, 345
Device wafer, case study of
Cu and low-K CMP, 452–458
device integration and CMP,
443–444
STI-CMP, 449–452
Tungsten CMP, 452
Diamond grainings, 21
Dielectric isolation (DI) wafers, 352
Dilute hydrofluoric acid, 369
DIN 8580, 29
DIN 8589, 12
part 15, 12–15
Dip lapping, 15
Dislocation cracks, 23
DN agent, 469–472
Double-sided lapping, see Lapping,
methods
Double-sided polishing machines, seePolishing machines
Double-wheel lapping machines,
11, 14
DRAM technology, 343
Dual in-line package (DIP), 346
Ductile material, 1
Ductile regime machining, 138
Duomat ZL 500, 47
E
Ebara EPO tool, 404, 456
Elastic emission machining (EEM), 290,
305–306, 325
Elasticity module, 21
Elastic–plastic contact case, 18
Electrical discharge machining (EDM),
108
Electroabrasive mirror polishing process,
317–318
description of, 318
experimental results, 319–322
manual polishing, 319
Electro-compounding polishing
method, 317
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 482 23.10.2006 6:46pm
482 Handbook of Lapping and Polishing
Electrolysis, 318
Electrolytic dressing, 168
Electrolytic sodium sulfate
(Na2SO4), 335
Electrophoretic deposition (EPD), 312
development of pellets, 312–315
experimental results, 315–317
ELID-lap grinding
characteristics of
effects of grain size on surface
roughness and removal
mechanism, 163–164
efficient mirror surface finish by,
165–166
desk top system
background, 166
concept of, 167–168
experimental methods, 163, 168
experimental results
grinding characteristics of cemented
carbide alloy, 168–170
grinding characteristics of nitrided
steel, 170–172
grinding characteristics of sapphire,
172–173
experimental systems, 162, 168
principle of, 160–161
ELID ultraprecision grinding, 166
Elliptic motion, 288
Endpoint detection (EPD), 364
method, 458–459
development of, 456–459
Engagement pressure quotient, 34
Epicycloids, 48, 54
Erosion pits, 141
Ethylene glycol (HOCH2CH2OH), 335
F
Face lapping machine, 10
Ferric nitrate, 381
Film thickness ratio, 140
Fine grinding, 1
Finite element method (FEM), 424
Floating conditions, 306
Float polishing, 305
Fluorocarbon-foamed sheet, 329
FR-4, see Lamitex
Fractional factorial experiment, 214–215
Fracture theory, 118
Free abrasive cutting, 12
Fused alumina, see Abrasive(s)
G
Garnet, see Abrasive(s)
Gaussian distribution function, 61
Gaussian normal distribution, 58
Gemstones, 9
Geometrical parameters, of relative
movement, 37–39
Germanium, 9
Giant magnetoresistive (GMR) read,
460–461
Glass-Lens polishing machine, seePolishing machines
GR-10, see Lamitex
Grain agglomerates, 77
Grain aperture angle, 60–61
Grain breakage, 21, 33
Grain engagement mechanism, 16,
20–21, 23–24, 61
frequency of, 67, 69–70
scratching, 20
Grain movements, 19
Grain size, for standardized control
conditions, 21
Stotko parameters, 21–22
Grain size distribution, 22
Grain splintering, 21, 33
Granite, 9
Grinding operation, 1, 312
by linear cutting, 12
Grooving deformation, of material, 17
H
Half-penny-cracks, 18, 64, 69
Half-penny-lateral-crack system, 20
Hall–Petch relation, 16
Hard disk drive (HDD) systems, 460
Hazardous waste, 9
HDP-SiO2 film, 443
Hersey number, 431
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 483 23.10.2006 6:46pm
Index 483
Hertzian conical cracks, 18, 59
Hertzian equations, 58
Hertzian load, 58
Hertzian stress field, 18
Herz solution, 430
Hexoloy-sintered alpha silicon carbide, 174
properties of, 176
High-precision carbide tools, 13
High-pressure homogenizing technique,
372
High-temperature reaction test, 335
Hommel Tester LV 15, 179
Hommel tester T1000 E roughness meter,
184–185
Honing, 1, 12
Horizontal lapping friction, 269
HR-120 precision scale, 179
Hydration films, 324
Hydraulic pistons, 13
Hydrogen gas, 326
Hydrogen peroxide, 381, 390
Hydroplaning effect, 306
Hydrostatic stress, 18
Hypocycloid path curves, 54
Hypocycloids, 47
I
IBM, 344
IC1000 pad, 396–397, 403
cross-sectional view of, 398
grooves and perforation designs for,
406
physical properties of, 398
surface profile after conditioning,
408
surface profile after polishing,
409
IC1010 pad, 375
IC1020 pad, 375
IC1400 pad, 405
diagram cross section of, 408
IC1000=SUBA IV pad, 405
ILD erosion simulation, 429
ILD films, 420, 422, 437
methods for planarizing, 437
Incipient cracks, 18
Inductive write magnetic
heads, 460
In-situ measuring technique, 358
Internal cylindrical peripheral lapping,
13–14
Iron plate, randomized experiment
sequences of, 258
Isotropic properties, 9
J
Johannsson, Swede C.E., 10–11
K
Kernel roughness depth, 65
Kinematical concept, 10
Kinematical parameters
cycle angle and part-cycle angle, 43
cycle time and part-cycle time, 42
pitch circle radius, 42
of relative movement, 37–39
Kinik diagrid conditioner, 407
KOH solution, 303–304
Kronecker’s delta, 432
K35 SPD, 178
K35 SYN, 178
L
LaB6 single crystals, 336
Lamitex, 108
Lapmaster lapping machine, 98, 178–179
Lapping, 1–3, 265
abrasives used in, 32
of brittle materials, 123
ceramic materials, 127
introduction, 125
of ceramics, 20
characteristics of, 9
controlled, 114
definition of, 12
of ductile materials, 72, 93
introduction, 93–97
mechanism of the process, 115–120
physics of the process, 97–114
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 484 23.10.2006 6:46pm
484 Handbook of Lapping and Polishing
factors in, 267
fluids, 134
fundamentals of, 7
general consideration, 9
historical developments, 9–11
machine, 2, 9
both-side simultaneous, 274–275
both-side simultaneous equipped
with micro-motion mechanism,
275–278
conditioning ring type, 273–274
measuring procedures, 184–188
oscar type lens type, 272–273
medium of, 32
methods, 109
cylindrical lapping, 113
double-side lapping, 111
lapping with bonded abrasives, 114
single-side lapping, 109
of monocrystal materials, 20, 63
oil, 77
and out of flatness condition,
110–111
plane-parallel, 24
and polishing processes, mechanics
of, 1
and polishing slurries, 4
pressure, 12, 16, 21, 59, 76–77, 99,
151, 161, 196, 243, 268
principle of, 9–10
processes, see also Nontraditional
lapping processes
advantages of, 114
characteristics of, 94–95,
98–99
classification of, 12
for desired finishing, 95–97
formation of removal system, 27
fundamentals of, 129
abrasive, 129
lapping fluid, 129
lapping plate, 129
indentation models, 118
fracture theory, 118
shear theory, 118
mechanisms of, 15, 266
modeling of, 235–242
parameters of removal system, 26
principles of, see Lapping,
processing principles of
process models and simulation, 57
process parameters, 27
as removal system, see Lapping, as
removal system
stock of removal, 269
subsurface damage, 25
subsurface-related work result, 27
subsurface stress, 25
surface formation, 25
working gap, 29
work parameters, 115
process model, 75
process parameters of, 27
and reconditioning, 269
and removal of microhardness,
22–23
in residual-stress-poor machining, 22
setup for, 178–184
subsurface damage, 15
symbols and abbreviations of, 81–85
tools, 29
wheels, 31
description of workpiece geometry
by geometric function, 50
movements of workpieces relative
to, 36
path length distribution, 50
profile and grain wear during
machining, 49
Lapping, as removal system
formation of, 27–28
parameters of, 26–27
removal system, 24–25
of subsurface damage, 25
of subsurface-related work result, 27
of subsurface stress, 25
in surface formation, 25
and working gap, 29
Lapping, processing principles of
lapping factors
abrasives and reagent in lapping,
268
lap (lapping plate), 267–268
mechanical conditions, 268–269
motion type, 267
processing accuracy in
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 485 23.10.2006 6:46pm
Index 485
conditioning ring, 269–270
cooling of lapping plate and cooling
device, 270–271
grooves lapping plate, 271–272
Lapping grains, cutting effect of, 17
Lapping-in technique, 15
Lapping performance, 22
Lap plate, 99, 268
ceramic plate, 101
cooling of, 270–271
copper plate, 100–101
grooves in, 271–272
iron lap plate, 100
tin or lead plate, 101
tin plate, 101
Large-scale integration (LSI) technology,
343
Laser cutting, 108
Lateral cracks, 18–19, 66, 118, 138
Leonardo da Vinci, 10
Lexan, 109
Limit processing pressure, 147
Linde powders, 96
LiTaO3 film, 337
Local thickness variations (LTV), 347
LOCOS methods, 440
vs STI method, 441
LSI devices, 359, 362
Lubrication, 133
Luo model, of solid contacts, 434–435
M
Machine settings, 34
engagement pressure, 34
process kinematics, 34–35
Machine tool technology, 1
Macrofracture, 4
Macrokinematics, 36
Magneto abrasive finishing
advantages of, 308–309
finishing operations in, 309
edge and surface finishing, of access
arms of magnetic disk units,
310–312
internal finishing of non-ferro
magnetice bent tubes, 309–310
outline of, 307–308
Manganese steel, 22
Manual polishing, 319
Marble, 9
Masterflex Tygon silicon tubing, 178,
180
Material displacement process, 138
Material removal and grain engagement
mechanisms
of brittle-hard materials, 17
of ductile materials, 16
in specified lapping abrasive, 21
for subsurface damage, 22
Material-removal process, 138, 140
Material-removal rate (MRR), 127, 132,
195, 210
for copper plate, 226–227
for iron plate, 228–230
MATLAB program, 216
MCP, see Mechanochemical polishing
Mechanochemical polishing, 293–296
Mechanochemistry, 292
Metallurgical polishing machines, seePolishing machines
Metal–resin bonding system, 162
Microcrack networks, 23
Microcrack systems, 18
Microcutting abrasives, 2
Microfracture, 4
Microkinematics, 37
Micromachining processes, 1
Micromotion kinetic mechanism,
275–278
Mirror-finish method, 356
Mitutoyomicrometer, 186
Model lapping tests, 16, 24
Modulated cycloidal movements, 35
Mohs 9, 104
Mohs scale, 294
of hardness, 131
Monocrystalline diamond, 201
test results, 189, 204–205
MOS transistors, 346
Movement patterns, description of
determination of path pattern of
workpiece point, 46
kinematical parameters, 42
possible path movements, 44
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 486 23.10.2006 6:46pm
486 Handbook of Lapping and Polishing
progression of path velocity, 48
rotational speed ratio, definition
of, 41
M2 tool steel, 3
Multilevel interconnections, 346
N
Nanometer grinding, 312
NaNO3 solution, 318, 323
NaOH solutions, 352
Navier–Stokes equations, 431
Nelson’s BASIC program, 231
Neolithic time, 1
Newtonian fluid, 431
Nitrided steel, see ELID-lap grinding,
experimental results
Nitriding method, 171
Ni–Zn–ferrite, 23
Noncontact polishing, 305–307
Nonmetallic elemental solids, 127
Nonoxide ceramics, 128
Non-Prestonian-type polishing
mechanism, 378
Nonspherical surface polishing machine,
see Polishing machines
Nontoxic sodium bromite (NaBrO2),
469–470
Nontraditional lapping processes
low frequency vibration, 143–146
and experimental technique,
146–147
low-frequency vibration lapping plate
correcting techniques, using
rectangular correcting carrier
correcting of lapping plate, 152
correcting process by rectangular
correcting carrier, 156–158
experimental apparatus and method,
155–156
friction distance characteristics of
rectangular correcting carrier,
153–155
processing characteristics and
mechanism, 147–151
processing surface roughness,
151–152
ultrasonic vibration vs low frequency
vibration, 142
using ultrasonic vibration
application to lapping, 158–159
principle of ultrasonic exciter, 158
vibration, 142–143
Numerical aperture (NA), 349–350
Numerical models, 57
O
One-sided and two-sided machining,
cutting conditions in, 54
Orbital motions, see Micromotion kinetic
mechanism
Oscar-type lens lapping machine, seeLapping, machine
Oscar-type polishing machine, 319–320,
324
Oxidation–reduction potential (ORP),
388
Oxide ceramics, 128
Oxide erosion, 382–384
Oxide patterns, three dimensionality
of, 432
Oxidizers, 381
OXP4000 pad, 411–413
P
Pad conditioning, 367–368, 435; see alsoChemical mechanical polishing
pads, conditioning and
polishing performance
Pad dressing model, 368
Pad surface morphology, 410
Pairwise lapping, 15
Parallel gauge blocks, 10
Part-cycle angle, 43
Part-cycle time, 42
Parts carriers, 108
Path curves and movements, calculation
of, 36–37, 39
path acceleration and scalar
acceleration, 41
path curvature, 41
path curve, 40
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 487 23.10.2006 6:46pm
Index 487
path velocity, 40
progression of, 48–49
Path length distribution, 51–54
Path pattern, of workpiece point,
46–48
Path-related workpiece height
reduction, 56
Pattern density, 418, 422–423
Phenolic, 109
Phosphoric acid etching, 296
Photolithography, 349
Pin disk system, 146
Pitch circle radius, 42–43, 45
Pitch polishing, 273, 283
Planarization CMP Technical
Committee, 359
Planarization process, modeling of
in Cu-CMP, 422–424
hard model, 415–417
of rough surfaces, 357
soft model, 417–418
using pattern density, 418–422
Planarization quotient, 403–404
Planarized wafers, surface topography
of, 351
Plane-parallel lapping, 13
Planetary kinematics, 56
fundamentals of, 35
cycle and part cycle, 37
definition, 35
macrokinematics, 36
microkinematics, 37
path curve, 36
path movement, 37
Planetary movement patterns, 49
Plastic deformation, 9, 139
ratio, 23
Plastic zone, 18, 20, 60, 118, 138
P-MAC polishing, 324
machine manufacturing and GaAs
wafer polishing, 329–330
for small pieces of GaAs single
crystals, 327–329
vs. other polishing methods, 324–327
Poisson number, of
workpiece, 60
Poisson ratio, 58
Poisson ratio distribution, 425, 430
Polish-deceleration factor, 417
Polishing machines, 286
double side polishing, 291–292
single side polishing
conditioning ring type polishing
machine, 289–290
glass-lens polishing machine,
288–289
metallurgical polishing machine,
287–288
non-spherical surface polishing
machine, 290–291
ring-tool polishing machine,
289–290
rough lapping machine, 287–288
Polishing margin, 357
Polishing pads, 2, 108
and planarization, modeling of
bending deformation of pad,
425–427
confirmity of pad to surface
topography, 427–428
distribution of contact pressure
between polishing pad and
wafer, 425
simulation using solo pad and
stacked pad, 429–431
Polishing principles, 282–283
Polishing process
of colloidal silica, 330
with electro abrasive mirror, 317–322
with electrophoretic deposition,
312–317
with P-MAC
GaAs wafer polishing with, 329–330
manufacturing of P-MAC machines,
329–330
polishing of small pieces of GaAs
single crystals, 327–329
vs. other polishing methods,
324–327
Politex, 368
Polycrystalline diamond, 201, 203
test results, 190, 205–206
Polyurethane pad, 396, 404
Polyvinyl alcohol (PVA), 312–313, 369
Potassium iodate, 381
Pourbaix diagram, for Cu–H2O, 388–391
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 488 23.10.2006 6:46pm
488 Handbook of Lapping and Polishing
Pressurization control mechanism, 366
Preston coefficient, 420, 424
Preston’s equation, 415, 419–420, 427,
431
Preston’s formula, 269
Preston’s law, 276
Process grain size distribution, 33
Processing accuracy and damaged layer,
283–286
Process kinematics, 34–35
Process models and simulation, 57
according to Buijs and Korpel-van
Houten, 61–62
according to Chauhan et al, 59–61
according to Engel, 63–72
according to Evans, 72–73
according to Heisel, 73–81
according to Imanaka, 58–59
summarizing assessment of process
models, 62
Profile lapping, 14
ProfileView 3.44 software, 179
Pseudostatic indentation model, of
ductile lapping, 72
Punch and die tooling, 108
PVC, 108
Q
Quasistatistical process, 20
Quinaldic acid, 390
R
Radial cracks, 18–19
Radial scratches, 20
Randomized experiment sequences
of copper plate, 257
of iron plate, 258
RCA cleaning process, 347
Read or write heads, 1
Reciprocating motion, 287–288
Reconditioning process, 269
Rectangular correcting carrier, 152–158
Residence time control, 290
Reynolds number, in slurry, 435
Rodel IC1000, 384
Rodel politex, 384
Rolling abrasive, 2
Rolling grain movement, 20
Rolling resistance coefficient, 74, 79
Roll lapping, 14
Rotational–indentation model, 19
Rotational speed ratio, 41, 45–46, 48
Rough lapping machines, see Polishing
machines
Roughness average parameter, 185
Rubber pads, 108
S
Sandstone-grinding wheel, 1
Sapphires, 294; see also ELID-lap
grinding, experimental results
Scanning electron microscopy (SEM),
16, 23, 163, 311, 373
Schist-shaped grains, 17
Semiconductor manufacturing, 1
Semiconductor Manufacturing
Technology Institute
(SEMATECH), 343
Semiconductor technology, 343
Shallow trench isolation process, 373
Shape-transferring counterparts, 12
Shear theory, 118
Shore D hardness test, 398
Si-CMP, macroscopic processing
of, 301
Silicate ceramics, 128
Silicon, 9, 17, 23
Silicon carbide, see Abrasive(s)
Single-plate vertical lapping machine, 11
Single-side polishing machine, seePolishing machines
Single-wheel lapping machine, 10
SiOC film, 443
SiO2 film, 353
SiO2 particles, chemical actions of,
330–331
SIRD, 24
Si wafers
fabrication process of, 345
polishing conditions of, for ULSI
fabrications, 298, 348
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 489 23.10.2006 6:46pm
Index 489
polishing methods, 346–347
precision cleaning of, 347–348
requirements in polishing process
of, 347
standard cleaning procedure of, 349
SKD11 steel, 159
SKD61 steel, 171
Sliding abrasive, 2
Slurries, 31; see also Chemical
mechanical polishing,
slurries for
Al2O3 CMP, 464
behavior of abrasive particles
in, 434–435
ceria, 379
non-Prestonian behavior of, 381
polishing model for high selective,
380
conventional oxide polishing, 374
diamond, 130, 179, 187
first-generation, 386
fourth generation, 385–386
ILD1200, 401
issues for designing of, 371
monocrystalline diamond, 236
Ni–Fe CMP, 465
pad properties in, 403
polishing mechanism of chemical
compound, 473
polishing stages using high and low
selective, 456
polycrystalline diamond, 126, 186,
209, 237
Reynolds number, 435
SS25, 401
starvation, 435
Stokes number in, 435
third generation, 382
topography reduction in, 378
tungsten, 381–386
water-based monocrystalline, 186
water soluble, 105–106
Slurry supply mechanism,
368–369
Soda-lime glass, 18
Sodium hypochlorite (NaClO), 468
Soft-foamed polyurethane sheet, 328
Sol gel technology, 3
Solid-phase reaction, 294, 296
Sphere geometry, 61
Spin-on-glass (SOG) coating method,
437
Spring steel, 108
(S4889)STD–MA formulation, 178
Stable grinding performance, 172
Stable ring crack, 18
Stahli Lapp-Technik Company, 47
Statistical principles, use of, 61
STI-CMP processing, 443, 445,
449–452, 459
Stokes average diameter, 59
Stokes number, in slurry, 435
Stribeck curve, 431, 433
(S1313–T4)STD–MA
formulation, 178
Suba 400 base pad, 402
Superabrasive particles, 1
Superfinishing, 1
Surface lapping, 12
Surface modification process, 138
Surface precision, of platen, 366
Surface smoothing operation, seePolishing process
SUS316L pipes, 319
SUS304 stainless steel elbow, 310
T
Taguchi’s response statistic, 216
Tantalum nitride (TaN), 388
Tantalum (Ta), 388
TEOS ILD film, 371
Test element group (TEG)
wafers, 457
Theory of Beilby, 16
Thermit reaction, 307
Thin film magnetic recording heads;
see also Chemical mechanical
polishing
CMP process for
bottom pole and top shield CMP,
465–466
bottom shield CMP, 464–465
Cu dama scence process, 466–467
overcoat CMP, 467–468
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 490 23.10.2006 6:46pm
490 Handbook of Lapping and Polishing
smoothing of Alumina basecoat at
film surface, 463–464
fabrication process and requirements
for CMP, 465
structure and mechanisms of,
460–463
Thread lapping, 14
Time-related workpiece height reduction,
55–56
Tool motion method, 285
Tool specifications
of lapping abrasives, 32–33
of lapping medium, 32
of lapping process, 29–31
of lapping wheels, 31
in process grain size distribution,
33–34
of slurry, 31–32
tool components and characteristics in
plane-parallel lapping, 30
Total thickness variations (TTV), 347
Transmission electron microscopy
(TEM), 23
Tungsten (W) slurry, 381–386
U
ULSI device fabrication process, 460
outline of, 345–346
ultraprecision polishing and
CMP of bare silicon wafers,
346–349
Ultralarge-scale integration (ULSI)
devices, 343
Ultra-LSI device wafers, 276
Ultraprecision polishing, 282–283, 296
Ultrasonic-assisted lapping, 15
Ultrasonic exciter, 158
Ultrasonic frequency, 15
Ultrasonic vibration, see Nontraditional
lapping processes
Uneven wear, 110
Urethane pad, 401–402
porous, 411
V
Vacuum chucking method, 346
Valve pins, 13
Vapor lapping, 15
Vickers hardness, 333
Vickers indentation tests, 19
Vinyl, 109
W
Warren Diamond Powder Company, 178
Water chucking method, by surface
tension, 346
Waviness, 184
Wax adhering method, 346
Wear debris formation, 137
Wear mode, 4
Wet process, 297
Wheel blunting, 4
Wheel breakdown, 4
Within a wafer (WIW) uniformity, in
polishing, 455
Working gap, 29, 33, 61, 64, 73, 76, 81, 137
height of, 66
volume, 67
Workpiece geometry, 50–51
Workpiece materials, 174–177
X
Xerogel, 314–317
Y
Yates algorithm, 231
Young’s modulus, 17, 58, 138
of pad, 425–427, 430
Z
Zinc, 109
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 491 23.10.2006 6:46pm
Index 491
Ioan Marinescu / Handbook of Lapping and Polishing DK4113_C008 Final Proof page 492 23.10.2006 6:46pm