heterogeneous integraon roadmap presented by william (bill...

35
HIR Roadmap Workshop Presenta3on 2017 Heterogeneous Integra-on Roadmap Presented by William (Bill ) Chen

Upload: others

Post on 20-May-2020

10 views

Category:

Documents


0 download

TRANSCRIPT

HIRRoadmapWorkshopPresenta3on2017

HeterogeneousIntegra-onRoadmapPresentedbyWilliam(Bill)Chen

Presenta3onAgenda1.TechnologyRoadmapsfortheElectronicIndustry

•  TheBeginningofITRS•  Adifferentworld•  TheendofITRS

2.HeterogeneousIntegra3onRoadmap(HIR)•  There-inven-onofTechnologyRoadmap•  CPMT,SEMI,EDS,Photonics&ASMEEPPDCollabora-on•  HIRMissionStatement•  TechnicalWorkingGroups

3.HIRtodayand2016Schedule4.Summary

HistoricalTransi3onOfITRS USDomes3c Interna3onal

1991MicroTech2000WorkshopReport

1997NTRS

2001ITRS

1998WorldSemiconductorCouncil

1999ITRS

1994NTRS

1992NTRS

2000

1998

2002

UpdateVersion

2003ITRS

UpdateVersion

UpdateVersion

UpdateVersion

OddYear:MajorRevisionEvenYear:TableUpdate

ITRSStructureIRC&TWGs

ITWG

• Policy• Goal• Schedule• Coordina3onamongITWGs

• Coordina3onamongAssocia3ons

IRC

TechnologyNeedsPoten3alSolu3ons

innear&longterms

TWG

TWG

TWG

TWG

TWG

ESIA

KSIA

SIA

TSIA

JEITASTRJ)

DesignTestFEP

etc

In2014ITRS2.0wascreatedtoaddanoverlayof7focusteams.OneoftheFocusteams,Heterogeneous

Integra3on,wastaskedtoaddressmarketchanges.

TechnologyRoadmapsProliferated

--ShortlyaNertheiNEMIRoadmapwasestablishedin1994,acollabora-onbetweeniNEMIandtheITRSAssemblyandPackagingTechnicalWorkingGroupbegan,whichcon-nuedthroughthe2015edi-onoftheITRS

--JissoRoadmap,establishedinJapanin2001,expandedtheTechnologyRoadmaptocoverabroaderrangeoftechnologyfromdesigntosystems

--IPCestablishedaroadmapin2006

--Manynewroadmapsappearedwithvaria-onsinfocusrangingfromtheEPCRoadmapwithRFIDfocustoMIT’sCommunica-onsTechnologyRoadmap,andtheNISTsponsoredPSMCRoadmap.

23yearslater...

….theworldhasevolvedandis

changinginwaysneverimagined.

Worldlargestcompaniesbymarketcapitaliza3onSource:TheEconomist,September17th2016.SpecialReportCompanies,page5.

2006DEC31st•  ExxonMobil•  GeneralElectric•  Gazprom•  Microsob•  Ci3corp•  BankofAmerica•  RoyalDutchShell•  BP•  PetroChina•  HSBC

2016Aug24th•  Apple•  Alphabet(Google)•  Microsob•  BerkshareHatheway•  ExxonMobile•  Amazon•  Facebook•  Johnson&Johnson•  GeneralElectric•  ChinaMobile

2006DEC31st•  ExxonMobil•  GeneralElectric•  Gazprom•  Microsob•  Ci3corp•  BankofAmerica•  RoyalDutchShell•  BP•  PetroChina•  HSBC

2016Aug24th•  Apple•  Alphabet(Google)•  Microsob•  BerkshareHatheway•  ExxonMobile•  Amazon•  Facebook•  Johnson&Johnson•  GeneralElectric•  ChinaMobile

CompaniesintheintheIncreasinglyConnectedWorldSource:TheEconomist,September17th2016.SpecialReportCompanies,page5.

IoTtoIoE

Smartdeviceseverywhere

Datatotheclouds FutureAutomo-ve

areality

TheEndOfTheITRS

--Thebravenewconnectedworld,IoT/IoE,SmartDevices&Migra3ontotheCloudcannolongerbepacedbyMoore’sLawScalingofCMOS

--SIAannouncedinfallof2015thatITRSwillbebroughttoclosurewiththe2015Edi3on.ThefinalITRSedi3onwaspublishedJuly8th2016.

--IEEECPMTSocietystartedplanningfortheHeterogeneousIntegra3onRoadmap(HIR)Ini3a3vetofillthevoidin2015.DiscussionsensuedwithEDS&SEMItore-inventtheTechnologyRoadmapfortoday’smarket&technologyneedsandlookahead15yearsintothefuture.

HeterogeneousIntegra3onRoadmapRe-inven3ngtheTechnologyRoadmapfortheElectronicIndustry

FocusedonSystemLevelIntegra3onofDiverseComponentsfortheworldofIoT/IoE,SmartDevicesandmigra3ontotheCloud

Semiconductors-Sensors-MEMS-Photonics-Systems

IEEECPMTIni3a3vetoCon3nueHeterogeneousIntegra3onRoadmapMission

--TheCPMTSocietybelievesitisimportanttocon-nuethisHeterogeneousIntegra-onRoadmapfunc-onfortheprofession,industryandtheen-retechnicalcommunity.

--TheBoarddecidedtobringtheHeterogeneousIntegra-onRoadmapfunc-onandac-vi-esintoCPMT,withtheinten-onofexpandingthecollabora-ontoincludeotherIEEETechnicalSocie-esandothersorganiza-onsthatsharesthevision.

--InMarch2016,CPMTBoardformallyendorsedlaunchingtheHeterogeneousIntegra-onRoadmap.

--SEMIhasalonghistoryofcollabora-onwithCPMT.SEMIdecidedtojoinincollabora-onoftheHeterogeneousIntegra-onRoadmapIni-a-veinApril,amonthaNerthevoleoftheCPMTBoG.

--IEEEElectronDevicesSociety(EDS)Board&PhotonicsSocietyBoardsvotedtojoinintheHIRcollabora-on.

--LastmonthASMEElectronic&PhotonicsDivision(EPPD)votedtojoininHIRcollabora-on

HIRCollabora3on

Todayfiveorganiza3onsCPMT,SEMI,EDS,Photonics&ASMEEPPDareworkingtogetherincollabora3ontodeliverthisHeterogeneousIntegra3onRoadmaptoserveourprofession,

industry,academia,andresearchins3tutes,tomeetthechallengesofthisnewworldofrapidmarketdisrup3onandboldtechnologyinnova3on.

HeterogeneousIntegra3onDefinedHeterogeneousIntegra3onreferstotheintegra3onofseparatelymanufacturedcomponentsintoahigherlevelassembly(SiP)that,intheaggregate,providesenhancedfunc3onalityandimprovedopera3ng

characteris3cs.

Inthisdefini3on,componentsshouldbetakentomeananyunitwhetherindividualdie,MEMSdevice,passivecomponentandassembledpackageorsub-systemthatareintegratedintoasinglepackage.Theopera3ngcharacteris3csshouldalsobetakeninitsbroadestmeaningincludingcharacteris3cssuchas

systemlevelperformanceandcostofownership.

HIRMissionStatement

ThemissionofthisHeterogeneousIntegra3onRoadmapistoprovideguidancetotheprofession,industry,academiaandgovernmentto

iden3fykeytechnicalchallengeswithsufficientlead3methattheydonotbecomeroadblockspreven3ngthecon3nuedprogressin

electronics.Thatprogressisessen-altothefuturegrowthoftheindustryandtherealiza-onofthepromiseofcon-nuedposi-ve

impactonmankind.Theapproachistoiden-fytherequirementsforheterogeneousintegra-onintheelectronicsindustrythrough2031,determinethedifficultchallengesthatmustbeovercometomeet

theserequirementsand,wherepossible,iden3fypoten3alsolu3ons.

Electronic/PhotonicSiPthroughHeterogeneousIntegra3on

MechanicalAssy-Laserwelding-Flexbending

Antenna-Packageintegra3onfor2.4G/5G/60GHz

Passives/IPD-IntegratedPassive

Devices

Die/PkgStacking-Diethinning

-Dieinterconnect

EmbeddedTechnology-Passivecomponent

-Ac3vedevice

Molding-MUF

-Exposeddie

WaferBumping/WLP-Lead-free/CuPillar-Fanout&2.5D+3D

Interconnec3onFlipChip&WireBond

SMT-Passives-Components-ConnectorsShielding

-Boardorpackagelevel-Compartmental

Photonics

PhotonicsLayerPICChip-op3calbus

Source:ASEwithaddi3ons

HybridFlexInterconnect

Fan Out SiP

•  HeterogeneousIntegra-on•  Mul--die,Passives,MEMs/Sensor

•  SysteminPackage(SiP)

•  ChipFirstandChipLast Die1

Die2 Die3

Mul-SourcedDie

XTAL

MEMS

MEMS,XTALs,etc

Passives

Die1

Die2

Die3

XTAL MEMS

FanOutSiP

+ +

FOChipFirst(eWLBLike)

FOChipLastPackageFOCLP

iPhone7Processor–MemoryHeterogeneousIntegra3onSource:PrismarkPartners

© Prismark Partners LLC 4

APPLE A10 FUSION• 14.4 x 15.5mm InFO PoP Package

– ~1300 balls at 0.4mm pitch – 825µm package height

• Memory package with 5 die (4 shown)– Die Thickness:105µm – 170µm EMC thickness over die– 3L substrate; 100µm thick– 386 balls at 0.3mm pitch – Underfill between packages

• Processor : 11.6 x ~10.8mm– 165µm thick; 12µm “top coat”– 50µm thick 3 Layer RDL

Photos source: Prismark/Binghamton University

916.6/193bp

AMDFiji(2.5D)PackageSource:ASE

Decouplingcapacitor

Decouplingcapacitor

s-ffener Stackedmemory GPU s-ffener

PackageSubstrate

Stackedmemory

GPUdieStackedmemoryHBM Stackedmemory

HBM

6

HIRTECHNICALWORKINGGROUPS(TWGs)

HIRisaddingaSupplyChainTWGfocusedonpre-compe33verequirementswithLeadershipfromIntel

HeterogeneousIntegra3onComponents1.   SingleChipandMul3ChipPackaging(including

Substrates)

2.   IntegratedPhotonics3.   IntegratedPowerDevices4.   MEMS&Sensors

5.   RFandAnalogMixedSignal

CrossCumngtopics6.   Materials&EmergingResearchMaterials

7.   EmergingResearchDevices

8.   Interconnect9.   Test

Integra3onProcesses10.  SiP11.  3D+2.5D12.  WLP(faninandfanout)

PackagingforSpecializedApplica3ons13Mobile

14.IoT,Wearable&ConsumerElectronics

15.MedicalandHealth

16.Automo3ve

17.HighPerformanceCompu3ng

Design18.Co-Design&Simula3on–Tools&Prac3ceDevice,package,subsystem&systemlevels

FromITRStoHIRITRS:

1991-2015•  Precompe22ve

•  15 years outlook & 25 years for emerging materials & devices

•  Sponsored by five global semiconductor associa2ons. Appoint IRC & approve governance

•  Volunteer driven

•  Free access

•  CMOS “Moore’s Law” node driven

•  17 Technical Working Groups

HIR:2016--

•  Precompe22ve

•  15 years outlook & 25 years for emerging materials & devices

•  Sponsored by IEEE technical socie2es & organiza2ons with similar outlook. Appoint IRC & approve governance

•  Volunteer driven

•  Free access

•  Systems & applica2on driven

•  19 Technical Working Groups

HeterogeneousIntegra3onRoadmap§  RoadmapWebLinkhnp://cpmt.ieee.org/technology/heterogeneous-integra3on-roadmap.html•  Background• Mission•  Purpose•  Comminee•  Scope•  Schedule

§ RoadmapComminee• WilliamChen,W.RBonoms,TomSalmon,SubuIyer,AmrHelmy,RaviMahajan

HowdowegetHIRofftheGround?

GlobalTechnicalConferences&Chapterworkshopstomeetfacetofacewiththetechnicalcommunityandinterested

contributorsworldwide

CalendarOfHIR2016Events1.  ECTC+ITHERMLasVegas,NVUSA05/31-06/042.  PaloAltoWorkshopbeforeSEMICONWEST,July10th20163.  SEMICONWESTSanFrancisco,CAUSA,July11th,July11th,20164.  JapanAugust9th,2016attheNagaseR&DCenterinTokyo5.  TaiwanatITRIAugust12th,20166.  ICEPTinWuhan,ChinaAugust16th,2016hhp://www.icept.org/en/7.  ESTCGrenoble,FranceSeptember13th,20168.  IEMT-EMAPPenang,MalaysiaSeptember20th,20169.  ELECTRONICSPACKAGINGSYMPOSIUMBinghamton,NYUSAOctober5th,201610.  IMPACTTaipei,TaiwanOctober26th,201611.  ICSJKyoto,JapanNovember7th,201612.  MEPTECHeterogeneousIntegra-onRoadmapSymposium,HolidayInn,SanJose,Ca.November14th,201613.  EPTCSingaporeNovember30th,201614.  IEDMSanFranciscoDecember2016

HIRConferencePresenta3ons2016• ConFabCPMTSessionLasVegasJune14th-BillBohoms

•  3DPEIMConferenceNCStateJune14th–BillChen

• ASMEInterPackWorkshopJune21st–BillChen

• MITMicrophotonicsCenterJune25th–BillBohoms

•  SEMICONWestJuly13th–BillBohoms&BillChen

•  IEMT-EMAPConference,Penang,Sept20th–BillChen

Thesepresenta3onsareresul3nginmanygroupsengagingincollabora3onwithHIR

HIR2017PlannedEvents1.ICEP2017(JIEP)YamagataJapan4/19-222.ECTCOrlando,FL,USA5/30-6/043.PaloAltoWorkshopbeforeSEMICONWEST,PaloAlto,CA,USA7/94.SEMICONWESTSanFrancisco,CAUSA7/105.InterPACK(ASME)SanFrancisco,CA,USA8/29-9/16.ELECTRONICSPACKAGINGSYMPOSIUM,NiskayunaNY,USA9/19-207. IEEE PHOTONICS CONFERENCE, Lake Buena Vista, FL, USA, 10/1-5 8.IMPACTTaipei,Taiwan10/25-269.ICSJKyoto,Japan11/20-2210.EPTCSingapore12/3-511.AugustAsiaworkshopsunderplanningA.ICEPT,HarbinChina8/16-19B.ITRIinHsinchu,TaiwanC.TokyoworkshopwithCPMTJapan,JIEP&SEMIJapan

HIRTECHNICALWORKINGGROUPS(TWGs)

HIRisaddingaSupplyChainTWGfocusedonpre-compe33verequirementswithLeadershipfromIntel

HeterogeneousIntegra3onComponents1.   SingleChipandMul3ChipPackaging(including

Substrates

2.   IntegratedPhotonics3.   IntegratedPowerDevices4.   MEMS

5.   RFandAnalogMixedSignal

CrossCumngtopics6.   Materials&EmergingResearchMaterials

7.   EmergingResearchDevices

8.   Interconnect9.   Test

Integra3onProcesses10.  SiP11.  3D+2.5D12.  WLP(faninandfanout)

PackagingforSpecializedApplica3ons13.Mobile

14.IoTandWearable

15.MedicalandHealth

16.Automo3ve

17.HighPerformanceCompu3ng

Design18.Co-Design&Simula3on–Tools&Prac3ce

•  Device,package,subsystem&systemlevels

HIRRoadmapCollabora3ons&GlobalOutreach

TechnicalOrganiza-ons

•  MEPTEC•  JointHeterogeneousIntegra-onSymposiumatSanJoseHolidayInnNovember14th,2016

•  IEEEPowerElectronicsSociety•  Jointplanningandcoopera-onongoing.

•  IEEENanotechnologyCouncil•  Discussionini-ated

•  ITRI(Taiwan)•  WorkshopSponsorAugust11,2016•  Discussionongoing

TechnologyRoadmaps

•  Interna-onalElectronicManufacturingIni-a-ve(INEMI)Roadmap•  PSMR

•  NIST,AIMPhotonics,MITPhotonics&INEMI

•  IRDS•  IEEEStandardsAssocia-on

• WideBandGapSemiconductorDevices•  IEEEPowerElectronicSociety

HIR:FullEcosystemCollabora3on

EcosystemDesign

Materials

Equipment

IDM/Foundry OSAT

Fabless

EMS/PCBAssembly

System-OEM

FaceToFaceWorkshopsJuly2016Otherpar3cipantsonWebExConnec3on

FaceToFaceWorkshopsAugust2016

Tokyo,JapanAugust9,2016110Par3cipants

FaceToFaceWorkshopsAugust2016

Hsinchu,TaiwanAugust11,2016102Par3cipants

Wuhan,ChinaAugust12,201670+Par3cipants

FaceToFaceWorkshopsAugust2016

§ InthiseraofIoTquicklybecomingIoE,bigdata&analy-cstotheCloud,andsmartdeviceseverywhere,theroadmapmustbereinventedtomeettheneedsofourprofession,industry,academia,andreseachins-tutes.

§ TheHeterogeneousIntegra-onRoadmap(HIR)bringstogetherthetotalecosystemfromsystemtodevice,fromequipmenttomaterial,fromdesigntomanufacturing,andfromresearchtoindustry.•  Iden-fyingdifficultchallenges•  Proposingpoten-alsolu-onswherepossible

Projec3nga15yearhorizonformostareas,with25yearsforemergingresearchtopics

Summary

Thank You

[email protected]