documenths

Upload: dineshvhaval

Post on 02-Mar-2016

4 views

Category:

Documents


0 download

DESCRIPTION

z

TRANSCRIPT

RSS Email Follow us Become a fan Home About Us On Demand Projects Project Request Contact Us Privacy Policy Site-Map

Home Quiz Programming Data Structure Projects Mini Project Education Notes IGNOUTop of Form

Bottom of FormYou are here:HomeProgrammingVHDLCode forHalf Adder and Half SubtractorJanuary 10, 2014 11:02 am0VHDL Code for Half Adder and Half SubtractorPosted byCoding Talkson July 27, 2012VHDLCode forHalf Adder and Half Subtractor

1234567891011121314151617library ieee;use ieee.std_logic_1164.all;entity bejoy_ha isport (a,b : in bit ;s,c : out bit);end bejoy_ha;architecture arc of bejoy_ha isbegins