huong dan ise 10

14
2.1 Quy trình thiết kế dự án trong ISE 10.1 Quy trình thiết kế tạo một profect để viết chương trình trong ISE 10.1 ,và mô phỏng chương trình đó bằng simulink. Sử dụng ngôn ngữ mô tả phần cứng VHDL. (Ở đây em sử dụng chip CPLD của hãng Xilinx và phần mềm lập trình kèm theo cho hãng này là ISE, nếu sử dụng chip CPLD của Altera thì là phần mềm Quatuasr) + Bước 1. Mở phần mềm có tên là Xilinx ISE 10.1, giao diện ban đầu của phần mềm như dưới đây: Hình 3.7 : Giao diện khởi động + Bước 2: Bắt đầu khởi tạo 1 dự án mới .Vào file -> new project Hình 3.8 khởi tạo dự án mới 1

Upload: dung-laser

Post on 01-Dec-2015

230 views

Category:

Documents


3 download

TRANSCRIPT

2.1Quy trình thiết kế dự án trong ISE 10.1

Quy trình thiết kế tạo một profect để viết chương trình trong ISE 10.1 ,và

mô phỏng chương trình đó bằng simulink.

Sử dụng ngôn ngữ mô tả phần cứng VHDL.

(Ở đây em sử dụng chip CPLD của hãng Xilinx và phần mềm lập trình kèm theo

cho hãng này là ISE, nếu sử dụng chip CPLD của Altera thì là phần mềm

Quatuasr)

+ Bước 1. Mở phần mềm có tên là Xilinx ISE 10.1, giao diện ban đầu của phần

mềm như dưới đây:

Hình 3.7 : Giao diện khởi động

+ Bước 2: Bắt đầu khởi tạo 1 dự án mới .Vào file -> new project

Hình 3.8 khởi tạo dự án mới

+ Bước 3: Trong mục new project Wizard bạn ghi tên cho Project (TopTxDisp)

và nơi lưu trữ dự án của bạn

1

Hình 3.9 giao diện lưu tên project và nơi lưu trữ

+ Bước 4: Chọn các thông số board nạp cho phù hợp với loại board nhấn

Next.

2

Hình 3.10 : giao diện cài đặt các thông số phù hợp

+ Bước 5: Khi đó ta trên màn hình ta sẽ thấy giao diện sau, trong mục Sources

bên trái bạn chon thẻ ví dụ, kích chuột phải và chon New source

Hình 3.11: giao diện tạo 1 newsoure

+ Bước 6: Chọn kiểu tạo linh kiện là ngôn ngữ lập trình VHDL chọn VHDL

Module nhập tên cho thực thể ở khung File Name (ví dụ là DC_buoc)

chọn Next

Hình 3.12 Chọn các thông số lập trình

3

+ Bước 7: Trong mục tiếp theo sẽ hiện ra bảng để các bạn khai báo đầu vào và

đầu ra cho dự án nếu như các bạn biết trước các đầu vào và đầu ra thì ghi vào,

nếu chưa biết thì các bạn bỏ qua (vào trong chương trinh rồi khai báo sau).Ví dụ

trong chương trình có sử dụng các tín hiệu xung clk, rst, chieu , start làm đầu

vào để xử lý được khai báo dưới dạng bit và in, Có đầu ra ký hiệu là “ ra” được

khai báo dưới dạng vector từ 0-3 và out. Sau đó ấn nex

Hình 3.13: Khai báo đầu vào và ra của dự án

+ Bước 8: Cuối cùng ta đã tạo xong một project cho dự án của mình với ngôn

ngữ sử dụng là VHDL,file mục chứa dự án là vidu, tên của dự án là DC_buoc.

Giao diện của project chính để viết chương trình như hình sau:

Hình 3.14: giao diện viết chương trình

B9 : Sau khi lập trình xong thì chọn Save và kiểm tra lỗi bằng cách ấn Double

vào Check Syntax, nếu bị lỗi hãy kích vào chỗ báo lỗi để sữa lỗi, và check

Syntax lại.

4

H×nh 3.15 kiÓm tra lçi

B10 : Nếu cú pháp đúng thì không báo lỗi.

B11 : Khi không còn lỗi nữa, chọn mục Sythesize Right Click chọn Run để

tổng hợp linh kiện và báo thành công.

Hình 3.16: Giao diện chính trong lập trình và Chạy tổng hợp.

Hình 3.17 : Giao diện chính lập trình và báo chạy tổng hợp thành công.

5

2.2Cách mô phỏng một file VHDL trong Xilinx ISE

Bước 1:Sau khi báo chạy tổng hợp thành công,ở bên mục soure for bên trái kích

chọn Behavioral Simulation để vào mục mô phỏng.

Hình 3.18 lựa chon mục mô phỏng

Bước 2: Ở cây thư mục bên trái kích chuột phải chọn New soure

Hình 3.19 Tạo file mô phỏng

Bước 3: Tiếp theo các bạn chọn Test bench waveform và chọn đường dẫn, đặt

tên cho thư mục mô phỏng sau đó ấn Next

6

Hình 3.20 Đặt tên và đường dẫn cho file mô phỏng

Bước 4: Ở mục tiếp theo chọn thông số để mô phỏng. sau đó ấn Next

Hình 3.21 Chọn thông số để mô phỏng

Bước 5: Cài đặ các đường tín hiệu đầu vào theo các yêu cầu của phần lập trình

7

Hình 3.22 Cài đặ các đường tín hiệu để mô phỏng

Bước 6: Lưu chương trình lại sau đó ấn Run để chạy chương trình mô phỏng

Hình 3.23 chạy file mô phỏng

Bước 7:Khi mô phỏng ta sẽ được dạng xung như sau:

Hình 3.24 SPWM out put

8

2.3 Các bước nạp chương trình vào board thực tập

Bước 1: : Trong Tab Process chọn mục Users Constraints Assign Package

Pin : để gán chân linh kiện lên trên Boards; sẽ xuất hiện các thông báo, bạn chọn

Yes để đồng ý tạo file DC_buoc.ucf.

Hình 3.25 : Thông báo chọn tạo file chỉ định chân.

B13 : Xuất hiện giao diện chỉ định chân trên Board, nhập tên các chân tương

ứng với chức năng trên Board.

Hình 3.26 : Giao diện chỉ định chân.

B14 : Chọn Save, xuất hiện bảng chọn như sau, ấn OK, đóng giao diện gán

chân lại.

Hình 3.27 : Thông báo chọn lưu file chỉ định chân

Bước 2: Cấu hình lại cáp nạp data như sau :

9

Hình 3.28 : Giao diện thực hiện chọn loại cáp truyền data và chọn các thông số

B18 : Để tạo file lập trình , trong Tab Process chọn Configure Device

(iMPACT) right click Run

10

Hình 3.29 : Thực hiện chạy giao diện tạo file SPWM.bit

B19 : Xuất hiện giao diện sau chọn Finish

Hình 3.30 : Giao diện mở đầu tạo file SPWM.bit

B20 : Chọn file : SPWM.bit ấn Open

11

Hình 3.31 : Thông báo chọn file nạp chương trình.

B23 : Nếu không có lỗi , báo nạp chương trình thành công.

Hình 3.32 : Thông báo nạp thành công.

12