introduction to vlsi technology

24
Introduction to VLSI Technology Abbreviation of very large-scale integration, the process of placing thousands (or hundreds of thousands) of electronic components on a single chip. Nearly all modern chips employ VLSI architectures , or ULSI (ultra large scale integration). The line between VLSI and ULSI is vague. Very-large-scale integration (VLSI) is the process of creating integrated circuits by combining thousands of transistor-based circuits into on a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. The first semiconductor chips held one transistor each. Subsequent advances added more and more transistors , and as a consequence more individual functions or systems were integrated over time. The microprocessor is a VLSI device. The first "generation" of computers relied on vacuum tubes. Then came discrete semiconductor devices, followed by integrated circuits. The first Small-Scale

Upload: vikas-kumar

Post on 26-Aug-2014

175 views

Category:

Documents


7 download

TRANSCRIPT

Page 1: Introduction to VLSI Technology

Introduction to VLSI Technology

Abbreviation of very large-scale integration, the process of placing thousands (or hundreds of thousands) of electronic components on a single chip. Nearly all modern chips employ VLSI architectures, or ULSI (ultra large scale integration). The line between VLSI and ULSI is vague. 

Very-large-scale integration (VLSI) is the process of creating integrated circuits by combining thousands of transistor-based circuits into on a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed.

The first semiconductor chips held one transistor each. Subsequent advances added more and more transistors, and as a consequence more individual functions or systems were integrated over time. The microprocessor is a VLSI device.

The first "generation" of computers relied on vacuum tubes. Then came discrete semiconductor devices, followed by integrated circuits. The first Small-Scale Integration (SSI) ICs had small numbers of devices on a single chip — diodes, transistors, resistors and capacitors (no inductors though), making it possible to fabricate one or more logic gates on a single device. The fourth generation consisted of Large-Scale Integration (LSI), i.e. systems with at least a thousand logic gates. The natural successor to LSI was VLSI (many tens of thousands of gates on a single chip). Current technology has moved far past this mark and today's microprocessors have many millions of gates and hundreds of millions of individual transistors.

As of mid-2004, billion-transistor processors are not yet economically feasible for most uses, but they are achievable in laboratory settings, and they are clearly on the horizon as semiconductor fabrication moves from

Page 2: Introduction to VLSI Technology

the current generation of 90 nanometer (90 nm) processes to the next 65 nm and 45 nm generations.

At one time, there was an effort to name and calibrate various levels of large-scale integration above VLSI. Terms like Ultra-large-scale Integration (ULSI) were used. But the huge number of gates and transistors available on common devices has rendered such fine distinctions moot. Terms suggesting more-than-VLSI levels of integration are no longer in widespread use. Even VLSI is now somewhat quaint, given the common assumption that all microprocessors are VLSI or better

Page 3: Introduction to VLSI Technology

Why VLSI?• Integration improves the design

– Lower parasitics = higher speed– Lower power consumption– Physically smaller

• Integration reduces manufacturing cost - (almost) no manual assembly

Laboratory• Microwind layout and simulation package• Dedicated to training in sub-micron CMOS VLSI design• Layout editor, electrical circuit extractor and on-line analogue simulator

The beginningMicroprocessors are essential to many of the products we use every day such as TVs, cars, radios, home appliances and of course, computers. Transistors are the main components of microprocessors.

At their most basic level, transistors may seem simple. But their development actually required many years of painstaking research. Before transistors, computers relied on slow, inefficient vacuum tubes and mechanical switches to

Page 4: Introduction to VLSI Technology

process information. In 1958, engineers managed to put two transistors onto a Silicon crystal and create the first integrated circuit, which subsequently led to the first microprocessor.

Major Design Challenges

Microscopic issues o ultra-high speedso power dissipation and supply rail dropo growing importance of interconnecto noise, crosstalko reliability, manufacturabilityo clock distribution

Macroscopic issueso time-to-marketo design complexity (millions of gates)o high levels of abstractionso design for test

Page 5: Introduction to VLSI Technology

o reuse and IP, portabilityo systems on a chip (SoC)o tool interoperability

Integrated Circuits Trends

Digital logic is implemented using transistors in integrated circuits containing many gates.

o small-scale integrated circuits (SSI) contain 10 gates or lesso medium-scale integrated circuits (MSI) contain 10-100 gateso large-scale integrated circuits (LSI) contain up to 104 gateso very large-scale integrated circuits (VLSI) contain >104 gates

Improvements in manufacturing lead to ever smaller transistors allowing more per chip.

o >107 gates/chip now possible; doubles every 18 months or so

From Howe, Sodini: Microelectronics:An Integrated Approach, Prentice Hall

Page 6: Introduction to VLSI Technology

Variety of logic familieso TTL - transistor-transistor logico CMOS - complementary metal-oxide semiconductoro ECL - emitter-coupled logico GaAs - gallium arsenide

What are shown on previous diagrams cover only the so called front-end processing - fabrication steps that go towards forming the devices and inter-connections between these devices to produce the functioning IC's. end result are wafers each containing a regular array of the same IC chip or die. The wafer then has to be tested and the chips diced up and the good

chips mounted and wire-bonded in different types of IC package and tested again before being shipped out.

An entire circuit is manufactured in a single piece of silicon, first appeared around 1960

At that time only a few simple gates offering primitive logic functions such as not, nand, nor etc. could be accommodated (SSI)

By 1970 MSI circuits with about a thousand transistors appeared By 1980 LSI circuits of approximately one hundred thousand devices were

possible Moore’s Law Gordon Moore cofounder of Intel Corporation visualized in the 1970’s that

chip building technology would improve very quickly He projected that the number of transistors on a chip would double about

every 18 months

Page 7: Introduction to VLSI Technology

Choice of Technology

Two distinct types of technology are fabricated in silicon based upono BJT (Bipolar Junction Transistor)o MOS (Metallic Oxide Semiconductor)

Since processing of these technologies is very different, it is impractical to mix them up within a chip

MOS logic occupies much smaller area of silicon than the equivalent BJT logic

MOS technology has a much higher potential packing density A MOS logic circuit requires appreciably less current and hence less power

than its bipolar counter part However, bipolar circuits operate faster than MOS circuits Even so, the speed-power product for MOS logic compares favorably with

that of BJT logic The structure of an MOS transistor is much simpler than that of bipolar

devices and this makes manufacturing process easier This in turn should result in fewer faults occurring in fabrication (high yield) Dynamic logic circuits cannot be implemented in bipolar technology Thus in terms of area, power dissipated, yield and flexibility MOS

technology is superior to BJT

Page 8: Introduction to VLSI Technology

Moore’s Law• Gordon Moore: co-founder of Intel• Predicted that the number of transistors per chip would grow exponentially

(double every 18 months)• Exponential improvement in technology is a natural trend: The Cost of

Fabrication

• Current cost $2 - 3 billion• Typical fab line occupies 1 city block, employees a few hundred employees• Most profitable period is first 18 months to 2 years• For large volume IC’s packaging and testing is largest cost• For low volume IC’s, design costs may swamp manufacturing costs

Page 9: Introduction to VLSI Technology
Page 10: Introduction to VLSI Technology

Relative sizes of ICs in graph

Limits of Moore’s Law? Growth expected until 30 nm gate length (currently: 180 nm)

o size halved every 18 mos. - reached in o 2001 + 1.5 log2((180/30)2) = 2009 o what then?

Paradigm shift needed in fabrication process

Page 11: Introduction to VLSI Technology

Switches We can view MOS transistors as electrically controlled switches Voltage at gate controls path from source to drain

• Digital equipment is largely composed of switches• Switches can be built from many technologies

– relays (from which the earliest computers were built)– thermionic valves– transistors

• The perfect digital switch would have the following:– switch instantly– use no power– have an infinite resistance when off and zero resistance when on

• Real switches are not like this!

Semiconductors and Doping• Adding trace amounts of certain materials to semiconductors alters the

crystal structure and can change their electrical properties– in particular it can change the number of free electrons or holes

• N-Type– semiconductor has free electrons– dopant is (typically) phosphorus, arsenic, antimony

Page 12: Introduction to VLSI Technology

• P-Type– semiconductor has free holes– dopant is (typically) boron, indium, gallium

• Dopants are usually implanted into the semiconductor using Implant Technology, followed by thermal process to diffuse the dopants IC Technology

• Speed / Power performance of available technologies• The microelectronics evolution• SIA Roadmap• Semiconductor Manufacturers 2001 Ranking

Metal-oxide-semiconductor (MOS) and related VLSI technology

• pMOS

• nMOS

• CMOS• BiCMOS • GaAs

Basic MOS Transistors

• Minimum line width• Transistor cross section• Charge inversion channel

Page 13: Introduction to VLSI Technology

• Source connected to substrate• Enhancement vs Depletion mode devices• pMOS are 2.5 time slower than nMOS due to electron and hole mobilities

What is a Silicon Chip?

• A pattern of interconnected switches and gates on the surface of a crystal of semiconductor (typically Si)

• These switches and gates are made of– areas of n-type silicon– areas of p-type silicon– areas of insulator – lines of conductor (interconnects) joining areas together

• Aluminium, Copper, Titanium, Molybdenum, polysilicon, tungsten

• The geometryof these areas is known as the layout of the chip• Connections from the chip to the outside world are made around the edge

of the chip to facilitate connections to other devices

Fabrication Technology

• Silicon of extremely high purity– chemically purified then grown into large crystals

• Wafers– crystals are sliced into wafers

Page 14: Introduction to VLSI Technology

– wafer diameter is currently 150mm, 200mm, 300mm– wafer thickness <1mm– surface is polished to optical smoothness

• Wafer is then ready for processing• Each wafer will yield many chips

– chip die size varies from about 5mmx5mm to 15mmx15mm– A whole wafer is processed at a time

• Different parts of each die will be made P-type or N-type (small amount of other atoms intentionally introduced - doping -implant)

• Interconnections are made with metal• Insulation used is typically SiO2. SiN is also used. New materials being

investigated (low-k dielectrics) • nMOS Fabrication• CMOS Fabrication

– p-well process– n-well process– twin-tub process

• All the devices on the wafer are made at the same time• After the circuitry has been placed on the chip

– the chip is overglassed (with a passivation layer) to protect it– only those areas which connect to the outside world will be left

uncovered (the pads)• The wafer finally passes to a test station

– test probes send test signal patterns to the chip and monitor the output of the chip

• The yield of a process is the percentage of die which pass this testing• The wafer is then scribed and separated up into the individual chips. These

are then packaged• Chips are ‘binned’ according to their performance

Page 15: Introduction to VLSI Technology

CMOS Technology• First proposed in the 1960s. Was not seriously considered until the severe

limitations in power density and dissipation occurred in NMOS circuits• Now the dominant technology in IC manufacturing• Employs both pMOS and nMOS transistors to form logic elements• The advantage of CMOS is that its logic elements draw significant current

only during the transition from one state to another and very little current between transitions - hence power is conserved.

• In the case of an inverter, in either logic state one of the transistors is off. Since the transistors are in series, (~ no) current flows.

• See twin-well cross sections

BiCMOS• A known deficiency of MOS technology is its limited load driving capabilities

(due to limited current sourcing and sinking abilities of pMOS and nMOS transistors.

• Bipolar transistors have• higher gain• better noise characteristics• better high frequency characteristics

• BiCMOS gates can be an efficient way of speeding up VLSI circuits• See table for comparison between CMOS and BiCMOS • CMOS fabrication process can be extended for BiCMOS • Example Applications

• CMOS- Logic• BiCMOS - I/O and driver circuits• ECL - critical high speed parts of the system

Page 16: Introduction to VLSI Technology

VLSI Applications• VLSI is an implementation technology for electronic circuitry - analogue or

digital• It is concerned with forming a pattern of interconnected switches and gates

on the surface of a crystal of semiconductor• Microprocessors

– personal computers– microcontrollers

• Memory - DRAM / SRAM• Special Purpose Processors - ASICS (CD players, DSP applications)• Optical Switches• Has made highly sophisticated control systems mass-producable and

therefore cheap • Processors

– CPU, DSP, Controllers• Memory chips

– RAM, ROM, EEPROM• Analog

– Mobile communication,audio/video processing

• Programmable– PLA, FPGA

• Embedded systems– Used in cars, factories– Network cards

• System-on-chip (SoC)

Page 17: Introduction to VLSI Technology

IC Products

Page 18: Introduction to VLSI Technology

Learning Outcomes Understand the principles of the design and implementation of standard

MOS integrated circuits and be able to assess their performance taking into account the effects of real circuit parameters

o Typical Applicationso Moore’s Lawo The cost of fabrication

Technology Backgroundo What is a chipo Switcheso Dopingo IC Technologyo Basic MOS Transistoro Fabrication Technologyo CMOS Technologyo BiCMOS

Scenario in India???• HUGE outsourcing• Loads of startups (WHY?)• VLSI design is nowhere a single nation job• Fabrication labs (fabs) in USA getting sold out• India getting 4 fabs by next year!

Page 19: Introduction to VLSI Technology

References

1. Perry "VHDL"2. Charles Roth, "Digital System Design using VHDL".

McGraw hill.3. Xilinx Data Manual "The Programmable Logic Data

Book".4. Stephen Brown and Zvonko Vranesic, "Fundamentals

of Digital Logic with VHDL Design", Second Edition, McGraw-Hill, 2005.

5. Michael John Sebastian Smith, "Application-Specific Integrated Circuits", Addison Wesley.

6. Wayne Wolf, "FPGA-Based System Design", Prentice Hall,

7. Miron Abramovici, "Digital Systems Testing and Testable Design", Jaico Publishing.

8. Sung-Mo (Steve) kang, Yusuf Leblebici, " CMOS Digital Integrated Circuit", Tata McGraw-Hill Publication.