logickÉ systÉmyskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · prof. ing. norbert...

150
Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing. JOZEF HLAVATÝ, CSc. LOGICKÉ SYSTÉMY 2. vydáme alfía ,. VYDAVAl tLSTVO TECHNICKEJ A EKONOMICKEJ LITERATÚRY BRATISLAVA

Upload: vodat

Post on 19-Feb-2018

272 views

Category:

Documents


6 download

TRANSCRIPT

Page 1: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc.Doc. Ing. JÁN KOLENIČKA, CSc.Doc. Ing. JOZEF HLAVATÝ, CSc.

LOGICKÉ SYSTÉMY2. vydáme

a l f í a , .

V Y D A V A l t L S T V O T E C H N I C K E J A E K O N O M I C K E J L I T E R A T Ú R Y B R A T IS L A V A

Page 2: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

OBSAH

Úvod ........................................... ........................................................... ......................... 9

1 Logický systém — základné koncepde..................... ....................................................... 131.1 Pojem logického systému a logického o b vo d u ................. ...................................... 131.2 Rozdelenie logických systémov............................................................................. 19

1.2.1 Kombinačně a sekvenčné systém y..................... .................................., 191.2.2 Systémy s pevnou a programovanou funkciou ........................................... 22

1.3 Základ né úlohy inžinierskej praxe při návrhu logických obvodov.............................. 24

2 Boolovské fu n k c ie .................................. ..................... .... ....................................... 272.1 Pojem boolovskéj funkcie , ....................................... .......................................... 272.2 Opis správania kombinaéných logických obvodov po mocou boolovskýchfunkcií . . . 312.3 Sposoby zápisu boolovskýchfunkcií.............................. ...................................... 34

2.3.1 TabuOtové, vektorové a číselné zápisy........................................................ 342.3.2 Zápisy používá júce geometrické znázornenie funkcie.................................. 38

2.4 Algebra boolovskýchfunkcií . .............................................................................. 442.5 Súčtové a súčinové kompozície boolovských funkcií ....................................... 482.6 (Jlohy na riešenie. ........................................................ ............................. 52

3 Výrazy .................................................... ........................................................... .... . 533.1 Pojem výrazu a jeho hodnoty ............................................... .... 533.2 Vzfah medzi boolovskýmifunkciami a výrazmia ekvivalencia výrazo v ..................... 573.3 Algebry výrazov ................................................................................................... 623.4 Funkčná úpfnosť množiny operácií ....................................... .................................. 663.5 Normálně formy výrazov............................................................................ .... . 69

3.5.1 Definícta normálnej formy . ..................................................................... 693.5.2 Vztahy medzi normálnymi formami . .......................... 723.5.3 Úplná, iredundantná a minimálna normálna forma .......................... 753.5.4 Testovanie ekvivalende výrazov pomocou úplných DNF , . . . . . . . 80

3.6 Úlohy na riešenie............................................................ .... 81

4 Kombinačně logické siete ............................................................ .... 834.1 Pojem siete, vztah medzi sieťou a výrazom ....................................... 83

4.1.1 Analýza s i e t í ..................... .................................................................... 884.1.2 Princip syntézy logických sietí. ................................................................ 924.1.3 Iné sposo by opisu logické j siete ................................................................. 94

4.2 Stupně v normálně j sieti ............................................................................. .... . 964.3 Hradlové Struktury................................................................................. 100

Page 3: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

4.3.1 Hradlový dvoj pól . ............................................... ............................. - 1054.3.2 Sériovo-paralelnéa mostíkové hradlové( l , m)-póiy .................................. .....1084.3.3 Analýza hradlových (A, m )-pólov.......................................... .... . . . 111

4.4 Úlohy na r ie še n íe .............................................. ...............................................114

5 Minimaiizácia normálnych foriem v ý ra z o v ................................... . . . . . . . 1155.1 Základné pojm y.................................................................................... ................. 1155.2 Minimaiizácia pomocou mapových zápisov funkcií. , ................................................1175.3 Quinova-Mc Cluskeyho metoda mtnimalizáde......................... ..............................126

5.3.1 Vytvorenie množiny prostých im plikanrov......................... ......................... 1265.3.2 Problém pokrytia.................................. . .................................. .... , 131

5.4 Skupinová minimaiizácia....................................................................... ................. 1385.5 Ďalšie metody minimalizáde....................................................... ..............................1515.6 Úlohy na riešenie................................................................................................. .....154

6 Syntéza kombinacných obvodov . ................................................................................. .....1556.1 Form ulácia problém u .......................................................- ............................. .... 1556.2 Syntéza logických obvodov s jedným výstupom..................... ......................... .............157

6.2.1 Syntéza dvojstupňových obvodov . > ..................... ..................................1576.2.2 Syntéza trojs tup nových obvodov . ...................................... ......................... 160

6.3 Syntéza dvojstupňových obvodov svíacerýmí výstupmi ................................................1666.4 Syntéza obvodov s logickými čtenmi s konečným počtom vstupov .......................... . 1686.5 Využitie vlastností špeciálnych logických funkcií na syntézu ........................................... 171

6.5.1 Symetrickéfunkcie . . ............................................... ......................... .... 1726.5.2 Prahové a majoritně funkcie .........................................................................176

6.6 Využitie rozkladov boolovskýchfunkcií na syntézu . . . . . . . . . . . 1836.6.1 Triviálně rozklady ................................................................................ .....1836.6.2 Jednoduché disjunktivně rozklady ................................................................ 1866.6.3 Zložené disjunktivně rozklady ............................................... ..................... 192

6.7 Návrh kombinačných obvodov s integrovanými o b vo d m i...................................... .... 1956.7.1 Použitie členov N A N D ................................................................................1956.7.2 Použitie členov NOR ................................................... ..............................201

6.7.3 Použitie členov AND — NOR .............................. ................................. 2076.7.4 Použitie č le n o v X O R ...................................... ......................... .................2136.7.5 Použitie multiplexorov ................................................................................. 2176.7.6 Použitie dekodér o v ........................................... .................................. 232

6.8 Návrh kom binacných obvodov s programovatelnými p o la m t..................................2366.8.1 Použitie pevných pa m a l í .............................................. .........................2366.8.2 Použitie programováterných logických polí ............................................... 244

6.9 Návrh kombinačných obvodov s hradlovým i p o lam i......................... ......................... 2556.10 Návrh hradlových obvodov .................................................................................259

6.10.1 Návrh sér ío vo -pa rale Iných kontaktových a kontaktovo-diódovýchpólov...........................................................................................................261

6.10.2 Návrh mostíkových kontaktových a kontaktovo-diódových ( l , m)-pó|ov 2686.11 Úlohy na riešenie . . . . . . . . . . . . . . . ..................................274

7 Matematické modely správania sekvenčného obvodu . . . . . . . . . . . . 2777.1 Všeobecná charakteristika sekvenčného obvodu ...................................... .................2777.2 Konečný automat a jeho použitie na opis správania sekvenčného obvodu . . . . . 281

Page 4: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

7.3 Dalšie spósoby zápisu konečného automatu , , . .................................................. .... 28?7.4 Transformácia slova rozpoznáváme událostí...................... ............................... , , 289

7.4.1 Tra nsřorm ácia slov — sekvenčně zobrazenie................................, . . , 2897.4.2 Rozpoznáváme u d á lo s t í .................. .... ................................................. ........ 293

7.5 Neúplný a rozšířeny automat ......................................... .......................... ......................2977.6 Programovéschémy . ......................................... ......................................................299

7.7 Petrihoste le .................. ...................... ..................................................... .... ............. 3067.8 Využitie automatov a iných stavových zápisov při opise správania a syntéze sekvenč-

ných obvodov................................................................................................... ............. 3177.9 Úlohy na riešeníe. . .............................................................................................. .... 519

8 Interprefácía konečného automatu ako modelu správania asynchrónnych sekvenčných obvo­dov ....................... . .............................................................. .... ............................... .... .... 323

8.1 Fundamentálně asynchronně sekvenčné obvody ....................... , ...........................3238.2 Fundamentálnyautomat . . . ................................ ................................................. 3278.3 Impulzové asynchronně sekvenčnéobvody...................... .............................................3338.4 Olohy na riešeníe, ........................................................... .............................................338

9 Zostavenie stavových zápisov správania sekvenčnych obvodov a ich minimaiizácia . . . . 3429.1 Základné koncepcie. .................................................. ................................................. 3429.2 Procedura syntézy automatu rozpoznávájúceho zadané u d á lo s ti............................... .... 3439.3 Příklady zostavenia stavových zápisov správania . ................................ ...................... 348

9.4 Pokrytie a ekvsvalencia automatov........................... .................................................3719.5 Z1 uči te ínosť s ta vo v a tr ied y zlučite Fnosti.................. ........................................ .... . 3739.6 Zostavenie automatu pokrývajúceho zadaný automat so známým úplným a uzavře tým

súborom trierf z lučitefnosti.................. .... .......................................................... . 3759.7 Zostavenie množiny vietkých žlučítefnýchdvojíc— implikačná tabufka . . . . . . 3779.8 Zostavenie maximálnych tried zlučitefnosti.................. .... ...................... .... . . -* 3809.9 Princip vyberu minimálnych súborov— prosté triedy zlučitefnosti...................... .... . 3839.10 Zostavenie množiny všetkých prostých tried .................................................. ..................3859.11 Zostavenie minimálnehosúborutriedzlučiternosti...................... .... ................................3889.12 Osobitosti redukcie úplné určených automatov........................... .... ................................394

9.13 Vzťah medii Mealyho a Moorovým automatem . .......................................................3969.14 Úlohy na riešenie. . ........................................................... ........................................398

10 Návrh synchrónnych sekvenčnych obvodov ................................ .... ........................................40310.1 Form ulácia problém u s y n té z y .................................... ................................................. 40310.2 Struktúrny model synchrónneho sekvenčného obvodu . . . . . . . . . . . 40510.3 Zostavenie budiacich a výstupných fu n k c i í .................. .... .............................................40610.4 Typy synchrónnych preklápacích o b v o d o v ............................................................... .... 411

10.4.1 Preklápacie obvody D . . . . . . . . . . . . . . . . . . . 41210.4.2 Preklápacie obvody 1K .................................................. .... ............................... 41810.4.3 Preklápacie obvody SR ......................................................................................42010.4.4 Preklápacie obvody T . . . . . . . . . . . . . . . . . . . 422

10.5 Syntéza synchrónnych sekvenčných obvodov s preklápadmi obvodní i ...................... .... 42310.5.1 Inverzně přechodové funkcie prekláparich o b vo d o v .................................... .... 42410.5.2 Budiace funkcie překlápadchobvodov...................... .... ....................................425

10.6 Podmíenkysprávnej funkde synchronizácie vsekvenčnom o b v o d e .................. .... . 43010.7 Návrh synchrónnych sekvenčných obvodovs integrovanými obvodmi . . . . . . 431

Page 5: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

m .í. i oymcta s i (negrova nymi o pvoamtsostrea nou integractou . . . . . . . 43910.7.3 Syntézaspevnýmipamaťami .............................................................................44410.7.4 Syntéza s programovatelnými logickými poTami . . . . . . . . . . . 446

10.8 Optimálně kódovanie stavov ...................................................... ................................... 44610.9 Syntéza obvodov pri niektorých speciál nych kódoch ..................................................45310.10 Úlohy na riešenie...................... .... ............................................................................457

1 Syntéza asynchrónnych sekvenčných obvodov ............................................. ...........................45811.1 Formulácia problému . . . . . . . . . . . . . . . . . . . . . . 45811.2 Vofba vnútorného kódupreasynchrónnu realízáciu automatu.................. .... ..................463

11.2.1 Súbehy stavových přemenných ............................................. .... ...................... 46311.2.2 Priama realizácia a u to m a tu .................. ..........................................................465

11.2.3 Konštrukcia priamych kódov...................... .... .........................................47611.2.4 Nepriama realizácia automatov ...................................................... .... ............. 477

11.3 Hazardy v kombinačných obvodoch a ich efim inácia ............................... .... ..................48411.4 Podstatný hazard, D-trio a metody ich eliminácie . . . . . . . . . . . . . 49511.5 Asynchronně sekvenčně obvody so samosynchronizáciou...................... ......................50611.6 Syntéza hladinovo-impulzových asynchrónnych sekvenčných obvodov .......................51211.7 Rychlostně nezávislé asynchronně o b v o d y ...................... ............................................ 52011.8 Úlohy na riešenie............................................................... .... ................................ 524

l Iteračné obvody .................................................................................................................52812.1 Buňkové struktury ...................................................... .... ............................................ 52812.2 Opis kombinačného iteračnéhoobvodu . . . . . . . . . . . . . . . . 52912.3 Opis správania buňky kombinačného iteračného obvodu .................................... .............53112.4 Syntéza iteračného kombinačného obvody ............................................................... 53312.5 Úlohy na riešenie...................... ................................................................................ .... 541

odatky ........................................................................ ............................................ . . . 542

Boolova algebra ........................................................... .......................... ...............................542A.1 Pojem Boolovej algebry ...................................................... .... ........................................542A.2 Příklady Boolovýchalgebier. . , .................................................................... .... . 546Sk lad y feórie rozk lad o v .................. .... .................................................................... ........549Technické prostriedky na realízáciu logických o b v o d o v ............................... .... ......................552C.l Základné logické členy a ich vlastnosti ............................................................................ 553C.2 Fyzika lna realizácia logických členov............................................................................ .... 563

C.2.1 Logické obvody s bipolárnymi tranzisiormi . . . . . . . . . . . . . 563C.3 Logické obvody s tranzisiorm i M O S ............................................................................ 572

teralúra ..................................................................................................................................576

íg ister............................... .... ..............................................................................................585

Page 6: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

ÚVOD

Číslicové počítače a iné číslicové (digitálně) zariadenia na spracovanie dát a riadenie procesov patria v súčasnej etape medzi kMčové prostriedky vedec- ko-techníckého rozvoja. Rýchly rozvoj mikroelektroníckej technologie poskytuje vefké možnosti uplatniť tieto prostriedky vo všetkých sférách fudskej Činnosti. Pri tomto trende vývoj a je cel kom prirodzené, že tvorba číslicových počítá Čo v a roznorodých číslicových zariadení zaberá významné miesto v okruhy aktuálnych problémov súčasnej inžinierskej praxe.

Kniha je určená na studium teoretických základov, inžinierskych koncepcií a metod riešenia problémov jednej z významných eíáp tvorby číslicových zariadení— tvorby logických obvodov. Táto etapa nadvázuje obyčajne na vyššiu etapu, v ktorej sa vytvára základná koncepcia a funkčná organizácia (archilektúra) Číslicového systému. Na druhej straně zase z tejto etapy vychádza elektronická, připadne mikroelektronická realizácia číslicového systému. V knihe sa zaoberáme logickými systémami, ktoré sú charakterizované iba logickými (zváČŠa dvouhodno­tovými) veličinami a ich správanie sa vyjadřuje pomocou vzťahov medzi tým i to veličinami. Logické systémy rozoberáme najma vzhíadom na ich technické realizá- cie logickými obvodmi. Předpokládáme, že čítateT je oboznámený s princípmi a metodami elektronickej realizácie prvkov a štruktúrou logických obvodov, Niektoré základné spdsoby elektronickej realizácie prvkov logických obvodov uvádzame v dodatku C

Hlavnými úlohami pri tvorbě logických obvodov je ich syntéza a analýza. Aby sme mohli riešiť tieto a iné úlohy, vznikla teória logických systémov. Základy tejto teorie položili v r. 1938 práce C E. Shannona (USA ) a V. J. Šestáková (ZSSR). Vznik a rozvoj teorie bol stimulovaný problémami pri návrhu reléovo-kontakto- výeh obvodov pri stavbě telekomunikačných a riadiacich zariadení. Významnější rozvoj teorie logických systémov nastáva po 2. světověj vojně najma v súvislosti s rozvojom číslicových pocítaČov.

Na Elektrotechnickej fakultě Slovenskej vysokej školy technickej sa problemati­ka logických systémov prednáša v samostatnom predmete od roku 1962.V súčasnosti sa táto problematika vyučuje vo viacerých predmetoch a studijných odboroch vysokých aj středných škol. Kniha Logické systémy je určená ako učebnica pre rovnomenný predmet v študijnom odbore Elektronické počítače na

Page 7: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Elektrotechnických fakultách technických vysokých Škol. Z tohto poslania vyplývá aj osnova a celková orientácia knihy. Knihu však možno použít’ aj ako učebnicu pře před met Konečné automaty a ako učebná pomócku pře predmet Logické riadenie procesov v studijných odboroch Technická kybernetika a Automatizované systémy riadenia na elektrotechnických fakultách, připadne ako učebnu pomócku v íných studijných odboroch vysokých škol, Sme presvedčení, že kniha može slúžiť aj ako studijná pomócka a příručka pře inžinierskych a technických pracovníkov v praxi, ktorí sa zaoberajú problematikou tvorby číslicových zariadení.

Pri spra co varii diela sme vychádzali zo skúseností získaných pri výučbe predmeíu a zo skript, ktoré postupné vznikali počas rozvoja predmetu, a to: Frištacký, N .: Logické systémy — kombinačně obvody SVŠT 1967 (príp. 1977), Frištacký, N.: Logické systémy — sekvenčné obvody, SVŠT 1976, Frištacký, N.— Hlavatý, J. — Kolesár, M .: Logické systémy — asynchronně sekvenčné obvody SVŠT 1982, Kolenička, J . : Logické systémy — časť L (V U T Brno), SNTL, Praha 1976.

Předložená kniha sa zaoberá štúdiom logických systémov s pevnou funkciou (logikou), pri ktorých sa požadovaná funkcia dosahuje vytvořením vhodnej struktury systému, Koncepcia systémov s programovanou funkciou, vrátane mík- roprogramovýeh riadíacich jednotiek, je uvedená v 1. Časti knihy,

Kniha je rozdělená na 12 kapitol a má 3 krátké dodatky. Prvá kapitola obsahuje základné pojmy a úlohy. Druhá a Iretia kapitola uvádza základné matematické prostriedky na opis správania a struktury kombinačných logických obvodov — boolovské funkcie a logické výrazy,

Vo 4, kapitole sa preberajú logické siete ako základné matematické prostried­ky na opis struktury logických systémov. Sú v nej uvedené základné pojmy normálnych a hradlových sietí. Minimalizáciou normálnych foríem výrazov ako dóležitého nástroja na optimalizáciu návrhu systémov sa zaoberá 5. kapitola.

Problém syntézy kombinačných obvodov je v 6. kapitole. Okrem klasických metód obsahuje aj metody návrhu kombinačných obvodov, založené na rozkladoch boolovských funkcií, metodiku návrhu kombinačných obvodov s integrovanými obvodmi a programovatelnými logickými pofami. V tejto kapitole sa uvádzajú aj principy a základy tvorby logických obvodov s hradlovými pofami (gate arrays).

Siedma kapitola sa zaoberá matematickými modelmi na opis správania sckvenčných obvodov, Preberajú sa tu konečné automaty, regulárne výrazy, Petriho siete a mikroprogramové schémy a ich využitie na opis správania sekvenč- ných obvodov.

Osma kapitola je věnovaná špeciálnym problémom opisu správania asyn- chrónnyeh fundamentálnych a hladinovo-impulzových sekvencných obvodov.V 9. kapitole sa zaoberáme problémom zostavenia konečných automatov a íných východiskových zápisov správania sekvencných obvodov. S týmto problémom sa

Page 8: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

střetáváme v prvej etape návrhy sekvenčných obvodov, Do 9. kapitoly sme zahrnuli aj základné pojmy a metody minimalizácie stavov konečných automatov.

V 10, kapitole sa z^peránr. metodami návrhu synchrónnych sekvenčných obvodov a uvádzame aj využitie integrovaných obvodov a programovatelných polí. Táto kapitola obsahuje aj metody výběru optimálneho vnútorného kódu vzhfadom na zložitosť obvodu, ako aj metody návrhu obvodov pri unitárnych vnútorných kódoch, používaných najma pri riadiacich obvodoch s pevnou logikou.

Jedenásta kapitola je určená návrhu asynchrónnych fundamentálnych aj hladinovo-impulzových sekvenčných obvodov. Je v nej zahrnutá aj problematika tvorby vhodného vnútorného kódu* ako aj problematika hazardov v kombinačných a sekvenčných obvodoch a ich eliminácia. V 12. kapitole preberáme najbežnejšiu bunkovú strukturu — iteračné kombinačně obvody a uvádzame syste matičku metodu ich syntézy. V troch dodatkoch sú uvedené základné pojmy a koncepcie Boolovej algebry (A)* algebry rozkladov množin (B ) a elektroníckej realizácie logických obvodov (C).

V knihe používáme symboliku, ktorú v případe potřeby vysvětlujeme priamo na mieste jej použitia. Preto uvedieme iba niekofko poznámok k použivanej symbolike. Množiny označujeme zátvorkami { , } ; symboliku {a\V} rozumieme ako množinu všetkých prvkov «, které majú vlastnost’ V. Symbolom {0, I } fc označujeme fc-násobný karteziánsky súčin množiny {0, 1} so sebou samou, t. j. množinu všetkých usporiadaných A>tic, vektorov zostavených z prvkov 0 a I. Zobrazenie (funkciu) / označujeme takto: /: A —»B, kde A je obor a B je koobor (obor hodnot) řunkcie /; symbolizmus a ^ b používáme na označenie prvku b z množiny B , ktorý je podlá daného zobrazenia priradený prvku a z množiny A. Třeba poznamenat; že symbol a —*b značí přechod obvodu zo stavu a do i? atebo změnu vstupu obvodu z a do b. Ak A je množina, tak $ A označuje jej mohutnost’, t. j, počet prvkov množiny A . Symbol [X] značí najmenšie váčšie celé číslo pře reálne číslo X. Operácie logický súčin (logický s li čet) označujeme pre jednoduchost symbolmi . ( + ), a to vzhfadom na to, že tieto symboly sa využívajú v knihe na označenie příslušných aritmetických operácií iba na niekofkých mies- tach, kde (ako dúfame) nemóže nastat’ změna ich interpretácie.

Vedúcim autorom diela je N. Frištacký, ktorý koordinoval a viedol jeho spracovanie. Jednotlivé kapitoly a časti spracovalí autoři takto: N. Frištacký kapitoly 1, 2, 3, 7, 8 ,9, 12 a dodatok A ; J. Koleníčka kapitoly 4 a 5, podkap. 6.1 až 6.4 a dodatok C; M, Kolesár podkap. 6.5 až 6.10 a podkap, 10.1 až 10.7; J. Hlavatý podkap. 10.8 a 10.9, kapitolu 11 a dodatok B.

Na závěr by sme sa chceli pocfakovat’ všetkým tým, ktorí přispěli k spracovaniu knihy, najma Elektrotechnickej redakcii vydavatelstva Alfa a lng. Františkovi Tókolymu za podporu a porozumenie, ktoré nám prejavíli pri práci na rukopise. Ing. Pavlovi Čičákovi z Katedry počítačov Elektrotechnickej fakulty SVST ďakuje-

Page 9: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

* Zu sp o iu p rc lťu p ř i 5prdUUV<ll)l pLFULUťl p - / * / & IVWI li i Ai i w ¥VJ l iv m u p I wwí * laivw <íupísanie vefkej časti rukopisu. Za. cenné pripomienky ďakujeme aj lektorom tejtoihy. Čítatefom vopred ďakujeme za pripomienky a zhodnotenie nasej práce.

Page 10: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

1.1 PO JEM LO G IC K ÉH O SY ST ÉM U A LO G IC K ÉH O O BV O D U

Na začiatku sí opíšeme základné vlastností dynamických systémov, ktoré nazývame logické systémy.

Systém má n vstupných veličin xíy ..., xn (obr. 1.1), ktoré menia svoju hodnotu v čase nezávisle od systému. Změny týchto veličin sa vytvárajú okolím systému. Systém má okrem toho aj m výstupných veličin y i* yw, ktorých hodnoty funkčně závísia od hodnot vstupných veličin. Vztahy medzi hodnotami vstupných a výstupných veličin sa vo všeobecnosti sprostredkúvajú určitými vnútornými veličinami systému zu z*. Tieto veličiny nazývame stavové. Spomenuté veličiny systému budeme nazývať vstupné, výstupné alebo stavové premenné. Vstupné a výstupné premenné nazývame často iba vstupy a výstupy systému.

Obr. 1.1. Vstupné,stavové a výstupné premenné logického systému

Vo všetkých dalších častiach sa budeme za ujím ať o systémy, ktorých jednotli­vé premenné nadobúdajú iba dve hodnoty. Tieto hodnoty označujeme symbolmi 0 a I a nazývame ich logické alebo boolovské (čítaj: búlovské) hodnoty, připadne signály. V literatuře sa možno stretnúť aj s iným označením týchto hodnot. Používá sa napr. označenie L, H, čo sú začiatočné písmená anglických slov „low “ , „high“ , vyjadrujúcich nižšiu a vyššiu úroveň fyzikálnej veličiny, ktorá zobrazuje logické hodnoty (pozři ďalej)1. Příslušné premenné systému nazývame potom dvojhodno- tové alebo boolovské premenné.

1 Často sa používá označenie logických hodnot symbolmi 0, I, ktoré sa chápu ako číslice dvojkovej čísel nej sústavy. Použitie symbolu I (namiesto 1) však vhodné připomíná, že logické hodnoty nebudu mať vo všeobecnosti význam číslic 0 a 1.

Page 11: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Obyčajne předpokládáme, že logický systém je definovaný na technickom zariadent a jednotlivé premenné sysíétnu zodpovedajú určitým, spojilo sa menia- cim fyzikálnym veličinám, najčasiejšie veličinám elektromagnetického poFa. Logic­ké hodnoty sa vyjadřuji! (zobrazujú) pomocou dvoch disjunktnych intcrvalov hodnot príslušnej fyzikálnej veličiny, napr. elektrického napátia. pnidu alebo náboja. magnetického toku a pod. (pozři přílohu C),

Při opise vzťahov medzi vstupmi a výstupmi pracujeme s vektor mi hodnot vstupných, výstupných alebo stavových premenných, Vektor tu rozum ieme ako usporiadanú s-ticu logických hodnot s premenných. Lubovofnú n-ltcu hodnot vstupných premenných .v,, nazývame vsrupny vektor a Tubovoínú m-dcuhodnot výstupných premenných v,, ym výstupný vektor systému.

Tak například pri troch vstupných premenných .v,, .v> jc niekiorý vstupný vektor cr vyjádřený takto;

a = (ífs, a 2, < h )

kde ti,e'0, 1} je určitá logická hodnota premennej v;. í = 1, 2, 3,Pri uvedených n vstupných a m výstupných premenných možno množinu

A = ((«,. řín)|« ,e {0 ,1}} všerkých vstupných vekiorov a množinu U ~ [(m,......íi^)Iw,eíO. I} } vsetkych výstupných vektorov vyjádřit pomocou karteziánskeho súčinu

A = 10. I) x ... x JO. 1} = {0 , [}". — i - ^ -----------

/í-krát

U = { 0, I J *

kde ; 0. 1} znamená množinu logických hodnot.Tak například pri 3 vstupných premenných *i, x2, a 2 výstupných premen­

ných v,» v2 množinv vstupných a výstupných vektorov vyzerajú takto:

A = {(). I } J = {(0, 0, 0). (0, 0, [). (0. L 0). (0, 1. I),(1,0. 0), (1.0, I). (I, L ÍJ), (I. 1, I)}

u= '0 . I}2= / ( 0, 0), (0. [). (L 0). (I, I)}

Logické hodnoty vo vektoroch zodpovedajú hodnotám jednotlivých premenných v napísanom poradí.

Vidieť, že v množinách 4 a U je 2” alebo 2™ róznvch vstupných alebo výstupných vektorov. V konkrétných prípadoch však do množiny A alebo U zahřňame často iba tie vstupné alebo výstupné vektory, ktoré sa mňžu počas práce systému vóbec vyskytnul. V mnohých praktických prípadoch nebudu teda množiny A. U kompletné. pretože niektoré vstupné alebo výstupné vektory nebude okolie alebo systém generovat'.

Page 12: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Vektory hodnot vnútorných (stavových) premenných systému nazývame stavy systému. Stavy systému majú dóležitú sprostredkovaciu úlohu vo vzťahoch medzi vstupnými a výstupnými vektormi systému, vyjadrujúcimi správanie, funkcíusystému. Pří k stavových premenných z* množina 5 všetkých možnýchstavov systému bude

s c { o , i r

V literatuře sa možno stretnúť aj s inými názvamí vstupných a výstupných vektorov a stavov. Vstupné a výstupné vektory sa nazývajú niekedy vstupné a výstupné stavy a stav systému vnútorný stav. Táto tcrminológia je založená natermíne stav, ktorý zodpovedá vektoru. Vstupné a výstupné vektory sa v niekto- rýcti pripadoch nazývajú {dost* nevhod ne) vstupné alebo výstupné kombinácie.V (falších častiach sa budeme pridfžať vyšsie zavede nej terminologie (vstupný vektor, stav, výstupný vektor).

Na zjednoduŠenie zápisu vektorov (ak to nemóže spósobiť chybu) budeme v tfalšom texte písať namiesto (<?,, az, «3) jednoducho a,ťi2a T a k například namiesto zápisu (I, 0, I, I) použijeme zápis 101L

Opísaný logický systém z obr, 1.1 je dynamický, pracujúci v čase. Hodnoty stavových a výstupných premenných systému sa menia v závislosti od hodnot alebo zmien hodnot vstupných premenných v čase. Pri opise systému v čase budeme používat iba diskrétny čas. Diskrétny čas definujeme ako postupnost diskrétnych bodov f0< li < í2< ... v časovom kontinuu (na spojitéj osi času), Pri danom systéme je charakteristické, že v každom bode i. diskrétneho času systém „pozoruje"' určitý vstupný vektor, nachádza sa v určitom stave a generuje určitý výstupný vektor,

Pri opise vzťahov medzi hodnotami vstupných, stavových a výstupných pre­menných (t. j, vzťahov medzi vstupnými vektormi, stavmi a výstupnými vektormi) v bodoch diskrétneho času, nie sú obyčajne významné absolutné hodnoty t0. tu ti.

ale poradie týchto bodov, Z tohto dóvodu body diskrétneho času opisujeme pomocou celých alebo prirodzených čísel. Čísla sa priradujú bodom v poradí narastanta hodnot napr. takto; ti—* i (obr, 1.2). Pri takej to konvenci i čas vystupuje ako dískrétna premenná t, ktorá nadobúda hodnotu z příslušnéj množiny čísel.V příklade na obr, 1.2 nadobúda z hodnoty 0, í, 2, 3, .

_ -- m----- •—------- — -«---------- —----*0 *1 *2 f3 ČASOwá OS

t=0 1 2 3

Obr. 1.2. Diskrétny ías

Body diskrétneho času sú určené obyčajne změnami hodnot premenných.V jednej triede systémov, ktoré nazývame synchronně, sú body diskréineho času určené významnými (alebo charakterktickými) změnami hodnoty osobitej dvoj-

Page 13: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

hod no to ve j premennej. Tuto premennú nazývame synchronizačně alebo hodinová premenná (alebo skrátene hodiny). Na obrázku 13 Je příklad spósobu určenia bodov diskrémeho času změnami 0—*1 synchronizačně] premennej h. Významnou změnou hodnoty synchronizačně] premennej je v tomto případe změna 0—► I.

V obrázku 1,3 vychádzame z urcitej idealizácie skutečného časového priebehu synchronizačně] premennej. Změny považujeme za okamžité, t. j. za body nespoji- tosti, Takúto ideál izáciu budeme často používat aj pri opise časových pricbehov ostatných premenných.

I i _ I .._o 1 1 0 I 1 0

t t . 21 *1I t i o, 1. 2. I

Obr. 1.3. Spósob určenia bodu v dis brč tne ho času

Požadovaný časový priebeh synchronizačně j premennej generuje Speciál ne zariadenie v okolí systému, ktoré nazývame generátor hodin. Synchronizačnú premennú obyčajne nepovažujeme za vstupnú premennú systému.

Pre daný logický systém sú charakteristické určité (časovo invariantně) vztahy medzi hodnotami vstupných a výstupných premenných v bodcích diskrémeho času. Súbor všetkých týchto vzťahov nazývame správanie systému.

Jednoduchým príkladom logického systému je systém zobrazený na obr, 1,4. Má jeden vstup .v a osem výstupov y0, y7. Diskrétny čas určuje hodinová premenná h. Množiny vstupných a výstupných vek to rov sú A = {0, 1) a l í c {0, I }8. Počas bezporuchové] činnosti systém generuje iba také výstupné vektory, v ktorých právě jedna výstupná premenná y, (i — 0, 7) má hodnotu i Správanie systému možno opísať takto: Výstupný vektor v čase t + 1 pri fubovoínom r = 0, I, 2, ... závisí od hodnoty vstupu x v čase t. Ak x - 0, tak výstupný vektor sa nezmení* t. j. bude rovnaký ako v čase Ak v čase i je x = I a vo výstupnom vektore je y( = I, tak v čase t + 1 sa objaví výstupný vektor, v ktorom je právě >v*i = I |ak >v ™ y7> takV i*i = Vo).

Page 14: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Matematické prostriedky na opis správania podobných logických systémov ako je systém z přikladu, uvedieme v kapitole 7,

Pre logický systém, podobné ako pre iné systémy, je charakteristické (ak nejdeo elementárny systém), že je vytvořený kompozíciou vzájomne přepojených jednoduchých logických systémov, Takúto kompozíciu nazývame štruícníra systé­mu. Popři správa ni je struktura druhým atributem systému. Strukturu systémumožno vyjadriť dvojitou (Ě*?, T), kdt* & = {P ,___ P, > )e množina prvkov struktury— logických systémov, z ktorých je systém zostavený, a Y je množina vázieb medzi prvkami. Vazby vyjadrujú existujúce prepojenia vstupov prvkov s výstupmi prvkov a vstupmi systému a prepojenia výstupov prvkov s výstupmi systému. Pri formál- nom opise struktury možno použit* rožne matematické prostriedky. Základným proslriedkom je orientovaný, ohodnotený multigraf. Vrcholy grafu vyjadrujú jednotlivé prvky systému a orientované hrany — vazby medzi prvkami.

Na obrázku 1.5 je v grafe uvedená jedna z možných struktur systému z predchádzajúeeho příkladu, Sú tu dva prvky Pi a P2 — logické systémy s určeným správa nim, ktoré tu neuvádzame (pozři stať 1.2.1), Vazby možno vyjádřit takto: xn = jt, .íj] = y„, X22 — ys2- xn — Vtj» >'0= y2«j ■ ■ -- y?= yn-

xn ynP2 y2Q

*21

y12 *22

y13 23y2?

í m; O

t

%

y3

Obr. /,5. Struktura logického systému z obr. 1.4

Pri určeni pojmu logický systém zdůrazňujeme, že jeho veličiny sú (iba) logické premenné a jeho správanie vyjadřujeme súborom vzťahov medzi hodnota­mi alebo vektormi hodnot týchto premenných. Najnižšou „rozlišovacou úrovňou"je úroveň logických premenných, ktorých hodnoty sú zobrazené v intervalech hodnot fyzíkálnych veličin1, Súčasfou systému nie sú teda vztahy medzi fyzlkálnymi veličinami v spojitém časovo- hodnotovom kontinuu. Tieto vztahy sú, samozřejmé.

1 V (falších častiach pracujeme i ha s dvoj hod notovým i logickými premennými — hoolovskými premennými. Možno sa stretnúť aj so zariadeniami. ktorých veličiny nadobúdajú v usiálcnom stave viac hodnot (napr. 3 alebo 4 hodnoty).. Takéto zariadenia predstavujú však zatiaf malú časť číslicových zariadení.

Page 15: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

signifikantně pri opise správania pri návrhu technických zariadení — elektronic­kých obvodov, kforýmt sa implementujú logické systémy.

Najvyššou rozlišovacou úrovňou na dru hej straně sú vektory hodnot logických premenných, V logickom systéme, podfa nášho chápania, nebudu pri opise správania figurovat' ako signifikantné také vztahy, ktoré opisujú správanie na úrovni vektorov alebo ich postupností interpretovaných ako čísla, inštrukcie, znaky alebo i ne časti spracúvaitej informácie. Takéto vztahy majú však podstatný úlohu pri opise správania (fumkcie) diskrétnych — číslicových (digitálnych) systémov na spracovanie informácie na vyššej rozlišovacej úrovni, t. j. na úrovni medziregistro- vých prenosov alebo úrovní systému.

V uvedenej koncepcii sa logické systémy chápu ako číslicové systémy, pri klorych sa zaujímame iba o vztahy medzi logickými premennými. V číslicových systémoch figurujú premenné nadobúdajuce hodnoty z konečných množin s íuhovorným počtom prvkov. Tieto premenné sa zobrazujú pomocou vektorov alebo postupností vektorov hodnot logických premenných. Hodnoty premenných sa priradujú vektorom alebo postupnostiam vektorov podfa určitých, pre systém charakteristických kódov. V číslicových systémech sa logická hodnota často vyjadřuje pomocou číslic G a l a nazýva sa bit (z anglického názvu Bínary Digit— dvojková číslica). Potom hovoříme o /i-bitovom vektore alebo slově (reťazei), kde n značí počet miest vo vektore alebo reťazei.

Na ilustráciu pojmu kódu uvedieme dva příklady. Na obrázku 1.6 jc v tabufke uvedený kód, ktorý vektoru hodnot 4 logických premenných .... i', priraďuje čislicu desiatkovej číselnej sústavy. Desiatkové číslice sú priradené tak, že vektor sa interpretuje ako číslo v dvojkovej číselnej sústave s číslteami 0 a I a přiradí sa mu číslica. ktorá je desiatkovým ekvivalentem tohto dvojkového čísla (napr. 0101—»5),

vek tor

*3*2 *1 *Cč í s l i c a

o o o o 0

0 0 0 1 1

0 0 1 0 2

0 0 I I 20 1 0 0 4

0 1 0 1 5

0 1 1 0 6

o i r i 7

1 0 0 0 e

1 0 0 1 9

Page 16: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Celé čísla v dvojkove} čísetnej sústave z intervalu (- 1 2 8 , +127) možno zobrazit’ pomocou 8-bitových vektorov podfa fakýchto pravidiel:

— logické hodnoty 0 a I sa považujú za dvojkové číslice 0 a 1,— 8-bitovému vektory a = (a7, aé, a0) sa přiřadí číslo podfa váh - 27,

+ 26, ...» + 2°, t.j. číslo:

( — 27) . ťi7 + 26 . ab + 2S. íis + 24 . a4 + 25. ay ++ 22. at +■ 21. íii 4- 2°. a0

Možno sa napr, presvedčif, že podfa tohto kódu platí:

00II0I00-* + 01101002 = + 52[0 = 3416 IOIOIOII-* - IO IO IO I j ^ - 85,0 — - 55l6

Uvedený kód sa nazýva doplňkový kód, Rózne spósoby kódovánia informácíe možno nájsť v literatuře f 104].

Číslicový systém a jeho subsystémy možno principiálně optsať ako logické systémy. Takýto přístup k opisu na úrovní logických premenných bývá však vo vyšších etapách analýzy alebo syntézy zbytečný,

Ako sme už uviedli, předpokládáme, že logický systém je definovaný na určitom technickom zariadent. Toto technické, obyčajne elektronické zariadenie, nazývame logický obvod. Vniterná výstavba a správanie logického obvodu v čase je vyjádřené teda štruktúrou a správaním (opísaným v dískrétnom čase) zodpove- dajúceho logickému systému. Logické obvody představuji! základné prostrtedky pre stavbu číslicových počítačov a íných číslicových zariadení,

1.2 R O Z D E L E N IE L O G IC K Ý C H S Y S T É M O V

1.2,1 Kombinačně a sekvenčné systémy

Podfa správania logické systémy rozdefujeme na dve triedy: kombinačně a sekvenčné systémy. Toto rozdelenie prenášame aj na logické obvody a hovořímeo kombinačných alebo. sekvencných logických obvodoch.

Kombinačný logický systém (obvod) má správanie, ktoré možno opísať funkciou

f: A-+ U

kde A je množina vstupných a U množina výstupných vektorov.Funkcia f priradiije každému vstupnému vektoru o e A určitý výstupný vektor

U = ř(o ). Pri kombinačných systémoch (obvodoch) teda výstupný vektor závisí íba od vstupného vektora v danom Čase. Funkcia 1 sa nazýva funkcia kombinačného obvodu.

Príkladom kombinačného systému je prvok P 2 struktury logického systému

Page 17: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

z obr. 1.5. V tomto systéme každému vektoru a hodnotám vstupných premenných x2i, jť3í, Xn je priradený určitý vektor pře hodnoty výstupných premenných y2Q, y21, .... y2i pod Ta obr. 1.7.

Sekvenčně logické systémy (obvody) sú charakteristické tým, že výstupný vektor závisí nielen od vstupného vektora v niektorom bode diskrétneho času, ale a) od postupností (sekvencie) vstupných vektorov v predchádzajúcich bodoch diskrétneho času. Teda v závislosti od predchádzajúcich vstupných vektorov móže sekvenčný systém v danom čase pri urči tom vstupnom vektore generovat’ rózne výstupné vektory. Takto sa móže správať systém iba vtedy, ak je schopný „pumátať' si, čo sa odohralo na jeho vstupoch v minulosti a pod Ta toho reagovat* na sučasný vstupný vektor.

Správanie sekvenčného systému možno vo všeobecností opísať pomocou ťiiiikcie F:

F,:

kde A^ a U ' sú (nekonečné) množiny všetkých postupnosti s konečnou dížkou, zostavené zo vstupných vektorov množiny A alebo z výstupných vektorov množiny U Postupnosti s> konečnou dížkou z množiny A * a U : nazývame vsíupné a výstupné šlová.

Funkcia F. každému vstupnému slovu priraďuje určité výstupné slovo s rovnakou dížkou. Vstupné (a podobné a] výstupné) slovo a0a ,o 2.. .ar chápeme ako postupnost tých vstupných vektorov, ktoré logický systém (obvod) indikuje v bodoch 0, 1, 2, r diskrétneho času t, pričom t = 0 značí začiatočný čas, pri ktorotn sa systém nachádza v stave s (index pri F). Ak teda sekvenčný systém indikuje určité vstupné slovo e (20 začia točného času t = 0), generuje výstupné slovo w - F . (e ) .

Funkcia F, T ktorú nazývame funkcia sekvenčného systému (obvodu), má charakter sekvenčného zobrazenia (podrobnosti sú v podkapitole 7,4). Definíčný obor a koobor sú nekonečné množiny. Táto skutočnosť komplikuje zápis funkcie. Ak sa zavedie stav systému ako sprostredkujúci element, tak vztahy medzi vstupnými a výstupnými slovami možno vyjádřit* pomocou dvoch funkcií p a ¥ s konečnými obormi a koobormi, Tíeto vzťahy možno vyjádřit’ napr, takto:

S ( t + \ ) = p ( s ( t ) , o ( 0 )U ( f )= V (s ( i ) ,Q ( t ) )

kde a(r), s ( i ) , u (t) značí vstupný vektor, stav a výstupný vektor systému v čase 1 a §(t + 1) značí stav v čase í + 1, t.j. nastedujúci stav. Funkcia p určuje teda nasledujúci stav a funkcia v súčasný výstupný vektor v závislostí od súčasného stavu a vstupného vektora.

Stav systému vyjadřuje jeho „pam iťk‘„ Určitý stav, v ktorom sa systém nachádza v čase t, vyjadřuje to, čo sa odohralo na jeho vstupoch v minulosti. Ako

Page 18: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

sme už uviedJi, stav systému reprezentuje vektor hodnot vnútorných (stavových)premenných systému.

Třeba si uvedomiť, že pri daných funkciách p a v možno pře TubovoTné vstupné slovo e odvodíť příslušné výstupné slovo w = F,(e) ako ozvu systému. Přitom třeba vyjsť zo stavu s, ako zaČiatočného stavu (podrobnosti sú v kapitole 7),

Príkladom sekvencného systému je prvok P| v struktuře systému na obr. 1,5, Výstupné premenné yn* yn> Vu daného systému sú totožné so stavovými prcmen- nými, t.j. výstupné vektory priamo reprezentujú stavy systému. Nasledujúcí slav s (f -f 1) možno vyjádřit’ v závislosti od hodnoty a ( í )e {0 ,1} vstupnéj premennej x a od stavu s ( t ) v čase i takto:

s(t+l) = p(s(r),a(r))Ak stav (t.j. vektor hodnot premenných y t3, yn, y,, v danom poradí) považujeme za dvojkové číslo (napr. O1O = OI02 = 3,o) a namiesto stavov s( f ) a s(/ + I } pracujeme s ta kým i to číslicami, platí

p(c, 0) = c p(c, I)= c + 1

kde c je číslo priradené stavu s(r). Spočitanie sa robí s modulom 8, t.j, 7 + ! - 0.Prí x = 0 sa stav systému nemení, t.j. s(t + l ) = s (t) a nasledujúci stav pri x - I

zodpovědí Číslu o 1 váčšiemu (v module 8) ako je číslo priradené siíčasnému stavy. Systém je sekvenčný, napr. prí x = 0 sa móže systém nachádzať v hociktorom stave a móže teda generovať Fubovofný výstupný vektor (reprezentujúci příslušný slav),

Lahko sa možno presvedčií, že logický systém so strukturou podfa obr. J,5 s danými prvkami Pi a P2 sa správa právě tak, ako systém z obr, 1.4, ktorý sme opísali v podkapitole 1.1. Prvok P, je počítadlo (čítač) počtu výskytov signálu I vo vstupe x v diskrétnom čase, ktorý určujú změny hodinovej premennej h. Prvok f\ je kombinačný obvod s funkciou z obr. 1.7, Takýto obvod nazývame dekodér.

0 u = f I q )

0 0 0 0 0 0 0 0 0 0 1

00 I 0 0 0 0 0 0 1 0

0 I 0 0 0 0 0 0 1 0 0

0 I 1 0 0 0 0 1 0 0 0

1 0 0 0 0 0 I 0 0 0 0

1 0 1 0 0 I 0 0 0 0 0

I 1 0 0 1 0 0 0 0 0 0

1 1 1 1 0 0 0 0 0 0 0

Page 19: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

1.2.2 Systémy s pevnou a programovanou funkciou

Pod Ta sposobu realizácie funkcií rozdělujeme logické systémy {obvody) na systémy s pevnou a programovanou funkciou (logikou).

Systémy s pevnou funkciou sa vyznačujú tým* že ich požadované správanie (funkcia) sa dosahuje zostavením vhod nej, pře túto funkciu Specíňcírej struktury. Funkciu systému určuje jednoznačné jeho struktura. Změna funkcie vyžaduje změnu struktury systému,

Ako přiklad systému s pevnou funkciou možno uvíesf systém z obr. J.5. Strukturu tohto systému tvoří spojenie dekodéra P2 s počítadtom Pt (předpokládá­me, že ide o speciálně logické obvody). Pře niektorú inu funkciu třeba zostaviť systém s inou strukturou.

Systémy s programovanou funkciou sa vyznačujú tým, že ich funkcia je určená programem uloženým v osobitnom pamáťovom podsystéme a tým, že změna funkcie sa dosahuje změnou programu (nie struktury). Program obsahuje postup­nost’ explicitných prikazov zakódovaných v boolovskýeh vektoroch. Tieto zakódo­vané příkazy sa nazývajú (strojové) /nštrukcie, Systém s programovatelnou funkciou je charakterizovaný určitou množinou inštrukcií — ínštrukéným siíbo- rom. Inšfrukcía je uložená na jednom, alebo systematickým spósobom aj na viacerých pamáťových miestach pa matového systému. Jedno pantátové miesto uchovává boolovský vektor s určitou dížkou a je Specifikované určitým číslom— adresou. Uchovávaný vektor (obsah miesta) nazývame obyčajne „s/ovo“ .

Systém s programovanou funkciou má strukturu, ktorá je univerzálna vzhfa- dom na vytváraníe róznych funkcií v danej tríede funkcií. Typická struktura logického systému s programovatelnou funkciou je na obr, 1.8. V struktuře sa nachádza procesor PR, pamáť P a vstup no-výstupný systém V/V. Pamáť P má charakter pa/naři s TubovoTným výberom, t. j. památi RAM (Random Access Memory).

v/ v

Page 20: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Úlohou procesora je interpretova! program uložený v parná ti. Imerpretáciu chápeme ako proces, pri ktorom PR postupné vyberá inštrukcie z P a vykonává ich. Tuto cyklickú proceduru vykonává takto:

—■ vyšle do P adresu pamaťového miesta, kde Je uložená nasledujúca inštruk- cia (připadne prvé slovo inštrukcie),

— vybcrie (čita) inštrukciu z adresovaného miesta a prenesie ju do PR,— vykoná inštrukciu, t.j. realizuje strojová operáciu predpísanú v dancj

ínštrukcii a vypracuje adresu nasledujúcej inštrukcie.Úlohou logického systému, realizovaného tým to spósobom, je vytvořit’ poža­

dované vzťahy medzi vstupnými a výstupnými vektor mi alebo ich postupnosťami. Tieto vztahy sa realtzujú prostredníctvom V/V tým, že PR realizuje strojové operácie predpísané v postupnosti inŠtrukcií.

Na obrázku 1.8 je naznačené aj případné spojeníe systému s dalšími zariade- niami — periférnymi zariadeniami (PZ ). Móžu to byť moduly vonkajších památí [104], rozširujúce kapacitu pamaťového subsystému, zariadenía na vkladanie programov a na vstup alebo výstup rozličných informáciL

Významným realizátorom logických systémov s programovanou funkciou sú univerzálně mikropočítače [104], InŠtrukčné súbory týchto zariadent sú určené na Tubo volné algoritmické spracovanie inřormácie a možno ich teda použiť pri vytvoření logického systému vzhfadom na logické premenné xif jr„ a y t, ym (obr. 1.8). Procesor v tomto případe tvoří univerzálny mikroprocesor (napr. M H B 8080) a V/V systém Standardně integrované moduly pre tvorbu paralelného medzistyku (napr. integrované obvody MH 8255).

Univerzálně mikropočítače možno použiť na realizáciu takých logických systémov, v ktorých možno připustil poměrně dlhé ozvy systému na vstupné stimuly (změny hodnot vstupných premenných), teda v pomalých systémech. Tieto ozvy móžu dosahoval niekoFko desiatok alebo aj níekofko sto mikrosekúnd (podfa počtu vstupných a výstupných premenných a spósobu vytvorenia programu, dížky inštrukčného cyklu procesora a pod.). Mikroprocesory a mikropočítače vo funkcii logických systémov sa používajú najma na riadenie pomalých procesov, napr. riadenie pomalých periférnych zariadení počítačov, riadenie strojov a prístrojov a pod. [131],

Rychlejšie ako univerzálně mikropočítače pracujú mikropočítače, so speciali­zovanými mikroprocesorm t, ktorých tnštrukčný súbor a čas trvania inštrukčného cyklu sú príspósobené na realizáciu logických systémov s logickými vstupnými a výstupnými premennýmí. Patria sem specializované riadiace automaty pre rychle periférne zariadenia počítačov (napr, riadiace automaty so Specializovaným mik­roprocesorem 8 x 300 firmy Philips-Signetics),

Vzhfadom na veíkú rýchlosť, ktorá sa blíži logickým obvodom s pevnou funkciou, významné miesto pri realizácii logických systémov s programovanou funkciou majú mikroprogramové riadiace jednotky. Typickou oblasťou ich použitia

Page 21: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

je tvorba riadiacich prostriedkov v číslicových počítačoch a iných číslicových zariadeniach.

Mikroprogramůvé ňadiace jednotky sa vyznačujú tým, i e ich procesor— mikroprogramový procesor priamo interpretuje mikroprogramy f 104] (aj podkap, 7,6 a 9,3). Mikroprogramy tvoří postupnost' zakódovaných míkropríkazov— mikroinštrukcif, ktoré sú uložené v památi P (obr, L8) ta kým to systémom: jedno pamáťové miesto — jedna mikroinštrukcia, Mikroinštrukcie obsahujú oby­čajne v sebc priamo informáciu o aktuálnom výstupnom logickom vektore, ktorý má zariadenie v danom cykle generovat, a informáciu o spósobc teslovania momentálně ho vstupného vektora. Mikroprogramový procesor je jednoduchý systém. Vykonává iba jednoduché funkcie. Jeho hlavnou úlohou je testovať momentálne hodnoty vstupných premenných xu x„ (obr. !.#) a podfa výsledku tohto testu určit' adresu nasledujúcej mikroinštrukcie. Jednoduchý je aj systém V/V. Móže ho napr. tvořit* iba kombinačný logický obvod, ktorý generuje výstupný vektor na základe informácie z mikroinštrukcie vstupujúcej do tohto obvodu. Opis mikroprogramových riadiacich jednotiek možno nájsť v knihe [104],

Pri analýze a metodách návrhu logických systémov sa budeme _v dalších častiach zaoberať iba systémami s pevnou funkciou.

1.3 ZÁ KLA D N É ÚLO H Y IN Ž IN ÍER SK E J PR A X E PRI NÁVRHU LOGICKÝCH OBVODOV

Pri návrhu logických obvodov (čo představuje jednu z najvýznamnějších úloh prí práci s logickými systémami) sa střetáváme s nesením analý?v a syntézy obvodov.

Analýzu logických obvodov představuje riešenie tejto úlohy: Určen je struktura logického obvodu. Třeba nájsť a opísať jeho správinie. Struktura aj správanie obvodu sa opisujú niektorými vybratými alebo zadaným matematickými prostriedkami. Táto úloha má jednoznačné riešenie, ktoré je algoritmizovafefné. Ak obvod nemá váčšie množstvo prvkov s váčšou zložitosfou, úlohu možno riešiť na počítači.

Syorézu logických obvodov představuje riešenie tejto úlohy: Verbálným sposobom alebo pomocou formálnych matematických prostriedkov je určené správanie logického obvodu, zadané sú prvky štruktúry a cfalšie parametre, ktoré sa týkajú prevádzky technologickej realizácie obvodu. Třeba zostaviť strukturu systému, obsahujúcu iba zadané prvky, ktorá má požadované správanie a parametre.

Syntéza nie je vo všeobecnosti jednoznačná. Obyčajne existuje vefké množ­stvo rozličných riešení, ktoré vyhovujú požiadavkám, a hfadá sa optimálně riešenie podfa zadaných kriteriálnych funkcií. Potom hovoříme o optimálněj syntéze.

Page 22: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Optimálna syntéza je problém neobyčajne zložitý a náročný. Přesné algorit­mické riešeníe je známe len pre určité logické obvody a níe ktoré kritériá optimálnosti. Riešenie takých to úloh na počítači má často programy so zložitosťounařas tajúcou exponenciálně s počtom základných prvkov — napr. s počtem premenných systému. Táto skutečnost' kladie veTké obmedzenia na aplikácie přesných algoritmických metod pri rozsiahlejsích (zložitejších) logických obvo- doch.

Pri optimálnej syntéze sa vo význam nej miere používá tvořivý intelekt riešiteFa a heuristické postupy založené na skúsenostiach získaných pri nesení váčšieho množstva podobných úloh, Tieto postupy možno výhodné kombinoval s algorit­mickými. Takáto „kombinovaná** koncepda využívá počítač na interaktivně pro­gramové systémy automatizovanéj syntézy, ktoré možno použit' pri optimálnej syntéze zložitejších a rozstáhlejších logických obvodov, s akými sa střetáváme pri návrhu integrovaných obvodov s veTkou a veími vefkou itegráciou. Tieto programy tvoři a standardně súčast systémov automatizovaného návrhu (projektovania) číslicových zariadení, Efektívne tu možno využit počítačová grafiku, ktorá umož­ňuje vyjádřit štruktúru aj správanie systému vhodným, pře člověka zrozumitefným spósobom. Veími významné uplatnenie tu nachádzajú aj expertně systémy. Tieto programové systémy sú schopné prostřed níctvom uchovávané] a obnovovanej bázy poznatkov z danej oblasti poskytovat’ konzultácie a nové obvodové riešenia, vyhovujúce zadanej špecifikácii.

Pri syntéze systémov s programovatelnou funkciou sa problém prenáša zvačša do oblasti tvorby programov (alebo m i kro p r ogra mo v), pri ktorých sa prostredníc- tvom univerzálněj struktury dosahuje požadované správanie systému, S touto problematikou sa však nebudeme zaoberaf.

Při návrhu logických obvodov významné postavenie má riešenie ďalšej úlohy— modelovánie a simuláeia logických systémov. Je to vytvořenie vhodného matematického modelu struktury a zodpovedajúceho programu pre počítač, ktorý umožňuje experimentovat: s tým to modelom akoby s logickým obvodom „in nátura" (obyčajne v inej časovej mierke). Simuláciou rozličných situácií na vstupoch, připadne vnútri systému, možno overovať správanie danej struktury.

Modelovanie a simuláeia logických obvodov počítačmi představuje dóležitý prostriedok procesu návrhu takýchto obvodov. Už na úrovni počítačového modelu poskytuje možnost overovať funkciu logického obvodu a na základe tohto odstra­ňovat’ jednak nedostatky a chyby východiskovej špecifikáde správania, jednak chyby, ktoré vznikli v samotnom procese syntézy. Použitie metod modelovania a simulácie má podstatná úlohu pri návrhu ztožítých systémov, a to najma takých, ktoré sa majú realizovat na jednom čipe polo vod iča. Umožňujú obísf náročné a drahé experimeniálne práce s technicky realizovanými zariadeniami (skúšobnými logickými obvod mi). Z technických aj ekonomických dóvodov nemožno však

Page 23: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

v určitých prípadoch (napr. pri integrovaných obvodoch s veími vefkou integrá- cíou) použiť vhodné „verné“ fyzikálně modely.

Medzi dole žité úlohy návrhu logických obvodov patria a j ďalšie úlohy, ako sú: tvorba diagnostických tes to v, pomocou ktorých sa odhaFujú a připadne lokalízujú chyby vzniknuté v logických obvodoch vplyvom nejakej poruchy, a tvorba fahko diagnostikovateíných obvodov (ktorých štmktúra umožňuje jednoduchú identifi­kační a lokalizáciu chyby). Týmito a dalšími úlohami sa zaoberá oblast' teorie logických systémov, ktorá sa nazýva diagnostika logických obvodov.

¥ dalších častiach knihy sa budeme zaoberať iba uvedenými prvými dvoma úlohami —- analýzou a syntézou logických obvodov, priÓom najvSČií doraz budeme klásť na syntézu.

Page 24: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

2.1 PO JEM BO O LO VSKEJ FUN KC IE

V predchádzajúcej častí vid no, že základný význam při opise správania logických obvodov majú dvojhodnotové funkcie s dvojhodnotovými premennými. Takéto funkcie sa nazývajú boolovské'.

Booferalá funkcia (B-funkda) f(xu x2, . xn) n premenných xu x2, x„ jezobrazeníe

/: {0 ,1 }"^ {0 ,1 }

Oborom funkde je množina (0, !}"-)<( {0,1}, t-j~ množina všetkých n-tíc

s prvkami 0 a I, ktorým zodpovedajú všetky možné usporiadané n-ttce hodnot premenných jti, x2, ..., x„. Obor obsahuje právě 2* róznych n-tíc. Kooborom {oborom hodnot) funkcie je množina {0 ,1}. Boolovské funkcia priraduje leda každéj «-tÍci hodnot premenných určitú hodnotu 0 alebo I.

B-funkdi dvoch premenných zodpovedá určité zobrazeníe {0 ,1}2~+{0*!}, kde {0 ,1}ž= {(0,0), (0 ,1), (1,0), (1,1)} je množina všetkých dvojíc hodnot premenných. Konkrétna B-funkda /*(x,, x2) je zapísaná v tabuíke na obr. 2, I . Na favej straně sú jednotlivé dvojice hodněl premenných xi a x2 a na právej sírane sú zapísané ím zodpovedajúce hodnoty funkde f6(xlt x2). Dvojice sme tu zapisali bez zátvoriek a čiarok, tak ako to budeme robiť aj ďalej. Funkcia f6 priraduje každej dvojici určitú hodnotu 0 alebo I. Možno ju verbálne opťsať aj tak, že nadobúda hodnotu ! právě vtedy, ak jedna premenná má hodnotu L

Příklad B-funkcíe troch premenných f2(xi» x2, x3) je uvedený na obr, 2.2. Táto funkcia nadobúda hodnotu I pri trojiciach 001, 010, 011 a II I a hodnotu 0 pri trojicíach 000, 100, 101 a 110,

Usporiadané n-tice, vektory z množiny {0 ,1}", sa v niektorých súvislostiach nazývajú aj body (daného oboru), Body, v ktorých daná funkcia / nadobúda hodnotu 0 alebo I, sa nazývajú nulové alebo /ednofJtové body funkcie /.

1 Názov je odvedený od měna irského matematika Georga Boolca, ktorý svojou prácou „An (nvesťigatioi of the Lam of Thoughť' publikovanou v r. 1854 položil základy teorie dvojhodnoiových funkcií, výrazov a ich algebry.

Page 25: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

“ 1 * 2 f 6 1* 1 * 2 ]

0 0 0

I 0 I

0 I I

I I 0

Obr. 2-1. Přiklad boolovskej funkcie dvoch premenných

*1 *2 *3 '2

0 0 0 00 0 1 10 1 0 I

q 1 I I

r 0 0 0

1 0 I 0

r 1 0 0

T 1 1 I

Obr. 2.2. Příklad boolovskej funkcie troch premenných

Z deřinície B-funkcíe vyplývá, že existuje 21' róznych B-řunkcií n premen­ných.

Existujú teda 4 funkcie jednej premennej. Tieto sú zapísané v tabufke na obr. 2.3. V tabufke na obr, 2.4 sú uvedené všetky B-funkcie 2 premenných. Je ich Šestnásf (funkcia f6 z obr. 2.1 je medzi nimi). Počet funkcií rýchlo rastie s rastom n. Pri n =4 je ich už 2W = 65 536.

K f 0 f1 f2 f3

0 0 0 I I

I 0 I 0 I

Obr. 2.3. V letky B-funkcie jednej premennej

Page 26: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

*1 *2 f0 u *2 f3 '5 h ,8 *9 f10 11 f12 *13 f* %

0 0 0 I 0 1 0 1 0 i 0 I 0 1 0 1 0 1

I 0 0 0 I I 0 0 I i 0 0 I 1 0 0 1 I

0 I 0 0 0 0 I 1 I i 0 0 0 0 X I 1 I

1 I 0 0 0 0 0 0 0 0 I I I I I I I r

06r. 2,4. Všetky B-funkcíe dvoch premenných

Pri riešení praktických úloh návrhu logických obvodov sa střetáváme s neúplnými B-funkciami.

Neúplná B-funkcia /(xt, x2> .... je*) je zobrazenief: 0^(111},

kde ( J c j f t , I } ".Oborom neúplnej B-funkcíe je vlastná podmnožina množiny (0, IJ*. Funkcia

nie je teda definovaná vo všetkých bodoch oboru fO, I}" B-funkcie n premenných. Příklad neúplnej B-funkcie je na obr. 2,5. Obor Q tu tvoří takáto množina

trojíc hodnot premenných;

o = {ooo, ooi, on, ioo, ni|

*i *2 "3 i *J

0 0 0 1 0

0 0 I I

0 I I 1

I 0 0 0

I I I I

Obr. 2,5, Přiklad neúplnej B-funkcie troch premenných

Funkcia fs nie je definovaná v bodoch 010, 101 a HO. Tieto body sa nazývajú nedefinované body funkcie

Pri riešení praktických úloh je výhodné zaviesf všeobecne jšiu definíciu boolov- skej funkcie, a to ako zobrazenie;

/<*,. {«, I)"->{0,1, x)

Page 27: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Oproti prcdchádzajúcej definícií boolovskej funkcie je koobor rozšířeny o „hodno­tu x“ . Sybol „x“ sa interpretuje ako neurčená, t. j. rubovofná hodnota 0 alebo I.

Takto definované funkcie nazývame rozšířené boolovské funkcie {B-funkcie), Množina rozšířených B-funkcií s n premennými obsahuje 31" róznych funkcií a za hrna v sebe před tým uvedené neúplné B-funkcie a 2:" funkcií {0 ,1}"-* {0, I), ktoré (na rozlíknie) nazývame úp/né. Pri interpretácii rozšírenej B-funkcie ako neúplnej B-funkcie, bod oboru s hodnotou „x" sa chápe ako nedefinovaný bod. Například na obr, 2,6 je rozšířená B-funkcia /, ktořá zodpovedá neúplnej B-funk- cii z obr, 2,5.

*1 *2 *3 f r f"

0 0 0 0 0 00 0 r I i I

0 I 0 X 0 0

0 I i I I I

I 0 0 0 0 0

I 0 i X I 0

I I 0 X 0 I

I I 1 I I I

Obr, 2.6. Příklad rozšírenej B-Funkcíe fs rovnocennými upínými B-funkciami f a f

V dalšom, ak to nespósobí omyl, namiesto termínu rozšířené boolovské funkcie používáme jednoducho termín boolovská funkcia (skrátene B-funkcia) alebo iba funkcia, Keď sa zdůrazňuje, že ide o zobrazenie (0 ,1)" —► {0, IJ ale to Q—►{0, I}, Q c {0 ,1}", používáme termín úplná alebo neúplná B-funkcia (připad­ne iba funkcia). Body oboru rozšírenej B-funkcie, v ktorých má táto funkcia hodnotu „x". nazývame neurčené (připadne nedefinované) body.

Rozšířená (neúplná) B-funkcia / reprezentuje určitú množinu úplných B-funkcii, ktoré nazýváme rovnocenné s /.

Nech f je rozšířená a /' je úplná B-funkcia s n-premennými. Potom funkciu f nazývame rovnocenná s funkciou / právě vtedy, ak f a / majú mimo neurčených bodov rovna ké hodnoty (0 alebo 1).

Například úplné B-funkcíe f a /" z obr. 2.6 sú rovnocenné s rozšířenou neúplnou B-funkciou f. Ďalšou rovnocennou úplnou B-funkciou je funkcia fz2 obr. 2.2.

Page 28: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Opis správania kombinačných logických obvodov 31

Třeba si uvědomit', že pře danú rozsírenú B-funkciu / existuje právě 2* úplných funkcií, ktoré sú s ňou rovnocenné, pričom k je počet neurčených bodov oboru funkcie f.

Například pre funkciu f z obr, 2.6 existuje 23 = 8 různých úplných B-funkcií. ktoré sa vzájomne líšia v róznych hodnotách 0 a I v troch neurčených bodochfunkcie /.

V ďalšom zaved íeme pojem vzdialenosti bodov oboru {0,1}", Tento pojem je užjtočný prí riešení viacerých úloh z oblasti teorie a návrhu logických obvodov a mnohých dalších úloh. Tým to zavedieme urči tú metriku na množině 10.]}", ktorá spolu s touto metrikou tvoří metrický priestor [ 103).

Vzdiafenosf d(i, /) dvocb n-tíc (vektorov, bodov) ř a | z množiny {<), I}" definujeme ako počet miest, v ktorých sa tieto rt-tice líšia. Například ťf(0H0I, QIOI0) = 3, pretože tieto pátice sa líšia na treťom, štvrtom a piatom mieste. Definovaná vzdialenosti sa nazýva aj Hammingova vzdia lenost'.

Dve n -tice (vektory, body) /, | sa nazýva jú s usedne právě vtedy, ak d(L }) = l . Susedné ji-tíce majú teda rózne hodnoty právě na jednom mieste.

Pre definovanú funkciu vzdialenosti platia takéto tvrdenia:

l- d {h í) = d(j. i)2. d(i. I ) = = j3. d { ik )^ d ( i j ) + d(f.k)

(trojúhelníková nerovnost')Z týchto tvrdém priamo vyplývá, že obor 6-funkcii tvoři pri deíinovanej

vzdialenosti bodov metrický priestor J103). Ďalej možno dokázat' aj takéto tvrdenie:

Ku každej n-tici I existuje právě róznych «-líc /, pre ktoré platí d(i, j) - r,

2.2 OPIS SPRÁVANIA KOMBINAČNÝCH LOGICKÝCH OBVODOV POMOCOU BOOLOVSKÝCH FUNKCIÍ

Ako sme už uviedli v kapitole 1, kombinačný logický obvod je systém, kterého správanie možno opísaf funkciou ř

f : A ^ Ukde A - (0 ,1}" a l/~ {0 ,1}”1 sú množiny vstupných alebo výstupných vektorov obvodu s n vstupnými alebo m výstupnými premennými.

Funkciu f možno vyjádřit' vo formě vek tor a (/,. /2. .... /™), ktorý je zložený z funkcií fj-. 4-*|0, I}, / = 1, 2, .... m, pričom jednotlivé funkcie f, určujú hodnotu výstupných premenných pri fubovoFnom vstupnom vektore z A. Z toho vyplývá, že funkcie /, sú B-funkcie. Ich premenné zodpovedajú vstupným přeme nnýnn .r,. x2.

Page 29: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

xn kombinačného obvodu a oborom ich definície je množina všetkých vstup­ných vektorov.

Správanie kombinačného obvodu možno teda opísať systémom (skupinou) B-funkcií fu fzr fm a možno písať

y, - *2..... «•). i = t.2, .... mMajme napr. kombinačný obvod (obr. 2.7a) s dvoma. vstupnými a dvorná,

výstupnými premennými xu x2, případné yu >':■ Nech správanie sa obvodu opisuje funkcia f zapísaná v tabufke na obr, 2.7b. Funkciu í možno vyjádřit* vo formě vektora dvoch B-funkcií ř = (/,, f2). Tieto B-funkcie sú zapísané v tabufke na obr, 2.7c. Potom

Vt = f , ( X u X i )Vj - fi(x ,, Jť2)

í A —. U ■ i J . j = 1, 2

*1 X!

* 2 _____ >2

i x , 12 I (v0 C 0 0

I 0 I 0

c I I v

r i o i ...*

* 1 * 2 í f 2

0 0 0 0

I 0 I 0

0 T I 0

I I 01

Obr. 2.7.. Přiklad opisu správania kombinačného abvoduíyslémtrn B-funkcn

Při opise správania kombinačných obvodov (najma v súvisJosti s ich syntézou)sa vyskytuje potřeba použit rozšířené neúplné B-funkcie, a to v takýchto situ- áciách i

— určité vstupné vektory sa nevyskytujú, t. j. okolie (prostredie) obvodu ich negeneruje,

— hodnota výstupnéj premennej nie je pri niekiorých vstupných vektoroch určená, pretože z funkčného hradiska nie je pre okolie obvodu zaujímavá.

V obidvoch prípadoch nie je funkcia obvodu pri příslušných vektoroch definovaná, výsledkem čoho sú rozšířené alebo neúplné B-funkcie.

Page 30: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Opis správania kombinačných logických obvodov 33

Pri opise správania kombinačného obvodu pomocou systému B-funkeií sa abstrahujeme od jeho dynamických vlastností. Vztahy medzi premennými sa vyjadrujú v ustálenom stave po vykonaní přechodových procesov, ktoré vznikajú po zmene vstupných vek tóro v pri kačdom reál no m zariadení. Opis správania kombinačného obvodu funkciou ř : A~*U $a chápe v skutečnosti takto;

Nech i a / sú íubovoíné dva rózne vstupné vektory 7 A a nech v čase T pri ustálenom výsfupnom vektore /(I) nastalo striedanie vstupných vektorov z I na J, prióom vstup sa už tfalej nemení. Potom existuje taký cas Dl.h že v každom bode i 5s T+ D'(, j jo na výstupe obvodu vektor f(j). Situácia je znázorněná v casovom diagrame na obr. 2.8. Přitom symboiom D,„, je označený minimálny čas, pre ktorý platí vyššie uvedená podmienka.

M i i 1_____ ;i D !

)£ A

ÍIl L

L A j_ u

T T . ČAS

Oftf. 2,8, Časový diagram správania rcáhtchu kombinačného obvodu po zmene vsiupu

Veličina D Msa nazývá oneskorenie kombinačného obvodu pri zmene vstup­ných vektorov z i na j. Táto veličina závist od uvede nej dvojice vstupných vektorov. Charakteristickou veličinou pre daný kombinačný obvod je hraničná hodnota oneskorenia

DmilI ~ max (D,.,)I. f* AWToto maximálně oneskorenie určuje najvyššiu možnú frekvenciu stnedania

vstupných vektorov

ktorá je mierou rychlostispracovania vstupných vektorov v reálnom kombinačnomobvode. Tak napr. v obvodech s polovodičovými elementmi s logikou TTL (pozři dodatok C) sa Dmm može pohybovat’ v rozpatí 10 až 100 ns. Z toho vyplývá, že fm„ moie byť v rozpatí 10 až 100 MHz,

Page 31: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pri formulováni a nesení róznych úloh z oblasti analýzy a syntézy kombinač- ných obvodov sa vychádza z uvedcnej koncepcie opisu správania obvodu. Používá sa terminológia „kombinačný obvod realizuje systém (skupinu) B-funkcti". Chápe sa ío tak, že správanie daného obvodu možno opísať právě týnit o sysiémom funkcií (připadne systémom rovnocenných funkcií. ak sa pracuje s neúplnými B-funkcíami).

Konkrétny (reálny) kombinačný obvod zodpovedá dc/ermin/síidíému sysle- mu. t.j. realizuje systém úplných B-funkcií (kloré získáme pri analýze), Cieíom syntézy obvodu, pri ktorom východiskové zadá nie jeho správania vyjadrujú rozšírenie B-funkcie, je zostavenic konkrétného obvodu reali/ujiiceho niektorérovnocenné úplné B-funkcic. Obyčajne to budú také rovnocenné funkcie, ktoré vedu k opiimáinenui riešeniu,

2.3 SPÓSOBY ZÁPISU BOOLOVSKÝCH FUNKCIÍ

2.3.1 Tabulkové, vektorové a číselné zápisy

Jeden sposob zápisu B-funkcií sme už uviedli v podkap. 2.L a to zápis pomocou tabulky (pozři obr. 2.2 alebo obr, 2.5), Takáto tabiiíka sa nazýva často pravdivostně. Názov poehádza z výrokového počtu v matemalickej logike, kde B-funkcie sa nazývajú pravdívosmé alebo výrokové funkcie. Hodnotám0 a 1 premenných a funkcií sa tam priraďuje význam ne pravdivost i alebo pravdivosti.

Pravdivostmi tabulku možno použit’ na zápis fubovornej B-funkcie s „rozum­ným** počtom premenných alebo počtom bodov defíničnélio oboru, Z praktických dóvodov sa však často používá jú i né, úsporné jšie alebo názorné jšie zápisy, ktoré opíšeme v tejto stati aj v stati 2,3.2,

Zmenšeme počtu riadkov pravdivostně) tabufky možno dosiahnuť úsporněj­ším vyjádřením podmnožin bodov z oboru {0, I}", pri ktorých má daná B-funkcia rovnaků hodnotu. Využívá sa tu možnost’ vyjadrenia podmnožin vektorov pomo­cou jedného speciál ne ho, neurčitého vektora, ktorý má nedefinované miesta. t. j, ktorý obsahuje na nie ktorých miestach aj symboly pre fubovofnu (nedefinovaný) hodnotu. Tak například vektor IxIOxI (kde ,.x“ značí íubovofnú hodnotu 0 alebo1 na prislušnom mieste) opisuje množinu všetkých tých vektorov, ktoré majú na prvom, trefom, štvrtom a šiestom mieste predpísanu hodnotu (a na druhom a piatom mieste sa líšia). Je to množina {Í0ID0I, 111001. 101011, 1111)11). Z toho vyplývá, že takáto množina obsahuje vo všeobecnosti právě 2* vektorov, ak k značí počet nedefinovaných miest.

S využitím opísaného spósobu zápisu podmnožin vektorov možno neuplnú B-funkciu fj z obr. 2.5 zapísať napr, tabulkou z obr, 2.9, Množím jednotkových bodov je vyjádřená dvoma vektormi x II a 0x1, ktoré opisuje množina (011, 111}

Page 32: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

a {001,011}. Množím nulových bodov {000,100} je opísaná jedným vektoremxOQ. Třeba ú uvědomit, že vóbec nevadí, ak jednotlivé množiny bodov s rovnakou hodnotou funkcie, opísané róznymi neurčitými vektormi, sa překrývájú, V předlo­ze no m příklade sa vektor OH nachádza v množině xlI a 0x1.

*1 *2 *3 f3

" X 0 0 0

X I I I

0 X I I

Obr. 2.9. Zápis funkcie U i obr. 2.5 /.husicnou pravdivostním tahufknu

Tabulku z obr. 2,9 nazývame zhuštěná pravdivostně tabulka. Ta kýto zápis sačasto používá v praxí. Na obrázku 2.10 je ako příklad uvedená zhuštěná pravdi- vosiná tabulka pre systém piatich úplných B-funkcií, ktoré realizuje kombinačný obvod — kodér priorit umiestnený v jednom integrovanom obvode 74I4H. Výhodou zhuštěně j pravdivostnej tabufky je ve Tká úspora priestoru (úplná pravdi­vostní tabufka by mala 512 riadkov).

El to ]1 12 [3 U 1S u 17 *2 .v m « EO

l 'K jr X X X X X * I 1 i 1 s

0 1 1 I I 1 I I i I i i 1 0

0 * X * v. M X * c c 0 0 D r0 X X X jr X X 0 I D 0 I 0 !

0 X X X je % 0 I í 0 [ 0 0 1

0 A X X x 0 t J [ a i i 0 I

0 * $ % n I I i 0 C5 0 t

0 X : I : i 0 I c I

0 X a I t [ ] i c 0 1

0 0 I [ l I 1 1 ] T ! 0 1

Obr, 2,10, Zápis sysiému S-funkcii realizovaných kodčrom priorit (integrovaný obvod 74148)v ihustenej pravd i vosi nej labufkc

Úspora počtu riadku závisí od danej B-funkcie alebo systému B-funkcií. Niektoré funkcie poskytují iba malé (a připadne aj žiadne) možnosti úspory.V mnohých prípadoch, ktoré sa vyskytujú v praxi, možno však efektívne využít' opísaný sposob zápisu.

Page 33: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Ak sa přijme určila konveneia na usporiadanie bodov oboru {0 ,1}" do postupností, tak B-řunkdu možno zapisať vo formě 2'Mice (vektora) jej hodnot. Ta kýto spósob opisu sa nazýva ve kro rovy zápis,

Prirodzenc usporiadanie bodov oboru B-funkcie je také, ktoré vychádza z ich zobrazenia na čísla v dvojkove) číselnej sústave. Základom tohto zobrazenia je prisúdenie významu číslice 0 alebo 1 symbolom 0 alebo I. Usporiadaná o-tica (vektor) sa potom chápe ako cclé číslo v dvojkove; číselné; stisfave s najmenším rádom vpravo s narastaním váhy rádu správa doíava. Tak například 5-tica 101II sa zobrazuje na dvojkové číslo 10111,, kterého ekvivalentně číslo v desiatkovej sú stave je

1 ,24 + 0 .23 + 1 ,22+ 1 ,2' + I . 2l) = 23l(i

Tým to spósobom priradené číslo #» -tici (vektoru, bodu) sa nazýva index «-ticc (vektora, bodu), lndexom móže byť číslo priamo v dvojkovej sústave, připadne ekvivalentně číslo v 1'ubovofnej inej sústave. napr, desiatkovej,

Pri uvádzaní indexov sa použivajů váčsinou desiatkové čísla. V tomto případe sa namíesto rw (index r pri základe 10) píše rovno r. Pri indexoch uvádzaných v tvare dvojkových cisel, napr, 101 12, sa číslo píše obyčajne v symbolickom tvare 1011, t.j* symboly 0 a I sa v tomto případe chápu ako číslice 0 a I v dvojkovej čísel nej sústave.

Po zavedení indexov možno n-tíct usporiadať prirodzeným spósobom do postupnosti s narastajócimt indexmi od 00 ... 002 do 11 ... 112, t. j. od 0 do 2" - I . Takéto usporiadanie bodov oboru funkcie do postupností sme použili v tabuífce na obr. 2.2, Normováním tohto usporiadania možno funkcie fb a /; z obr. 2.1 a obr. 2.2 zapisať aj takto:

(U (x i,x 2)) = 0110 (/2(jti, x2, jtj)) = ouwnoi

Symbol (/) tu označuje vektor hodnot funkcie /. V zátvorkách uvedené poradie premenných zodpovedá poradiu, podfa ktorého sa zostavujú vektory a indexy (napr, hodnota premennej prí f2 zodpovedá najnižštemu rádu, hodnota jťi najvyššiemu rádu indexu),

Pri vektorovom zápise rozšířených B-funkcií vo vektore hodnot funkcie vystupujú aj „hodnoty" x. Funkciu / z obr. 2,6 zapíšeme potom takto:

(/(*,, jf2, x3)) = OIxIOxxI

Ďaíší spósob zápisu úplných B-funkcií používá sůpis množin jednotkových alebo nulových bodov danej funkcie, pričom jednotlivé body sa vyjadrujá pomo-

Page 34: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

cou s voj ich indexov, Íípfnú B-funkciu f2 z obr, 2,2, ktorá je znovu uvedená na obr. 2,11 aj s indexmi při jednotlivých bodoch oboru, zapíšeme

f2(x t, x2. j 3)= D(K 2, 3, 7)alebo

fzíxu A-2, . r J ) = K ( í ) t 4 ,5 ,6 )

Symbol D (alebo K) tu označuje, žc ide o siipís indexov jednotkových (alebo nulových) bodov funkcie'. Indexy sa vyjadrujú vo formě desiafkových čísel.

i *1 «2 *3 f 2

0 0 0 0 0

f 0 0 1 I

2 0 I €S I

3 0 I 1 I

A I Cl 0 0

S I 0 1 0

6 1 T 0 0

1 I 1 I 1

Obr. 2. í I, Zápis- funkcie f21 dfcf, 2,2, % vyznačenými indexmi bodov oboru funkcie

Takýio zápis nepostačuje pri rozšířených alebo neúplných funkciách. Pre jednoznačnosť zápisu lu třeba uvádzať súpísy indexov dvoch množin bodov. Obyčajne sa uvádza súpis indexov jednotkových alebo nulových bodov a sápis indexov nedefinovaných (neurčených) bodov. Prí tejto konvencí! možno funkciu f3 z obr. 2.5 zapísať

hixu x2, x3) — D(I» 3, 7 (2, 5, 6))alebo

fi(xi> xJ( Xi) = K (0 , 4 (2, 5, 6 ))

Medzi vnútornými zátvorkami sú uvedené indexy nedefinovaných bodov. Opísané spósoby zápisu nazýváme číselné zápisy,

1 Symbol D (alebo K ) tu znaíí „disjunkciu" (alebo „konjutikciu"), t. j. to, ie zípis sa odvodzuje od vyjadrenia funkcie úplnou normáltiou disjunktívnou (konjunktívnou) formou (pozři kapitolu 3),

Page 35: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

2.3.2 Zápisy používajíce geometrické znázornenie funkcie

Prí prácí na papieri (a s grafickými pomóckami vóbec) sa dobré pracuje so zápismi B-funkcií v mapách.

Mapa je geometrické znázornenie oboru {0 ,1}" B-funkcie v rovině pomocou siete štvorčekov, pričom každému bodu oboru je podfa použitého kódovarna priradený jeden stvorček.

Mapu tvoři sieť obsahujúca 2" štvorčekov. Příklad mapy pre «=4 a spósob priradenia štvorčekov jednotlivým štvoridam hodnot premenných x}, jcj, x 3 a x4 (t. j, spósob kódovania) je uvedený na obr. 2.12. Pomocou kódovacích číar úsečiek pri favom a hornom okraji mapy a pomocou pripísaných premenných sů vyznačené oblasti obsahu júce 2 " '1 štvorčekov, v ktorých jednotlivé premenné nadobúdajú hodnotu I alebo 0. Předpokládá sa, že v oblasti, ktorá sa naehádza pod čiarou {pre premenné jtj a xt) alebo vedfa čiary pre premenné x, a j*2, příslušná premenná nadobúda hodnotu I a mimo tejto oblastí nadobúda hodnotu 0. Tým to spósobom je jednoznačné definovaný vztah medzi n-ticami a stvořtekmi. Tak napr, štvorčekom vyznačeným na obr. 2.12 značkami „ + " a zodpovedajú vektory 0100, připadne 1011.

*2

Obt. 2.12. Karnaughova mapa pře 4 premenné

Právě opísaná mapa sa nazýva Karnaughova mapa. V ďalšom opíšeme induktívnu metodu tvorby takých to map s fubovofným počtom premenných:

1. Mapa M| pre jednu premennú (n = 1) je naznačená na obr. 2.13a.2. Ak je k dispozícii mapa Mk pře k premenných xx, xk, mapa M4 + 1 pre

k + 1 premenných sa zostaví tak, že k mape M* sa přiloží jej zrkadlový obraz (pozři obr. 2.13b), klorý sa celý označí kódovacou čiarou pre premennú xk + l. Pri postupe sa vychádza zo snahy mapu M*+l zostaviť v tvare najbližšom ku šfvorcu. Zvyšné

* kódovacie čiary sa odstránia. Obyčajne sa ponechají iba tie, ktoré sú pri favom a hornom okraji mapy.

Page 36: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

"i<*i

ZRKADLOVÝMk OBRAZ Mk

q b los SYMETRIE

O hr. 2 .1 3 . K.arnaughava mapaa) pre « * 1, b) in$i>vcnic jmaipy pre k + ] preuncn ných i dvoch mip pre k prcrrwnnýcli

Na obrázku 2,14 sme naznačili spósob zostavenia máp pre 2, 3 a 5 přeměn- ných. V posiednom případe sme za základ vzali mapu pře 4 premenné z obr. 2.12. Pri kreslení máp pripúšťame Fubovofné príradenie premenných jednotlivým kódo­vacím čiaram.

BBh :>

L ___»•>

*1

Obr, 2,14, Příklady zosiavenia Karnaughovýcft máp

Page 37: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Třeba si uvědomit', že v Karnaughových mapách pře «>4 sa mění určitá kvalita zobrazcnia oboru {0,!}" proti mapám pri n^4. Oblasti, v ktorých jednotlivé premenné nadobúdajú hodnotu I alebo 0, sú v mapách pre n >4 přínie ktorých premenných nesúvíslé. Například v mapě pre 5 premenných na obr. 2,14 to vídno pri premennej x3. Táto vlastnost do určitej mtery sťažuje prácu pri topologických metodách minimalizácie normálnych foriem (pozři kapitolu 5), ktoré sú založené na zápise funkcií v mapách a ktoré intenzívně využívá jú pojem susednosti bodov (pozři podkap. 2.1).

Výhodou Karnaughových map pre n *S4 je, že susedné body a im zodpoveda- júce susedné štvorčeky sú v týchto mapách zároveň aj geometricky susedné. Za geometricky susedné považujeme přitom dva štvorčeky so společnou stranou. Vychádza sa lu z představy, že pri n = 3 sa lavý okraj slotožní s pravým okrajom mapy a pri n =4 sa navíac horný okraj stotožní s dolným okrajom mapy. Právě opísaná vlastnost* umožňuje fahké vyhladávanie susedných štvorčekov v mape do štyroch premenných. Například na obr. 2.16 sú symbolmi + {alebo *) vyznačené vletky 4 štvorčeky, ktoré sú podlá definície susedné so štvorčekom označeným písmenem a (alebo b).

V mapách pre n >4 sa stráca výhoda totožností definovanej s ušed nos ti (pozři podkap. 2.1) s geometrickou susednostbu, čo vyplývá zo spomenutej nesúvislosti oblastí. Nie ktoré susedné štvorčeky nie sú jednoducho geometricky susedné. Vid no to v mape na obr. 2.15a, kde sú pomocou už zavedenej symboliky vyznačené vletky štvorčeky susedné so štvorčekom a alebo b, Stvorček označený hrubším symbolom + nemožno považovaf za geometricky susedný so štvorčekom a.

n = S

Obr. 2.15. Hřada nie susedných šivorčekov v mapách

Pre lepšiu oríentáciu pri vyhfadávaní susedných štvorčekov sa v mapách pre n >4 viditefne vyznačujú víetky „submapy“ pre 4 premenné, 2 ktorých sú tieto

Page 38: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

mapy zostavené (pozři obr. 2.14 a obr. 2.15). Pri vyhfadávani štvoréekov sused- nýeh s daným štvorčekom a sa potom postupuje takto:

— nájdu sa 4 štvorčeky susedné so štvorčekom a v tej submape, v ktorej sanachádza a. Postupuje sa přitom tak, ako keby išlo o samostatný mapu pře 4 premenné,

— ostatných (n-4) susedných štvoréekov sa nájde v (n ~ 4) submapách.ktoré sú symetricky uložené vzhfadom na osi symetrie ležíace v deliacich číarach medzi submapami (pozři obr. 2.15).

Na ilustráciu uvedenej metody je na obr. 2.15b naznačený spósob vyhladáva-nia susedných štvorcekov s daným štvorčekom a v mape pfe 7 premenných. Táto mapa obsahuje 8 submáp pre 4 premenné.

Karnaughove mapy vyehádzajú zo známého Vennovho diagramu, pomocou ktoré ho sa znázorňujú operácie nad množinami (pozři dodatok A). Tieto mapy máju charakteristický spósob kódovania. Usporiadanie vektorov hodnot premen­ných priradenýeh riadkom alebo stípcom mapy do postupnosti (zhora nadot alebo zfava doprava) prebieha podía známého Grayovho (cyklického) kódu. Ideo takéto postupností:

Pre dvojice: 00 01II 10 (pozři obr. 2.15a).Pre trojice: 000 001 011 010 110 I I I 101 100,

Pri přechode na stiscdný vektor sa v postupnosti mení hodnota i ba na jednom mieste vektora, t.j. hodnota jednej premennej.

0 0 1 I x,o i j o *,

KARNAUGHCM MARAO

0 0 I 1*40 I 0 1 *3

MflfiOUANDCm MARA b

Obr. 2,16. Porovná nie dvodi lypov máp

Popři Karnaughových mapách sa střetáváme v literatuře (napr. v [23], [104]) a v praxi s mapami, pri ktorých sa používá kódovanie podfa prirodzeného dvojkového kódu. Vektory hodnot premenných priradené jednotlivým riadkom

Page 39: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

alebo stípcom sú usporiadané (zhora nadol alebo zfava doprava) podfa narastajúcich hodnot ich indexov od 00 ... 00 do I I ... 11, Příklad mapy pre n =4 s takýmto kódováním je na obr. 2,16b. Tieto mapy sa nazývajú Marquandove (u nás často Svobodové). Spósob zostavenia týchto máp pre fubovofné n priamo vyplývá z opisu ich kódovania. Na obrázku 2,16 možno pozorovat obídve spomínané mapy.V Marquandových mapách sa vyskytujú uvedené nesúvislosti oblastí, v ktorých jednotlivé premenné nadobúdajú hodnotu I alebo 0 už pri n >3. Na obrázku 2J6b to možno vidíeí pri premenných xx a x$. Z toho vyplývá, že definovaná susednosť štvorčeka nezodpovedá geometrickej susednosti už pri n ^3, Roz lože nie susedov možno dobré porovnat' v mapách na obr. 2.16.

Ako sme už uvíedli, mapa představuje určité rovinné geometrické zobrazeníe oboru B-funkcie, Funkcia sa zapíše do mapy tak, že do jednotlivých štvorčekov sa vpíše hodnota funkcie (0 ,1, x) v prísluŠnom bode. Pri neúplných B-funkciách možno nedefinované body ponecháť aj prázdné. Příklad mapového zápisu funkcie je na obr. 2.17, kde je zapísaná funkcia / z obr. 2.6 (a f3 z obr. 2.5) v obidvoch typoch máp.

*2

0 X i i

0 X i X

0 X I 1

0 X X I

f f Obr. 2.17. Mapové zápisy funkcie f z obr. 2.6

Zobrazeníe oboru B-funkcie v mapě a mapové zápisy funkcií sú v praxi obMbené při práci s grafickými pomůckami. Zápis je úsporný, prehladný a možno ho efektívne využití při topologických metodách minimalizácie normálnych foriem pri syntéze obvodov. Při váčšom počte premenných ako 6 až 8 však strácajú mapy svoj význam. V tejto knihe sa po užívá jú Karnaughove mapy.

Ďalšiu možnosf geometrického zobrazenia oboru B-funkcie n premenných poskytuje zovšeobecnená jednotková n-rozměrná kočka. Táto kočka má právě 2” vrcholov a jej hrany majú jednotkovú dížku. Každému vrcholu zodpovedá určitý vektor hodnot premenných, reprezentovaný n-ticou jeho súradníc v n-rozměrnom súradnicovom systéme, Čís lam 0 a 1 tu zodpovedajú symboly 0 a I. V speciálnych prípadoch, keď n — 1, připadne n =2, ide o úsečku s dvoma „vrcholmi" na jej koncoch, připadne štvorec so 4 „vrcholmi“ v jeho rohoch. Ak n =3, ideo trojrozměrná kočku s 8 vrcholmi atd.

Page 40: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Na obrajtu 2.18 sú Iři příklady jednotkových kociek pre n = 2, 3 a 4. Daná B-funkcia sa zapíše tak, že k jednotlivým vrcholom sa připíšu jej hodnoty. Na 3-rozměrnej kocke je napr. zapísang neúplná funkcia fs z obr, 2.5 (hodnoty0 a 1 v zátvorkách).

100

OJ 10

1001

I); 3

oio on

IQOI^Í.4 oj

T\?2

Obr. 2.18. Znázornenie oboru B-funkcie množinou vrcholov ít-rcamernýeh jednotkových kociek přen = 3, 3, 4

Jednotkové kočky nemajú pře zápis B-funkcií praktický význam. Představa oboru funkcie v tvare tohto geometrického útvaru {alebo špeciálneho grafu) je významná z hradiska štúdia Struktury oboru a pri riešení mnohých úloh z teorie funkcií, kódovarna a pod. Mapu pre «-premenných móžeme považovat za jeden z možných spósobov rovinného vyjadrenia n-rozměrně j jednotkovej kočky, Kaž­dému vrcholu přináleží jeden štvorček mapy.

Na n-rozměrněj kocke možno ilustrovat pojem vzdiaSenosti bodov oboru {0, I}", ktorý sme zaviedli v podkap, 2.1. Vzdialenost' v tomto případe zodpovedá počtu brán n -rozměrněj kočky v najkratlej ceste medzi příslušnými vrcholmi. Susedné body zodpovedajú vrcholom spojených hranou, t. j. geometricky sused- ným vrcholom.

Page 41: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pri topologických metodách minimalizácíe normálnych foriem (kap. 5) majú dóležitú úlohu s -rozměrné subfcocky. obsiahnuté v danej n-rozmernej kocke (O ís S n ),

Například trojrozměrná kočka obsahuje 1 trojrozměrná subkocku, ktorá je ňou samou, 6 dvojrozměrných subkociek (stvorcov — stíen). 12 jednorozměrných subkociek (úsečiek — hrán) a 8 vrcholov, ktoré představují triviálně případy, t.j, kočky s nulovými rozmermi.

Možno dokázat nasJedujúce tvrdenía (70);

— «-rozměrná kočka obsahuje právě 2"~' ■ róznych s-rozměrných sub­kociek,

— počet s-rozměrných subkociek v n-rozměrně j kocke, ktoré majú jeden spoločný vrchol, je právě ,

Množina bodov K ‘ c|0,1}", ktoré zodpovedajú vrcholem niektorej s-roz- mernej subkocky, sa v kap, 5 nazýva pra videlná konfiguracia 5-tého stupňa1.

Každej s-rozměr nej subkocke (pravidelnej konfigurácii stupňa s) přináleží právě taká množina bodov, ktorú možno opísať neurčitým vektorom, obsahujůcim právě s nedefinovaných micst (pozři stať 2.3,1).

Tak napr, pravidelná konfiguráciu druhého stuňa K = {1001.1011, 0011. 0001}, zodpovedajúcu právej dolnej stene štvorrozmernej kočky z obr. 2.18, možno opísať vektorom xOxI, pravidelnú konfiguráciu prvého stupňa K ' = {101, 001}, ktorá přináleží právej dolnej hrané trojrozmernej kočky z obr. 2.18, možno opísař vektorom xOL

2,4 A LG EBRA BOOLOVSKÝCH FUNKCIt

Berme do úvahy množinu F„ všetkých 32* rozšířených B-funkcií n premenných (kde n je rubovolné); V tejto množině zavedieme dve operácie; súčin („•“ )a súčet („ + “ ) funkcií, ktoré definujeme takto:

Pre íubovoíné dve funkcie /, yeFn a pre každý vektor hodnot premenných le(Ci i r

f (t ). tj(i) = I = I a zároveň jqp(I) = I /(/). i)(f) = 0 o f(l) = 0 alebo y(i) = 0 alebo

m = m -o(v ostatných bodoch má /. g hodnotu x)

1 V knihe {23} sa í -rozměrní subkocky nazýva jú j-íeíesá.

Page 42: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

/(/) + </(/) = I<=>/(í) = I alebo y(t) = I alebo f ( Í ) = í f ( í ) - l

/(/) + ()(í) = l)*of(l) —0 a zároveň </(!) = 0

(v ostatnýdi bodoch má f + g hodnotu x).Vid no, že pře operácie a „ + “ nad hodnotami funkcií 0, 1 a X

v jednotlivých bodoch oboru sme vlastně definovali tieto pravidla;

0.0-00.1 = 1.0 = 0U = I[. x = x ,1 = x0. x = x . 0 = 0

0 + 0 = 00 + I = I + o = I1 + i = 1I + x = x +1 - í 0 + x = x + 0 = x

Například pre funkciu f(x,, x2, x3) a í|(x,, xj) zapísané vo vektorom lva re </) = 1x01x100, (ť|) - UOxxíOx jc: (/. f/) = IxOxxlOO, (/+#/)= IIOIxlOx,

Súčin a súčet týchto funkcií je ilustrovaný aj v mapových zápisoch na obr. 2.19.

r 0 I X

X 0 0 | I i •:I D X I

X 0 :< I

I o j x X

X 0 0 I

f , g

1I | 0 I I

X j 0 X 1

f * 9Obr. 2.19. Súčin a súčet B-funlicit

Množina F* boolovských funkcií s n premennými s definovanými operáciami „* " a „ + “ tvoří Boa lovu algebru (dodatok A), Rovnosť f - g dvoch funkcií tu třeba však definovat' takto;

Dve rozšířené B-funkcie f a g sú si rovné právě vtedy, ak majú rovnaké hodnoty 0 alebo I vo všetkých bodoch oboru mimo tých bodov, v ktorých aspoň jedna z funkcií má hodnotu X. Tuto rovnost' nazývame rovnocennost'. Například pre funkcie / a § z predchádzajúceho příkladu platí f = g.

Page 43: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Třeba si uvědomil, že definovaná rovnocennost’ funkcie je relácia v množině F„ , ktorá je reflexivita (t, j, / = /), symetrická (f = §<>§= /), avšak nic je tranzittv- na (t.j. neplatí f = y a g = h =>/ = h). Ak ide o úplné B-funkcie z F„. rovnocennost' zodpovedá rovnosti, t.j. funkcie nadobúdajú rovnaké hodnoty v každom hode obora. V tomto případe je rovnocennost aj tranzitívna. Třeba ešte poznamenat', že v množině F„ je právě 21“ róznych maximálnycb tried rovnocennosti, z ktorých každá obsahuje 21" róznych rozšířených B-funkcii Maximálnou triedou rovnocen­nosti sa nazýva přitom najváčšia množina funkcií v F„. ktoré sú vzájomne rovnocenné. Každej úpí nej B-funkcii v F„ (ktorých je 2Z") zodpovedá právě jedna takáto trieda,

Prí takto definovanej rovnocennosti funkcie sú v systéme (F„, •, + ) splněné všetky 4 axiómy Boolovej algebry (dodatok A, axiómy A I až A4).

Z definície priamo vyplývá, že operácie sú komutativně, t. j. pre fubcivofné dve funkcie /, geF„ sú splněné podmienky axiómy A I, teda

f ,g = g . / a f+ g - g + fZ definície operácií a „ + ” vyplývá aj splnenie axiómy A3, t.j. každá

operácia je distributívna vzhfadom na inú. Pre Tubovolné funkcie /, g, hšF„ teda platí

f.(íi + h) = (f.g ) + (f.h ) f + (g .h ) = (f + g ).(f + h)

Plamosť axiómy A3 možno dokázat priamo previerkou výslcdkov operácií nad hodnotami funkcií podfa uvedených pravidiel pre všetky kombinácie hodnot.

Význačnými prvků mi 0 a I v algebře boolovských funkcií sú konštantné funkcie, Sii to také funkcie, ktoré v každom bode oboru nadobúdajú hodnotu0 alebo I. Označíme ich symbolmi 0 a 1. Tieto prvky spina jú podmienky axiómy A2; pre rubovofnú funkciu feF„

/-! = / f + 0- fPlamosť priamo vyplývá z definície operácií. Například ak je (/) = IxOlxlOO, (ak prí (I) =* II1IIIII. (0) = 00000000 platí; (/. I) = 1x01x100 = (fj. íf + «) = IxOíxfOO = (f).

Komphment f Tubo vo Tne j funkcie feF„ je funkcia, pre ktorej hodnotyv každom bode IcfO, I } ” platí

f ( t ) =0 ak /(/) = !1 ak f(t) = 0x ak f(l) = x

Takto definovaný komplement spíňa podmienky axiómy A4, a teda pře rubovolmí funkciu f

f j = 0, f+ f = I

Page 44: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Například pre (/) “ IxOIxIOO je(/) = 0x10x011

( f . /) = OxOOxOOO « (0)(/ + /) = IxlIxIII = (l)

Třeba si uvědomit’ tipla tnertie definovanej rovnocennosti funkcie (napr. 0x00x000 = 00000000),

Operáciu čiara nad / možno chápat' aj ako unárnu operáciu F„—»F„ {doda­tok A). Túfo operáciu nazývame negácia (připadne inverzia) funkcie, Funkciu / nazývame potom negovanou (vzhfadom na f).

Pretože systém (F„, ■, + ) tvoří Boolovu algebru, platia všctky odvode né tvrdenia (dodatok A). Niektoré z nich uvcdieme:

Nech /, í:| a /> sú fubovoíné funkcie z F„, Polom platí;

/ . (g . ! ( ) = (/ , i/ ) . h, / + (fí + h ) = ( f + i}) + h t (asociativně zákony)

/./ = /, / + /- / // . 0 = 0. / + I = I./ + </.«,) = /. m / + 9)-/.

(zákony absorpcíe)

f - f,

f . t j - f + fj, / + </ = /■(/.(De Morganove zákony)

Na množině boolovských funkcií možno definovat’ čiastočne usporiadanie s reláciou (dodatok A), pričom y ^ f o + / = /. Ak i) c/, hovoříme, že í/ je implikant f.

Pre implikant y funkcie / je charakteristické, že G1 c F", kde G ' označuje množinu jednotkových bodov funkcie q a F u množinu jednotkových a neurčených bodov funkcie f. Implikant § spíňa v každom bode I oboru podmienku

g (/) = I =>/( í) = I alebo /(f) = x. ( t, I)Z tejto podmienky vyplývá aj názov „implikant".

Previerkou platnosti podmienky (1.1) možno zistiť, či pre danú funkciu / platí í|=Ěf. Na jej základe možno fahko vyhíadávaí rózne implikanty danej B-funkcie. čo představuje jednu zo základných úloh pri vyjadřovaní funkcií výrazni i (kap, 5).

Například pri funkcií (/) = IxOIxIOO možeme o nasledujúeich funkciách (}. h, r a í z Fj prehlásiť:

(U) = 11001100( h ) = 01010100 h ^ f .

Page 45: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

(r) = IxxxíMlOO r sS/, (/, h, r sú implikanty f (/) = 1x100000 t& f, i nie je implikant /

Implikanty U a h funkcie / sú uvedené aj v mapách na obr, 2,20,

*3*2

i o o r

9

*2-|- -T

I o 1 I X

Obt. 2.20, P ř i k l a d k o m p o z i c i * f u n k c i e v o f o r m ě s ú é t u i m p h k a n t o v

O jednotkových bodoch funkcie /, v ktorých jej implikant § má zároveň hodnotu 1, sa hovoří, že ich implikant i) pokrývá. O neurčených bodoch funkcie /, v ktorých implikant g nadobúda hodnotu I, sa hovoří, že implikant g ich do určuje (na hodnotu 1).

Například implikant i) z predchádzajúccho příkladu pokrývá jednotkové body funkcie / s indexmi 0 a 5 a dourcuje body s indexmi 1 a 4.

Z dcfinícic relácie “ priamo vyplývá, že funkcia 0 a všetky s ňou rovnocenné funkcie sů implikanty každej B-funkcie.

Vsimnime si ešte množinu P„ všetkých 22" úplných B-funkcií n premenných s operáciami „• a „ + Z toho, že (F„, •, + ) tvoři Boolovu algebru, vyplývá, že aj systém (Pn, -, + ) tvoří takůto algebru. Rovnosť „ = " dvoch funkcií tu zodpove­da rovnosti hodnói funkcií v každom bode oboru. Pre úplné B-funkcie platia všetky od vode né t vrd enia,

2.5 SÚČTOVÉ A SÚCINOVÉ KOM POZÍC1E BOOLOVSKÝCH FUNKCIÍ

Súéfovou alebo súdnovou kompozíciou boolovských funkcií nazývame vyjad- renie danej B-funkcie / pomocou súétu alebo súčinu iných „jednoduchšíctť* funkcií. Metodu hfadania takýchto kompozícií možno naivať deko/npozíciou

3

0 0 I 1 I0 0 0 i I

h

TL 0 I I1 0 0 I

a * h

Page 46: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

•— rozkladům danej funkcie f na iné funkcie, pomocou ktorých súčtom alebo súčinom možno vyjádřit' funkciu f. Studium súčtových a súčinových knmpozicií je potřebně na vyjadrovanie B-funkcií pomocou výrazov, speciálně pomocou normál- nych foriem (kap. 3),

Pri vyjádření B-funkcie vo formě kompozície inych B-íunkciš majú základný význam niektoré poznatky, ktoré v ďalšom uvedieme v tvare viet.

Veta 2.1. Nech f. //,, .... </*. k ss! sú rozšířené B-funkcie n premenných. Potom

/ “ f/1 + íh + ... + i}kplatí právě vtedy, ak funkcie ; 1=1, 2, k spínajú lielo dve podmienky:

]. Funkcie g, sú implikanty /, t.j, </„2, Každý jednotkový bod funkcie / je pokrytý aspoň jedným implikantom

(podmienka úplnosti pokrytia).Dókaz: Vzhfadom na definovánu rovnost’ (rovnocennost) rozšířených B-funk-

eií třeba sa v dókaze zaoberať iba tým i bod mi oboru funkcií, v ktorých funkcie na lávej a právej straně rovnosti vo vele 2, i majú hodnoty 0 alebo I.

Dokážeme najskór to,že ak je / = g, + + t/*, tak g, spínajú obidve podmien­ky vety 2.1, Berme do úvahy najskór tie body oboru, v ktorých funkcie na obidvoch stranách rovnosti majú hodnotu I. Z defínície operácie „ + " priatno vyplývá, že v týchto bodoch aspoň jedna z funkcií §, nadobúda hodnotu I, a teda je splněná podmienka úplnosti pokrytia, Vezmime teraz body oboru, v ktorých funkcie na obidvoch stranách rovnosti majú hodnotu 0. Z defínície operácie „ + ” opiť priamo vyplývá, že v týchto bodoch ani jedna z funkcií u, nenadobúda hodnotu I, t.j. každá funkcia y, spíňa tuto podmienku: V lubovoínom bode / oboru y(/) = 1 =>/(/} = 1 alebo f(/) = x. Funkcie «./, sú teda implikanty /,

Teraz dokážeme opačné tvrdenie. Ak súbor funkcií </,, spíňa obidvepodmienky vety 2.1, tak funkciu f možno vyjádřit / = 4 - + gk. Pretože §, sú implikanty, v nulových bodoch funkcie f majú hodnotu t) alebo x. Z defínície operácie „ + potom priamo vyplývá, že funkcia na právej straně rovnosti má taktiež hodnotu 0 alebo x, éo vyhovuje. Pre lože je splněna podmienka úplnosti pokrytia, aspoň jeden z implikantov y, má hodnotu 1 v kaž do m jednotkovom bode funkcie /, Z toho a z defínície operácie ,. + “ priamo vyplývá, že v jednotkových bodoch funkcie f aj pravá strana rovnosti nadobúda hodnotu I. Pod fa dcfinície rovnosti rozšířených B-funkcií teda platí yi + + ť|*.

Veta 2.1 má základný význam. Platí aj pre špeciálny případ úplných B-funkcií. Umožňuje vyjádřit' B-funkciu vo formě súčtu iných, ,,jednoduehšíeh‘* funkcií. Tento spósob vyjadrenia funkcie sa nazývá aj „rozklad na súčet implikantov".

Například funkciu / z obr. 2.20 možno pod Ta vety 2 1 vyjádřit’ vo formě súčtu dvoch funkcií y a h

f = í/ + h

Page 47: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Funkcie cj> a h sú ímplikanty a splňajú podmienku úplnosti pokryiia.V cřalšom uvedieme velu, ktorá vyjadřuje B-funkcie vo formě siictnu B-funk-

eií. Na lenin ucel třeba zaviesť pojem implicent funkcie.Berme do úvahy dve rozšířené B-funkcie h a f a nech platí h 3; f. Funkciu li

nazývame potom imp/íccní funkcie f. O nulových bodoch funkcie í . v ktorých má a I ímplícem h hodnotu 0 (připadne x). hovoříme, že ich implicent pokrývá (připadne dourčuje na hodnotu 0), Například pre nasledujúcc funkcie {f)~ = 1x01x100, (h) = II01I110, ( i ) = IxIlOlOO platí, že h ?=/. $ 3=/. t, j. funkcie h a s sú implicenty funkcie f. Implicent s pokrývá nulové body s indexom 6 a 7 ;i do určuje f na hodnotu 0) bod 4,

Veta 2.2. Nech f. h,. h:t .... hk, 3? 1 sú rozšírent* B-funkcic n premenných. Potom

t = hi . h2 .. hk

platí právě viedy. i*k funkcie /i,, i = 1. 2. k, spíňajti takéto podmienky:1. Funkcie h, sú implicenty f, t.j-2, Každý nulový bod funkcie f je pokrytý aspoň jedným ímpliecntom (pod-

mienka n plnost i po kryt ía),Doku/: Možno ho urobit formálně rovnako ako dókaz vety 2,1 s tun

rozdielom, že namiesto operáeic “ sa zhodnotí openieia miesto hodnotyI hodnota 0 (a naopak) a namiesto implíkant sa použije implicent.

Veta 2,2 umožňuje vyjádřit lubovoínú rozšířenu B-řunkciti a speciál ne a j ůplnú B-funkdu pomocou súčinu impliccnlov. Tento spósob vyjadrenia sa mtma „rozklad funkcie na súčin impliceniov",

Například funkciu (f) = 1x01x100 mo/no vyjádřit' vo formě súčinu f = h . kde h a s su funkcie / predchádzajúceho příkladu. Tieto funkcie sú implicenty f a spíňajú podmienku úplnosti pokrvtia.

Berme do úvahy, že máme funkciu / a súbor implíkanlov //,. ř/:, .... (jk funkcie f tak, že platí

f = <J« + Ul + ■■■ + <ik

Podfa De Morga nových zákonov

f = ť/i . íii..... fik

Z vety 2 vyplývá, že t)t.....ýk sú implicenty f a že je pre ne splněna podmienkaúplností pokrytia.

Z toho vyplývá vztah medzi obidvoma kompozíciami. Suěinovú kompoziční pre funkcie / možno získat'zo súčtovej kompozície funkcie f jej negáciou a naopak.

Speciálnymi připadnií súčtových a súčinových kompozícit sú kompozície, ktoré využívajú mintermové alebo maxtermové funkcie.

Page 48: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

AíimYrmovcJii funkciou mr(xy, *„) alek) maxtermovou funkciou‘•i • . .. xJ nazývame úplnú B-funkciu, ktorá má právc jeden jednotkový,— r. in - nulový bod. a to bod oboru $ indexom r,

P-; n premenných existuje právě 2* róznych mintermových a 2" rňznych- .v. irmových funkcií.

Například všetky 4 tnintcrmové funkcie m,(xt* x2) a všetky 4 maxtermové funkcie x;) sú :

Veta 2,3. Nech /{.¥,, .... x„) je úplná B-funkcia n premenných; ďalej neeh Jalebo N je množina indexov bodov oboru {(), I}" , v ktorej / nadobúda hodnotuI alebo 0. Potom možno pisať

kde I značí súčet a fl súčin B-funkcií.Dokaž: Z definicie mintermových a maxtermových funkcií priamo vyplývá, že

í«,{,í). .... .¥„) je implikaniom každej funkcie f(x ,, x„). ktorá má v bode s indexom r hodnotu I alebo x. Podobné je M ,(x i , . . . , ) implicentom každej funkde /(.r,, x„), ktorá nadobúda v bode s indexom r hodnoty 0 alebo x. Funkcie re J alebo M „ reIVsit teda implikanty alebo implicenty danej funkcie. Z predpokladov vety 23 priamo vyplývá aj splnenie podmienky úplnosti pokrytia.

Z vety 2.3 vyplývá, že mintermová súčtová kompozícia je pre danú úplnú B-funkciu jediná. To isté platí aj pre maxtermovú súčinovú kompozíciu.

Veta 2,3 platí aj pre rozsírené B-funkcie. Množinu indexov J alebo N možno však rozšírif o indexy bodov s hodnotou x. Pre danú rozšířenu B-funkciu bude existovat’ 2* róznych mintermových kompozícií (podTa spósobu dourčenia x-ových bodov), kde k je počet bodov oboru, v ktorých má / hodnotu x, t. j. právě tofko kompozícií, kofko je rovnocenných úplných B-funkcií s danou funkciou f.

Například úplnú funkciu (.*) = 00101100 možno vyjádřit takto:

(s) - m2 + m4 + rtts, (s ) = M 0. M } , M j . M fi. My

Pri funkcií (/) = 1x01x100 sú kompozície také to:

( mtí) = 1000, (m,) = 0100, ( m2) = 0010, (m,) = 0001 (M (l) -OIH, (M i) = 1011, (M 2) = II0I, (M i) = 1110

/ = S "*'(*!■ -OrmJalebo

/=n h (.v,, — v„)

(/) = m0 + mi + ms (/) = m0 + m, + ttij + m5 {/) = m0 + m3 + m4+ ms(/ ) = mit + mx + m3 + nu + m5

(f) = Mi .M 2 .M 4 .Mt,. M

(/ )= M 1.M I .M b.M ,(f) = M 2. . M 7

Page 49: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

V každom riadku na právej sírane rovnosti je jedna z rovnocenných úplných funkcií.

2.li ÚLOHY NA R IEŠEN IE1. Zůstavte a zapište do tabulky, připadne do map systém B-funkcií realizova­

ný kombi naěným obvodům — násobičkou pře dvojní íestne celé čísla .r,jfo a y,y» v dvojkovej čtsclnej sústave. Obvod má 4 vstupné premenné ,t,> jť,. y„ a y,» pomocou ktorých sazobrazujúčísla na vstupe obvodu (pričum logické hodnoty ÍJ a 1 sa interpretuji! ako číslice 0 a I). Výsledok násobenia, číslo sa zobrazuje pomocou 4 výstupných piemenných r„, :.i, z2 a z3.

2. Rieste rovnaký problém ako v úlohe 1 pre porovnávací kombinačný obvod pre dve irojmiestne dvojkové čísla X = .r,.?,*# a Y = ysyiVo. Obvod má 6 vstupných premenných. na ktorých sa zobraxujú uvedené dve čísla, a jednu výstupný premennú p. Premenná p má hodnotu I právě vtedy. ak X ^ V,

3. Zůstavte zhuštěnu pravdivostmi labufku pre systém B-funkcií, realizovaný prinniným kodérům % K vstupnými premennými PO, P l. .... P7 a 3 výstupnými premennými Aí), A I, A2» ktorého správanie možno opísaf takto: Ak vstupná pretnenna Pí fi-t), .... 7) má hodnotu I a premenné P(í + I), P(í + 2), ... majú hodnotu 0. tak nezávisle od hodnot premenných P ( í- l ) . P(ř-2), ... obvod generuje taký výstupný vektor, ktorého index je / (napr. pri každom vstupnom vektore z množiny xxxxIÍMlO je výstupný vektor 100)- Zůstaveni! tahuíku porov­ná jte s tabufkou na obr. 2.10.

4. Funkciu f ( x t........*5) = D (2, 3. ft. 7, 18, 19, (22 . 2 3 . 12. 28)) zapište doobidvoch typov máp.

5. Zůstavte zhustenú pravdivostmi tabufku pre funkciu (/) = 1001100, Zapište různými spůsobmi funkciu /(a. b, c, d) = K(í), 1. 2, 6. 7. 8 (10, 14,

15)).7, Úplná B-íunkcia f sa nazýva neutrálna, ak pučet jej jednotkových bodov sa

rovná počtu nulových bodov, Kolku je takých tu funkcií n premenných?N. Dokažte. že pre Hammingovu vzdialenosť d platia tvrdenia I,

2 a 3 uvedené v podkap. 2.1.9, V inštrukčných súboroch počítačov sa nachádzajú obyčajne inštrukcie

predpisujúcc operácie logický súcet (v ) a logický súéin { a ) nad vektormi (refazea- mi) no symbol mi 0 a I. Přesvědčte sa, že systém ({0, i}*1, a, v ) tvoří Boolovu algebru, Operácie a a v sú pre fubovofné vektory ( ít, .... i„) a (/,, .... }„) z {0 ,1)" definované takto:

( / „ í ) a ( / , . . . . . j „ ) = ( i , . / , . . . . . L } « )

( iu .... Í Jv í/ j ..... j») = (íi + ii. .... t* + U )kde “ a „ + “ sú operácie nad hodnotami I) a I, ktoré sú definované v dodatku A2 alebo v podkap. 2.4.

Page 50: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

3.1 POJEM VÝRAZU A JEHO HODNOTY

Významnú úlohu pri zápise B-funkcií, analýze, synteze, modelovaní a simulá-cií logických obvodov zohrávajú logické výrazy, ktofé sa v ďalšom nazyvajú jednoducho výrazy.

Vyraz je postupnost (rcťazec) symbolov, obsahujúca symboly konstant (0, l)\ symboly premenných a operácií (-. +, j , |> ©< =* *-») a zátvorky na vyznačenie oblasti a poradia pósobenia operácií. Výraz definujeme rckurzivnym spósobom formálně takto:

1. 0 a 1 sú výrazy.2. Ak x je premenná. tak x je výraz.3. Ak d je výraz, tak aj -ri jc výra?,,4. Ak .<4, 38. %, ... sú výrazy, tak aj nasledujúce postupnosti

. li.® , /4+m , i5 5 jr€|...,.-4=

-r4-^íi ,

sú výrazy,Formálnu definíciu možno použiť ako test prí previerke. či niektorá postupnost'

symbolov zodpovedá výrazu.Například máme určif, či (((jť,®jri)t'(Í2 + .£*)) I *0— kde ,tu x1, x, sú

premenné, je výraz.Postupujeme podfa definície:Xu x2, x3 sú výrazy =*> x2, xy sú výrazy ^

Xi®x2> Xj + x3 sú výrazy => fx ,© jfj)t(íj + Jt3) je výraz ((x)® x 2) ‘l(x2 +Xi))lx3 je výraz ^ (((-*i©-*i)Í(*2 + *í))I* i)-»‘Í j je výraz

Podobným postupom napr. dokážeme, že postupnost’ (jr,-+xif). jč3© nic je výraz.

1 Symboly korétánt 0,1 vo výrazech odlisujeme v lejto kapitole od logických hodnot 0.1. Chceme tým zdórazntť iq. že konstanty 0,1 představuj ú výrazy, připadne kh časti, V dalších kapitolách budeme výrazové konitanty a logické hodnoty označovat rovna kým i symbolmi 0, I.

Page 51: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Výraz možno definovat* ekvivalemným spésobom aj pomocou známých Backusových - Maurových formúi:

(výraz): = ( konštanta) |{ přemenná} |(( výraz) )||{ (výraz)) * (( výraz))| (S-ierm) | { P-term)

(S-term): =((výraz)} f ((výraz) )|(S-term) f ((výraz))(P*ierm): = ((výraz)) |.((výraz))|<P-term) I((výraz))

kde symbol zastupuje fubovornti ope rádu z množiny {■, + , © , = , }.S-term, připadne P-term, sú napr, také to postupnosti :á f í i f f f 9 , připadne d | 38 | *1 ' j 9, kde .<4, 98, <€, 9 sú lubovoíné výrazy. Zátvorky sme tu zaviedli na jednoznačnost" určenia oblasti a poradia pdsobenia operácií vo výraze, Ak zá tvorky uzatvárajú premennú alebo konstantu, sú zbytečné.

Každému výrazu sa priraduje hodnota 0 alebo 1, podfa hodnot premenných, ktoré v ňom vystupujú.

Ak vo výraze 3? vystupujú premenné x,, x2 t x„, tak pre každú n-ticu / = ((,. ij, .... i„)e{0,1}", kiorá zodpovedá uspo nadané j n-tici hodnot premenných, sa definuje hodnota | íf |f výrazu takto i

1. |0|f = 0, |/]|*I.2- = 4 pre k = ), n.3. Ak ,4 je výraz $ premennými x3, x„, tak |. |j - lc?>|.i€|(- = 0,4, Ak /4, 58, <€, ... sú výrazy s premennými x ,......x„, tak

= aj |®|i = I;+ alebo |» | ř = l;

I-r-í 1 St j, ^ i ..,|í ~ 0 I-r41/ = I alebo |áB|í = l

alebo |^fí = I atef., t.j. aspoň jeden má hodnotu I;

M f S r f « í , . . | i = = O H ^ | í a W í = l a i n - I

attf., t.j. všetky majú hodnotu I;

|.rf(+)í®t; = lo j . 'i I ; a |39|f sú rózne;|r4 = £B|í = I | 4 |f a |53|i sú rovnaké;|.rá —* 38|i- Io|,4|,* = 0 alebo |á8|j = I;\s4*-*5$\i = = 1 a |í9|; = 0.

Podlá definicie možno určil hodnotu Tubo volného výrazu. Táto činnosť nazýváme vyňodnofertie výrazu pre zvolený vektor hodnot premenných.

Například určíme hodnotu výrazy % - ((x, ix 2)© x3) pre vektor ř = 0110, ktorý zodpovedá štvorici hodnot premenných x,, x« (v danom poradí). Určíme teda |3T|ř\ Postupujeme formálně podfa definicie

Page 52: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Ui|j = 0, i*2|í=!, 1. |-41ř ==> I j 4 1 í - L | ( j f l ! * } ) I í = 0 => I ( ,ť» i X, ) © -V.i) i = i ^

=> I(ÍA-i i A 'j)@ X i) +■*x 41; = 0

Pri vyhodnocovaní výrazu pře daný vektor i možno v praxi postupovatjednoduchšie takto: Do výrazu % na miesta premenných x,...... xH na dosadiahodnoty |,¥,|í , |.í„ |/. Dosadené hodnoty 0 a 1 vo výraze sa formálně pova/ujú z;i výrazové konstanty 0 alebo /, Namiesto póvodného výrazu sa vyhodnotí takýto výraz : f s konstantami. Správnost' postupu je evidentná.

Například úlohu z predehádzajůceho příkladu móžeme vyriešiť takto: Namies- to výrazu 3? vyhodnotíme výraz 3?J = (((ft j í )© ř )^ 0 ) . ktorý dostaneme podosadení hodnot premenných v,..... jc„ pridanom vektore i = 0110 do výra/u 7.

Prctože pri 1'ubovolYtom vektore í je [0|; = 0 a |J|;= J, hodnota výrazu f" nezávisí od I. Potom

I o | /j=0, | Ó| = I =>|(01 /)© 7| = 1 =>=>!((<> i /)©/)*■>/! = o.

Teda [ : f U = 0.Definované operácie ■, + , j, © , =, — +* vo výrazoch sú tie, ktoré majú

najváčší význam v inžinierskej praxi pri práci s logickými obvodmi. Mohli by sme podobné zaviesťaj ďalšie operácie. Obyčajne sa zavádzajú takéto názvy operáeií:

logický súčin, konjunkcia + logický súčet, disjunkcia- negáeiaJ, Peirceho operácia, negáeia logického súčtu t Sheřferova operácia, negáeia logického súčinu © neekvivalencia (súčet modulo 2)= ekvtvalencia —*■ implikácia *-* inhibíeia

V literatuře aj v praxi sa možno stretnúť s dalšími názvami operáeií. Sú tonapr.:

logický súčín operácia „A " (príp. ,tA J “ ) alebo „A N D “logický súčet operácia „A L E B O ", připadne „O R “Peirceho operácia operácia „A N I“ , připadne „N O R 14 (anglický ekviva­

lent ANI, připadne anglická skratka pre NOT — OR, N IE — A LEBO )

Shefferova operácia operácia „N A N D " (anglická skratka pre NOT— AND, N IE — A)

Page 53: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

neekvivalcncic operácia „E X K L U Z ÍV N E A L E B O '1, případ ne,,XOR“ (anglická skratka pře Exclusive OR)

Názov operácie vyplývá z použitej spojky vo výroku definujúcom jednot kovů hodnotu výrazu s touto operáeiou. Například -'4 + % má hodnoiu 1 právě vtedy. keď :4 alebo íS má hodnotu I. Zápor NIE (NOT) vyjadřuje ío. že výrok s pripisanou spojkou definuje nulovú hodnotu výrazu, s příslušnou operáeiou. Například hodnota výrazu .'-ffíl nic je I, t.j, rovná sa 0 právě vtedy, ak .7 a ,8 má hodnotu I, Termín „A N I" vyplývá / výroku: Výraz :4 | M | % má hodnoiu I právě vtedy, ak an i jeden z výrazov /4, £®, % nemá hodnotu 1. Názov „EX K .LU Z JV N E A L E B O “ sú visí s tým, že .-4 © £® má hodnotu I právě vtedy, keď aleho .4 alebo ® má hodnotu I, avšak vylučuje sa případ, v ktorom d aj ‘M má hodnotu I.

Operácie „ + “ a negáciu nazývamc Booíove operácie a výrazy, ktoré obsahu jú i ba tieto operácie — boo/ovs/fé výrazy (B-výrazy),

Výrazy, ktoré obsahuji! iba Shefferovu (Peirceho) operáciu a připadne operá- ciu negáeie nad jednotlivými premennými. nazývamc shefíerovské ipeirceovské) výrazy. Označujeme ich aj ako S-výrazy (P-výrazy).

Například (a , b) + (h + (a + ť)) je B-výraz, (a fft) |f« t (<" T'0 ) je 5-výraz a ( « ! ' ’) I O1 i «) je P-výraz.

Operácie okrem negáeie, Shcfferovej a Peirceho operácie sm e definovali už v uvedených definieíách výrazov a ich hodnot ako binárně (d vo ja rg u m e n t o ve) operácie. Naproti tomu Shefferovu a Peirceho operáciu sme zaviedli z praktických ddvodov ako n-árnu operáciu (pre TubovoTné n). Termy .r4 1 $ t V !.... ■r4 j, (M J, % i .., sa v praxi používajú často. Možno, ze tieto výrazy by bolo fcpšiezapisovat’ v tvare ](:4, ÍĚ, ...} alebo ] (/V, 3$. V?.....): názorné jšie bv sa ivmvyznačilo, že .'4, ž3* <€ ,... vstupujú do operácie alebo ako argumenty. \ko H-árne sme zaviedli tieto operácie pre to, iebo hinarna Shefferova a Pciru ho operácia nie je asoctatívna. Výrazy '4 fíiU | '€), I / t 38)f % a '4 J ál } % nie sú ekvivalentně (podkap. 3,2). Výraz ekvivalentný s termorn :4 f M f '€ je zlo/ítejši:

Abv sa dali odstranit' z výrazov niektore zátvorky, prijímajú sa určité konven- cie o poradí (predchádzani) operácií pri. vyhodnocovaní výrazov. Logickému súčinu sa obyčajne dáva prednosť pred logickým sůčtom, t.j. požaduje sa vy hodnotě nie častí výrazu, v ktorých vystupuje logický súčin, a až potom častí viazaných logickým sůčtom. Podfa tejto konvencie možno pisaí a . b + c namiesto (a . b) + c.

Ďalšie zjednodušenie výrazov možno dosiahnuť vypuštěním symbolu „■ “ (ak to nesposobi chybnú interpretáciu výrazu), Namiesto (« . b . c) + (b . č) píšeme napr. abc + bč.

Na od stráně nie dvojznačnosti symbolov a „ + “ ako značiek pre operácie s logickými veličinami a zároveň aj ako značiek pre operácie s číslami, sa

Page 54: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

v literatuře často pracuje s inou symbolikou. Logický súčet sa označuje symbolom ..v*" a logický súčin symbolom „ a “ alebo

Na označenie logických operácíí používáme symboly a „ + ", pretože výrazy s touto symbolikou sú jednoduchšie a prehfadnejšie, ale aj pře to, že pracujeme (až na malé výnimky) s logickými veličinami. Přitom v konkrétných prípadoch symbol vynecháváme (ak to zjednoduší zápis a nenaruší správnost' výrazu).

Z rovnakých dóvodov (na zjcdnodušenie terminologie namiesto lermínov „logický súčet" a „logický súčin") často uvádzame iba „súčet" a „súčin‘\

3.2 V Z Ť A H M ED Z I B O O LO V SK Ý M i FU N K C IA M I A V Ý R A Z M I A E K V IV A L E N C I A V Ý R A Z O V

Každému výrazu SP s n premennými jc,. .... x„ přiradíme určitú úplnúB-funkciu /(,¥], .... x„), co značíme /= Potom hovoříme, že funkcia f zodpovedá výrazu 'í. Toto priradenie definujeme takto:

f(xu *„) “ l^ p re každú n-ticu íe ( 0 , I] '’je \ n = fo )

Funkcia / zodpovedá teda danému výrazu právě vředy. ked výraz 3T aj funkcia / nadobúdajú vo všetkých bodoch oboru funkcie rovnaké hodnoty.

VyhTadajme napr, úplnú B-funkciu, ktorá zodpovedá výrazu 7 = x,x^ + í ; .r3. Úlohu možno riešíť tak, že sa najdu hodnoty výrazu pre všetky trojice í = (i,. i2. b) hodnot premenných ,*[, x2, x}„

|3 rU = o, |3 rU = i, | a u = o , |^ f« ,-o ,. |af|.« = 0, m m = l |3f|iro = 0, |£|,.« = I-

Výrazu 3? zodpovedá B-funkcia f. krom možno zapísať takto:(f(xu X2 , X,}) = OIOOOIOI alebo / = D ( 1. 5, 7),

Podobným spósobom zistíme, že [{(jci | jti)©*!)-*-*-**! je funkcia f ‘(x it x2, x3t *,) = D(1, 7, 11, 15), f.j. ( f ( x t, xi, jc3, x4)) = OÍOOOOOIOOOIOOOL

Sémantickým určením výrazu ;3f je vyjadrenie (zápis) určitej úplnej B-funkcie |3|. Výraz nielenže dáva hodnotu zodpovedajúcej funkcie pre každú ři-licu hodnot premenných, ale obsahuje v sebe aj návod (předpis) na jeho postupné výhod no te- nie, f.j, určeme hodnoty zodpovedajúcej funkcie. S prihliadnutím na tuto poslednú vlastnosť, výraz 3f představuje určitý matematický model struktury kombinačného obvodu, ktorý funkční |3T| realizuje (podkap. 2.2). Prvkami štruktúry sú teda jednoduché kombinačně obvody s Jednou výstupnou premennou, ktoré realizujú jednotlivé operácie1 vystupujúce vo výraze & (podrobnéjšie pozři v kap. 4),

1 Definiciu pojmu realizáde operácie kombinačným obvodem nájde čitatef v podkap. 3,4.

Page 55: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pre /.jednodušenie zápisu vztahu medzi výrazom a funkciou sa často při riešení praktických úloh namiesto f= f'ř’J píše / = f\ Pri bežnotn chápani významu rovnosti ,, = " nie je tento spósob písania celkom správný. Výraz a funkcia sú dva rozdielne pojmy, a teda sa nemóže „rovnať‘\ Napriek lomu fa kýto zápis používá­me, Jeho správné pochope nie ponecháváme na čítateFa. Zápis / = ! í\ používáme iba vtedy, kecf chceme viťah medzi / a příslušným výrazom . í /tliVazniť.

V uvedených prikladoch možno teda písať f - Jix.j + ÍjX j alebo /' == {(*i i x2)© *3) ^ X 4.

Pod Ta definíeie každému výrazu zodpovedá jediná úplná B-funkcia, Toto tvrdenie však neplatí naopak, Pre danú úplnú B-funkciu / existuje nekonečne mnoho výrazov, ktorým (podlá defínície) / zodpovedá. Tak například možno sa Tahko presvedcií, že funkcia {/(jti, x2)) = 0 III zodpovedá výrazom ,tj + x2, ( X i + X , ) + Jť2. ( ( * i + X , ) + X } ) + Xir Jf, + X iX 2, X i + (x,x2 )x2l X t + .. .Táto skutočnosť si vyžaduje zaviesť pojem ekvivaleneie výrazov,

Nech d , 9$ sú dva výrazy; potom hovoříme, že d a S sú ekvivalentně a píšeme d = á& právě vtedy* kecf platí

\ . 4 \ = \ m \

t.j. keď výrazom zodpovedá tá istá B-funkcia,Například výrazy jc, + x2 a X i + XxXt sú ekvivalentné, x, + x2 = xx + xxx2. Možno

sa presvedčiť, že obidvom výrazom zodpovedá tá istá B-funkcia (f(xu x3)) = OHI.Definovaná ekvivalencta výrazov je reflexívna (d = d ), symetrická (d ='M<^

«»'M±.r4) a tranzitívna (d = M a eř= 'f) relácia.Z defínície priamo vyplývá aj návod na zisfovanie ekvivaleneie dvoch výrazov

■íí, Nájde sa funkcia |.ií | a funkcia |SBJ, ktoré sa porovnajú* Tento postup může byť prácny pří zložitejších výrazech alebo pri výrazech s váčším počtom premen­ných, Po osvojení si pravidiel o ekvivalenci! výrazov z algebry výrazov (pod­kap, 3,3) možno pri zisfovaní ekvivaleneie dvoch výrazov postupovať iným spdso- bom. Výrazy d a 3 sa postupné (na základe známých pravidiel ekvivaleneie) upravia tak, aby výsledné výrazy bolí ,.porovnateFné“ (t.j. ak d = & , aby výsledné výrazy boli rovnaké).

Na zjednodušeoíe symboliky sa namiesto symbolu „ = t. j. symbolu ekviva- lencie výrazov, najčastejšie používá jednoduchý symbol rovnosti „ = Správné chápanie tohto zjednodušenia ponecháváme na čítáte fa. Symbol „ = “ používáme iba vtedy, kecf chceme zddraznif ekvivalenciu.

Nech množina V„ je množina všetkých výrazov, do ktorých vstupujú premen­né z množiny { jtj,.. „ x„ } a n je fubovofné pevné číslo, Táto množina je nekonečná, Zo spósobu priradení B-funkcií výrazom, 2 definíeie pojmu ekvivaleneie a z toho, že pre každú áplnú B-funkciu existuje výraz, ktoré mu množina zodpovedá (dokaž pozři v podkap. 3,4), priamo vyplývá, že v množině V„ je právě l 2” rázných tried ekvivaleneie, t.j* tofko tried, koíko je rázných B-funkcií s n premennými xu x„.

Page 56: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

V jednotlivých triedach ekvivalencic V ’„ kde ( )« j**22\ sa nachádzajú ekvivalent- né výrazy, ktorým zodpovedá tá ístá B-funkcia /f( x *„)■ O každom výraze, ktorý sa nachádza v metle Vj, hovoříme, že zodpovedá funkci/ /,.

Například styrom různým úplným R-íunkdám f„ = 0, f,, f2. /3 = I jednej premennej x (ktoré sú zapísané v tabuíke na obr. 2.3) zodpovedajú výrazy

fo = 0 , / i~ X , f2 = X . / , = I

V množině V, všetkých výrazovs jednou premennou x existujú 4 iriedy ekvivalen- cie, ktoré korespondují so 4 róznymi funkciami jednej premennej. Do triedy ekvivaleneie V[, do k to rej patří výraz x, patria napr. aj výrazy xx, (xx)xt ....x + xx, xx + xx___Všetky tieto výrazy zodpovedajú funkcií f,, Uvedené výrazy pre4 rožne funkcie předšlavujú reprezenrantov 4 tried ekvivaleneie. Sú to najjedno- duchšie výrazy.

Možno sa presvedčiť. že šestndstim úplným B-funkciám fůt .... fl$ dvochpremenných xlt x2 (obr. 2.4) zodpovedajú nasledujúce výrazy reprezentujúce 16 různých iried ekvivaleneie v množině V2:

fú= 0, fi = X}\, Jfj, f 2 = x t *~*x2, f i — x2if* ~ X2 *-*xI, /í - X u ft, - X t ® X j, fi = Xt f X :, ^U = V| .x2, / , = (X ] = X2), fm = X t . f , i - X2 X , , f\ l — X j, / ij = X j — /i * = X| + Jf2, f n — I

Výrazy, ktoré sme tu uviedlt, predstavujú najjednoduchšie výrazy z korešpondujú- cich tried ekvivaleneie.

Zo vzfahov (3,1) vid no, že níektoré funkcie dvoch premenných možno vyjádřit výrazmi, v ktorých vystupuje iba jedna, připadne žiadna premenná. Vo všeobec­nosti sa hovoří, že B-funkcia / nezávisí od niektorých svojich premenných, ak existuje výraz % zodpovedájúcí /, ktorý tieto premenné neobsahuje. Tak například funkcia fy(xu x2) nezávisí od premennej x, a funkcia f0(xu x2) od obidvoch premenných xt a x2.

Pomocou rovnosti zodpovedajúcich funkcií možno fahko dokázat’ platnosf vzťahov

-r4x2^x,+x2 ( = /,) x,*-*x2 = xt, x2 ( = /j)Xt® X ; =X, .X; + Xt ■ X2 ( = ft)A‘i T A'i = X| . X2 ( —fi)Xi = Xj = X, , XI + í i . Xjz ( - U ). Í i- I- X j = X , + X 2 ( = / u )

Z týchto vzťahov vyplývá, že každá B-funkciu dvoch premenných možno vyjadriř B-výrazom. Toto tvrdenie platí aj pri rubovofnom počte premenných(pozři pod kap. 3.4).

Page 57: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pří dokazovaní tvrdení o ekvivalenci! v ý ra z o v doležiiú úlohu má pojem dualita výrazov, Duálny výraz prc daný výraz íř zostavíme rak, že vo výraze vzájomne vymeníme konstanty a operácie pod Ta schémy

0 i f i- »0+■ 1—¥ „f l 1-* í

= »— (+)— J—'p 4.' E +-* ►—» #—>

Negácie ponecháme bez změny.

Například výraz St = (((a ■ h) f c)+-*(č@d)) + (0 1 b j c) a duálny výraz pře ,f

je Ma ^(((7+1)1 e )^ < c = d )).( l] hU )-Treba poznamenat', že .-4 kde i a í i sý Tubo volné vyrazy, chápeme ako

výraz Podobné zase .'.Í«+ĚS značí výrazZ definicie duálnehn výrazu ,‘ř ů priamo vyplývá, že (:9fC')D-:S. Keď vychá-

dzame z tejto symetrie, dvojicu výrazov !X a ,Í‘D nazývame (vzájomne) durffne výrazy,

V uvedenej schéme na tvorbu duálnyeh operáciř vidno, že operácie sti usporiadané do vzájomno vyměnitelných dvojic (■, + ), . i), (©, =), (—*, «-+),(•<-», <*—). Jednotlivé dvojice nazývame duálně operácie.

Pre duálně výrazy možno dokázaf nasledujúce tvrdé nie: Nech f.HPf = f(xt. .... >■,), potom ..., jfB). t.j. výrazu 3tD zodpovedá negovaná funkcianegovaných premenných. B-funkcia f(xu ..., í n) nazývame duálnou funkciou preB-funkciu f( JT,, x„).

Ak je daná množina indexov J jednotkových bodov úplnej B-funkcie f(xuxn) —\9£\i tak množina indexov N nulových bodov duálnej funkcie f'(xi......x„) = f(x\, = zodpovedá množině čísel

N' = {(2" — 1} — rf r e i }

t. j, množině doplnkov indexov z J do 2" - 1.Například ak vezmeme výraz % z predchádzajúceho příkladu, móžerne sa

pre sved čiť, že mu zodpovedá funkcia |S?| = /(a, b, c, d) = D(1,4, 5,9, 12,13, 14). Duálnemu výrazu 2TD zodpovedá potom duálna funkcia /'(a, 6 , c, d) =/(«, f>, č, J ) = K (l, 2, 3, 6, 10,11, 14) s množinou indexov nulových bodov N = { 14, 11,10,6, 3, 2, 1}.

Zoberme do úvahy například výraz (a jň ) je . Vektorový zápis funkcie, ktorá zodpovedá tomuto výrazu, je

(/(a, b, c)) = 00101010

Page 58: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Vektorový zápis funkcie zodpovedajúcej duálnemu výrazu (o |fe )f c zostavímetak, že vektor hodnot funkcie f negujeme a polom, v ňom vymcníme poradíe prvkov, t.j.

{/(«, />, cj) = IIOIOIO!

a z toho dostaneme duálnu funkciu

( f (á ,b ,č )} = mWWlí

Z defínície duálnych výrazov a zo vztahu k nim priradených B-funkcii priamovyplývá princip zachovania ekvivaleneie duálnych výrazov, Pre rubovofné výrazy :4 a 38 teda plati

Praktický význam u>hto principu je v tom, že netřeba dokazovat platnost ekvivaleneie dvoch výrazov ,'4D a ;HD, keď sme predtým už dokázali platnost' ekvivaleneie výrazov mí a 35,

Na zaver zo berme do úvahy ešte problém pri řade nia výrazov rozšířeným B-funkciám.

Výrazu možno pod fa defínície přiřadil iba uplnú B-funkciu, t.j. nemožno mu přiradit’ rozšířená (neúplnú) B-funkciu. Každej rozšírenej B-funkcii vsak možno (naopak) přiradil’ výrazy. Toto priradenie sa definuje takto:

Nech x„) je fubovofná rozšířená B-funkcia s k neurčenými bod mia nech f im, fU), f 2k-*> sú vsetky úplné B-funkcie s n premennými, ktoré sú rovnocenné s funkciou /. Potom íuhovoTný výraz, ktorý zodpovedá funkcíi fu\ 0 ^ j ^ 2 k- 1, nazývame výrazom zodpovedajúdm funkcii f.

Výraz, ktorý pod fa defínície zodpovedá danej rozšírenej B-funkeii, možno chápat ako prostriedok zápisu takejto funkcie. Tento výraz zapisuje však iba niektorú z rovnocenných úplných B-funkcií. Informácia o neurčených bodoch s;i stráea. Tento přístup však často vyhovuje potřebám riešenia úloh s logickými obvodmi.

Například funkcia /, daná vektorovým zápisom (/(.v,, x2)) = Olxl, má jeden neurčený bod (k = 1). Exisiujú 2 úplné B-funkcíe /!0) a f ” premenných xu x2, ktoré sú rovnocenné s funkciou /, a to (Z*01) = 0101, ( f ,;i) = OHI. Tým to úplným B-funk­ciám zodpovedajú výrazy

Pod Ta definíeie obidva výrazy x2 a x, + x3 zodpovedajú danej rozšírenej B-funkcií /. Tu si ireba uvedomiť, že hoci výrazy zodpovedajú rozšírenej B-funkcii. nie .sú ekvivalentně. V přiklade taktiež vidno, že danú funkciu / možno vyjadriť výrazom x2, t.j. že ju možno do urči ť tak, aby výsledná rovnocenná úplná B-funkcia nezávisela od premennej x,. Taký to postup pri vyjadřovaní rozšířených B-funkcií

Page 59: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

- . -ciivne využit' pri syntéze logických obvodov. ktorých správanie je zadané funkciami (kap, 5 a 6).

3,3 A L G E B R Y V Ý R A Z O V

V pod kap. 2.4 sm e ukázali, že systém ÍF„. ■. + ), kde F„ je množina úplných B-íunkcii n premenných, “ a „ + " sú definované operácie s funkciami. tvoří Boolovu algebru. Zostavme algebraicky systém ( V„, ■. + ), pričom VB je množina všetkých výrazov, v ktorých vystupuje najviac n premenných .í,. .... xn; „■ “ a ,. + “sú logický súčin a logickýsúčet výrazov. Ukážeme, že aj tento systém tvoří Boolovu algebru.

Z pravidicl na výhod no re nie výrazov s operácia mi negácia. logicky súčin a logický súčet {podkap. 3.1) a z definície operácií negácia. súčin a súčet B-funkcií (podkap. 2.4) priamo vyplývá, že pre fubovolné výrazy .¥ a (š z V„ platí:

= w ,l« ? .(§\ = l * . ÍS (3.3)

).? + !é\ = f - . i ,

Z týchto vzřahov vidno, že platí toto: Ak sa urobí logický súčín dvoch výrazov ;¥ a 'š a nájde sa zodpovedajúca B-funkcia |. ? . 'š\, tak je to tá istá funkcia, ktorá sa získá ako súčin dvoch B-funkcis | ,f\ a j'il. Podobné ivrdcnte platí aj pre logický súčet, negáciu výrazov a im zodpovedájúci súčet funkcií alebo negáciu funkcie.

Zo vzťahov (3.3) priamo vyplývá, že zobrazenie ,.| |’\ ktoré výrazu z V„ priraďuje B-funkciu z množiny £, (definované v podkap, 3,2), ,.prenáša“ logický súčin, logicky súčet a negáciu výrazov z V„ na ím zodpovedajuce operácie v t.j. prenása algebraický strukturu definovanú vo V„ na F„\

Pretože (F„, •, +) je Boolova algebra, ta kout o algebrou je aj systém ( V„, + )• ..Rovnost" výrazov v tejto algebře zodpovedá ekvivalenci/ výrazov.

Podfa axióm A I až A4 Boolovej algebry (dodatek A ) sú operácie logický súčin a logický súčet Icomutar/vne (A ! ) a každá z nich je d/sfribuf/vM vzhfadom na druhů (A3). Komplememom výrazu 2 je výraz '9 (a aj fubovoíný výraz ekvivalent- ný so í ) : význačnými prvkami 0 a 1 sú výrazy 0 a I (a aj fubo volné výrazy, ktoré sú s nimi ekvivalentně).

V algebře (V*. •» + ) sú platné všetky vety Boolovej algebry (dodátok A}. Uvedieme nie ktoré z nich.

Nech 55, 'f; sú fubovoíné výrazy. Polom platí:

d. íM . f€) = í:J . %). %7 + (M + Vs) = (.-/ + 3 ) + f4 (asociativně zákony)

! Zobra/enie ,.! !" je ho m o morít;? mm z V» na P.

Page 60: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

“ í + 38 = .- i. Ě.4 +■ '4 . m = -'i . ' I . {. 4 + 53) = .-I (zákony absorpeic)

(De Morgánové zákony)

:4 + = :4 {:4 + 3š).(.4 + m ^ d (zákony spoje nia)

Z toho, že obidve operácie aj „ 4-“ sú asociativně, vyplývá, že logický súčin alebo logický súčet viacerých výrazov možno zapísať bez zátvoriek, t,j. napr, namiesto r4 ,(M .'€) možno písaf -4. ,3. % (alebo ak to nespósobí omyl. tak jednoducho :4W€), Logicky súčin a súčet možno potom chápat' ako n-árnc operácie (n S 2 ).

Popři Boolovej algebře výrazov možno zaviesť aj algebraické systémy s inymi

kde 5„, P„, Z„, E‘„, í„ sú množiny výrazov, v ktorývh vystupujú iba operácie z příslušného systému, konstanty a premenné z niektorej množiny n premenných. Prvý systém sa nazýva Shefferova, druhý Peirceho [3| a třetí Žegaikinova algebra

Ostatně systémy nemajú názov. Takéto algebraické systémy možu mať zmysel pre to. tebo pre akúkoívek úplnú B-funkciu existuje výraz z prísiušnej množiny výrazov, ktorý zodpovedá tejto funkcii (pozři podkap. 3.4). Pri každom z takých to systémov možno zaviesť axiómy a dokázat' vety o platnosti ekvivalencií výrazov v danom systéme (a i né tvrdé nia) podobné, ako v Boolovej algebře. Z teoretického aj praktického hfadiska nemajú uvedené systémy vefký význam, protože všeiky tvrdé nia možno dokázat’ pomocou viet v Boolovej algebře (dodatok A).

Sponienuté algebraické systémy možno zevšeobecnit' a zostaviť algebru so zovíeobecnenj/m/ operáciami. Takéto operácie možno potom v konkrétnom případe nahradit'určitým súborom operácit z množiny {-, + . j. | ,0 , = .-*.<-*}’,

Uvedený špeciálny algebraický systém nebudeme hlbšie rozoberat. Uvedieme však důležité tvrdenia o ckvivalencii výrazov, ktoré ohsahujú ině ako Boolove operácie. Správnost' týchto tvrdení možno íahko dokázat pomocou viet Boolovej algebry, ak sí uvědomíme nasledujúce vztahy medzi výrazmi s operáciami —*>,® , =, | a výrazmi s Bodovými operáciami:

,r4 —► 3$ — .4 + áí, -4 *-* : ,'4, :'M :4 ® m = .4 . :í + ■■;#. í® = (.■# + á ) .{-4 + % )

-'4= m = .-4 . M+- .-7. J ={ 4 + .71). [■-'/ + .41) (3 .4 )

* Názov algebry je podfa mena sovknsfccho vedca J. J. Žegalkina [5).! Zovšenhecnený algebraický svsiém je opisaný v práci J. B. Surjaaimadjp ..Au algebra fot

swiíching circuits’*. IE E E Transactton on Computers. Vol, C-30, č. 8, W l .

Page 61: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

i'... - : i , M __ = -í + + <&+...H I .3 | 1 . .. = ”i T ¥ + « + =•■:/. Ě . % ...,

Správnost týchto vzťahov pre íubovofné výrazy -7, 'M, ■'€, .... priamo vyplývá z. defínície hodnoty výrazov s příslušnými operáciami, kiorú sme uviedli v podkap. 3.2\

Na [skór si všimneme vztahy ekvivaleneie medzi v vra zrn i so Shcffvrovýmíoperáciami. Operácia „ t “ je komoíalivíM, Pře íubovofné výrazy .'4, i1! , 'i’ platí

* = .5} í '■€ T. •/

čo vyplývá z ekvivaleneie naslcdujúcich výrazov

<*? = ./. ^ ..7 = j f 'Tf.v/

Sheííerova operácia nie jc jxodam ™ , Například:

-.•/ f { J i 7 ^ .7 | a T '^

Porovnáním ekvi valen mých výrazov s. Booiovymi operáciami možno zisiiť:

••í t í A *+)■■= -l = /+ .# .'€{ •/ T J ) > ¥ = í T ~ J ) . ? = - i..% + '€

.7- J . 7 = ■/..%+*

Pretože Shefferova operácia nie je asocíativna, do výrazu .7 | f % 'f nemožno ,,vložit" zálvorkv Například:

■l] . # Í ' r 7 - ( .7 i J ) t { ^ é t 7 )

Lahko však dokážeme platnost vzťahov

■v 1 d i f € f 7 = i f f J f ^ f 2) = (- i T ^ T ^ I í = í á8) T W T ' ^ )

Dokážeme správnost' vzťahov v prvom riadku:

7 T ,1 f * = .-i . J . -e= .7 , (J . %) = . 4 , (3 f % = :4 j (a T '€)

.7 t J t % = -V . 3 . = {.7 . 3 ) . «= ( -i f 3 ). ^ = f í .3) f <$

Ďalcj pre íubovofné \ýraz> . L ň platí

i f . 7 - 7 | . 7 T ...T .7 j .7 = .7 . 7 * 3 = 7 ; 71. . .T J

'Operácie sme mohli uz ‘.kór dciintnať pomocou Boolovvch operáeií.

Page 62: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Výraz .'4\.4 niekcdy zapisujeme skrátene :4 f , Pri tejto konvenci! možno

namiesto -r4 1 ( i® f f€) písať .'i | ((íU | (€) f ).Pre operácie s konstantami platí

-,í|0 = f o\.-4] m \% \ ...= I;4 f / = ,4 = J Í \ ! T -.i f a I « I ... = M f m I <€ I -..

Pretože Shefferova a Peirceho operácie sú duálně, všetky uvedené tvrdé nia o výrazoch so Shefferovými operáciami platia aj pře výrazy s Peirceho operácia ni i (ak sa operácie „ f “ zamcriia za a vzájomne sa vymenia konstanty 0 a /),Například

,4 [.'4 =-4 '4 } í = 0, .41 0 ~ .'•/ = -41

Pomocou vzťahov (3.4) možno dokázat’ aj správnost- ekvivalenci!

.'4®0=-4 :4® l= .-ás4@ f4=0 ř.'í© S t = (operácia © je komutattvna)

(operácia © je asociatívna)

(operácia „ ■" je ďmrtbimvm vzhfadom na © )

Pretože operácia © je asociatívna, pri zapisovaní operácie exkluzívneho súčtti viacerých výrazov možno vynechat' zá tvorky, t.j. namiesto (■ '• í@ íi)© ((l ‘© í2i) možno písať .^ © í i© ^ © ® .

Vzhíadom na to, že operácie ” a „© " sú duálně, všetky uvedené tvrdenia sú platné aj vtedy, ak operáciu „©'* zamemme za „ s “ a konštanty aj operácie " a vzájomne vyměníme. Například ri + (& = <€) = (.‘4 + + *$)(operácia », + “ je distributívna vzhfadom na operáciu .,s “ ), (/$=/)=,'$, ( rd = 0 ) = :4 a pod.

Pre výrazy s implikáciou platia vzťahy

■’4 —* M = I, :4 —*■ s4 - r4 ,:4 —* ® = j4 ++ ž®.'4 0 - 4 , .'4-*f= f

Po lámene duálnych operácií a „«-+*' a konštánt 0 a í dostanemepodobné vzťahy pre operáciu ínhibicie -

Page 63: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

3.4 F U N K Č N Á Ú PLN O ST M N O Ž IN Y O P E R Á C IÍ

Nech nie ktorá množina operácií G = { Množi nu G nazývame funkčně úplnou právě vtedy, ak pre každú B-funkciu / existuje jej zodpovedájúci výraz 3?, t.j. ktorý obsahuje iba operácie z množiny G.

Pretože výrazy, ktoré využívájú operácie z danej množiny G, chceme potížiť ako univerzálny prostriedok na zápis B-funkcií, funkČná úplnost' množiny G představuje z teoretického hradiska celkom prirodzenú otázku. VzliTadom na inžiniersku prax je táto otázka za ujím a vá aj v oblasti technologie logických obvodov, keď ide o zostavenie súboru elemcntárnych kombinačných obvodov ako základných stavebných prvkov pre zbžitejšie kombinačně obvody. Ak má byť ta kýto súbor univerzálny, musí realizovat všetky operácie z niektorej funkčně úplnej množiny (pozři kap. 4). O komhinačnom obvode s jednou výstupnou premennou hovoříme, že realizuje niektorú operáciu g právě vtedy. ak realizuje B-funkciu (podkap. 2.2) zodpovedajúcu tým to výrazom:

x ak u je negácia,jf, § x2 ak u je binárna operácia,i ] g x2 § ... n x, ak u je n-árna operácia prí n > 2 ,

kde x, Xi, x„ sú vstupné premenné kombinačného obvodu.Najskdr dokážeme, že množina Boolovych operácií {■, + , — } je funkčně

úplná. Vychádzame z výsledkov podkap. 2.4, formulovaných vo vete 2.3, a dokážeme, že pre každú B-funkciu existuje jeden štandardný výraz, ktorý zodpovedá tejto funkcii,

Pre daný vektor í= (h , I } fl zostavime súčin

*!' ■ ....... (3.5)

kde X) je premenná a

j _ | Xj a k ij = 0' I x, ak i, = I pre / = 1, , , n.

Ukážeme, že tento súčin zodpovedá mintermovej funkcii mr{x\......xn)„ kde rje index bodu i - ( i ,, ..., i ) .

Mintermová funkcia mF nadobúda hodnotu I právě v jedinom bode oboru, a to v bode s indexom r, Z definicie hodnoty operácie logického súcinu (podkap. 3.1) priamo vyplývá, že výraz (3.5) nadobúda hodnotu I právě vtedy, keď jednotlivé premenné majú hodnotu ih Nastane to v jedinom bode oboru {0, 1}", a to v bode / = (í't, i„). Ak r je indexom bodu /, tak výraz (3.5) zodpovedá právě funkcii mr.

Například zobeme súčin x].x%. jc|, t. j. súčin jr,, x2. x3. Tento výraz nadobúda hodnotu I právě vtedy, keď Jťi = I, x2 = Q a j j = I, t. j. pri trojici 101. Index tohto bodu je 5, a teda súčin x i.x 2. x3 zodpovedá funkcii ms(x,, x2< Jtj).

Page 64: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Majme niektorú B-funkciu f(xi, x„). Nech množina jednotkových bodov tejto funkcie je F 'a nech množina indexov týchto bodov je J . Potom podfa vety 2.3

Ak jednotlivé miňte rmové funkcie m, nahradíme funkciami zodpovedá jiicim isúčinom ako v (3,5), dostaneme

Symbol I! označuje v tomto případe logický súčet výrazov ako v (3.5),Dokázali sme, že pre daná B-funkciu existuje výraz v tvare súčtu súčinov,

ktorý jej zodpovedá. Jednotlivé súčiny obsahujú všetky premenné xu x„. Takéto súčiny sa nazývajú min termy množiny výrazov V„. Uvedený výraz sa nazýva kanonická súčtová forma alebo úplná normálna súčtová forma.

Například funkcia f(x i , x2, jc3) = D (1 , 5, 7) má množinu jednotkových bodov F = {0 0 í, 101, I I I } , PodTa vety 2.3 možno funkciu f vyjádřit vo formě súčtovej kompozície

Podobným spósobom (použitím výsledkov vety 2,3) možno dokázat’, že každá B-funkcia sa dá vyjadríť duálnym výrazom, t.j.

kotnplement i}. Súčet i , + i 2 + ... + x„, kde x, značí xt alebo x, sa nazýva maxterm v množině V„, Zodpovedá tomu maxtermová funkcia M r, nadobúdajúca hodnotu 0 v jedinom bode l - ( i u ..., v). Súčin maxtermov z (3.7) sa nazýva kanonická súčinová forma alebo úplná normálna súČinová forma.

platí

Na základe vzťahov (3.3) možno potom pisať

(3.6)

/ =ra, + my + m?

Z toho vyplývá, že

a ďalej

/ = | i j . i j , X 3 + X i . X 2 - *3 + V| . X 2 -X i

(3.7)

kde FI značí logický súčin, F ° je množina nulových bodov funkcie / a i, značí

Page 65: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Například funkcia f(xt, x3, jtj) = D (l, 5, 7) = K((>, 2, 3 ,4 ,6 ) z predchádzajúče­ho příkladu má množinu nulových bodov F u = 1000,010, 011,100,110}. Zodpovedájej kanonická súčinová forma

f = ( X i + X2 + Xi ) , ( JCi + .íj + X j ) . {X i + i 2 + i j J .. ( i t + .íI + A-í ).{i', + I r +,r,)

Druhý súčet sa zostaví napr. takto:

x6t + x[ + x f - x i + x í + x l = X] + i : + Xj

Z vety 2.3 vyplývá, že pre každú úplnu B-funkdu existuje jediná kanonická súčtová alebo .súčinová forma. Vo všeobecnosti však možno pomocou pravidiel Boolovej algebry tieto výrazy upravit' rózne, Tak napr. upravou kanonických foriem funkcie / zpříkladu fahko získáme pomocou zákonovspojenía ekvivalentně výrazy x,xj + x2 x3, x3(x í + x2).

Pre rozšírenú (neúplná) B-funkeíu možno nájsť viac róznych kanonických foriem jedného aj druhého typu, ktoré roznym spósobom do určuj ú nedefinované body (pozrí podkap, 2.4),

Konstruktivným spósobom sme teda dokázali, že množina Boolových operácií je funkčně úpiná. Existujú viaceré množiny operácií, ktoré sú funkčně úplné. Uvedieme všetky minimálně úplné systémy zostavené z jednej, dvoch alebo troch operácií definovaných v podkap. 3.1, z ktorých nemožno bez porušenia funkčněj úplností odstranit" ani jednu operáciu:

U M T ) . { • . - } , { + . “ i. { - . © } ,

Peirceho a Shefferova operácia predstavujú samy o sebe funkčně úplné množiny. Množina Boolových operácií netvoří minimálny úplný systém.

Dókaz funkěnej úplnosti niektorej množiny operácií možno urobit' tak, že sa dokáže vyjadriteínosť výrazov í , x . y, x + y s Boolovými operácíami pomocou ekvivalentných výrazov z operáciami z danej množiny.

Například dokážeme, že množiny {],} a {© , -*} sú funkčne úplné.1. i = jtJ,jf = * j

x + y = (x i y} 1 x..y = ( x i ) | ( y i )

2, x - x) (poznámka: x($)x = 0) x + y = (x - * (x @ x ))- *yx .y = { x— (y-+(x®x)))--*{x(š)x).

Ak sa zavedu konštanty 0 a / ako nulárne operácie do skúmanej množiny operácií G, tak počet funkčně úplných množin možno zváčšif. Ďalšie minimálně úplné systémy, ktoré využívajú konstanty 0 a I:

Page 66: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

f= , + , 0 } . { 0 , + . 1)

V praxi sú zaujímavé aj tieto systémy, Generovanie konstant 0 a í je technicky nenáročná úloha.

Ako příklad uvedieme este dókaz funkčnej úplnosti množiny { +, - . / ) , ktorá obsahuje operácie Žegalkinovej algebry

x = x ® I■ x + y = ( (x ® í) . (y © m ® í

Funkčně úplné množiny, ktoré nezahrňaju a zahřňajú konstanty, líšia sa niekedy [80] názvami silno alebo slabo úplné, Problém funkčnej úplnosti je vyriesený na všeobecnej úrovní pri množinách s fubovoTne definovanými operácia­mi, Známe sú nevyhnutné a postačujuce podmienky, ktoré musí spínat' množina operácií, aby bola silno alebo slabo funkčně úplná. Vychádza sa z určitých základných vlastností operácií, ktoré má množina mař (podrobností pozři v [80| alebo v [ 103]).

3.5 N O R M Á LN Ě FO R M Y V Ý R A Z O V

3.5.1 Definícta normálnef formy

Normálně formy výrazov predstavujú určiié standardně výrazy, ktoré sú užitoČné při zápise B-funkcií a majú vefký význam pri analýze a syntéze kombi nač - ných logických obvodov.

Pred uvedením, pojmu normál na forma najskór objasníme pojmy písmeno a term,

Ak x je premenná, tak jednoduchý výraz x alebo x nazývame písmenom a označujeme ho symbolom i .

Nech *ft, xik> fc 3* 1, sú rožne premenné a nech § je fc-nárna operácia.Polom výraz v tvare i (1 g xh § ... g xík nazývame term typu g. Podfa definicie (pre A - ! ) aj ťubovofné písmeno tvoři term typu g (triviálny případ termu, ktorý obsahuje iba jedno písmeno).

Terazdefinujeme pojem normálna forma (NF). Nech T,, T2, Tm, m ^ 1, sú navzájem rózne termy typu gt a nech g2 je m-árna operácia. Potom výraz v tvare

Ti fe T2 g2 ... ga T„nazývame normálna forma typu gt/g3 a označujeme ho skrátene NF ii/flj, Triviálnym prípadom NF g,/gt pri m= 1 je jeden term T,,

Příklady normálnych foriem pre premenné a, b, c:I. ( á lb ) + (a [b ) + č je NF j/ + . Táto NF má 3 termy typu „1 “ {fli = |).

ktoré sú spojené operáciou „ + " (g2 — +).

Page 67: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

2. (fl J, />) j č je NF J,/j., Tálo NF má dva termy typu „ j ‘\ ktoré s i spojené operáciou i (ifi = íh - D-

3. a .b .č + á ,b + c je NF ./ +, ktorá má 3 termy typu4. a .b , c je NF g j. (napr, NF -f/.) ale aj NF J g 2 (napr, N F ./ + ) kde g, a g2

možno zvolit V prvom případe má NF 3 termy typu #, a vdruhom jeden term typu , 4,1

Z definíeie normálnej formy vid no, že výrazy a . b . b + c . dt (a | b) 1 (a ], b) c nie sú NF, a to preto, že výraz a . b , b nie je term (lebo obsahuje premennú b dva razy), případné druhý výraz obsahuje term (a j 5) dva razy, Výraz (a [ b) | (ó . b ) nie je taktiež NF.

V dalšom sa budeme zaobemť takými normálnymi formami, ktorých operácie gr a sú z množiny operáeií {■, +, f } . Tieto normálně formy majú najváčší význam pri ríešení praktických úloh z oblasti analýzy a syntézy kombinačných obvodov.

VzhTadom na univerzálnost' pri zápise B-funkcii normálnymi formami, má zo všetkých možností pri daných operáciáeh {% +, f } prakticky význam 8 normálnych foriem, ktoré uvedieme.

1. NF ./ + (NF AND/OR). Nazýva sa siíčřová (alebo dísjunktiVoa) normálna forma a označuje sa skratkou DNF,

Příklad: áb + ač + d Termy typu tejto NF sa nazývajú elementárne súčiny,

2. NF +/, (N F OR/AND). Nazýva sa súčinová (alebo konjukfívna) normál­na forma a označuje sa skratkou KNF.

Příklad: (a + b + d) .(á + č + d)Termy typu „ + “ tejto NF sa nazývajú elementárne súčty.

3. NF ]. f | (N F NOR/NOR). Nazýva sa aj Peirceho N F (PN F)Příklad; (a 1 b j d) | (á J, č | d)

Termy typu „J,“ sa nazývajú aj elementárne P-termy.4. NF f / f (NF NAND/NAND). Nazýva sa aj Shefferova NF (SNF), Příklad: ( i f b) ?(a ff)t<?

Termy typu „ f “ sa nazývajú aj elementárne S-termy.5. NF . ! [ (NF AND/NOR)Příklad: (ábd) l(acď)6 . NF + /f (NF OR/NAND)Příklad: (a + b) | (á + c) | d7. NF f/, (NF NAND/AND)Příklad: (á f b U)- O Tc f ď)8 . NF |/+ (N F NOR/OR)Přiklad: (a lb ) +(a l c } + dV dalšom (v stati 3.5.2) ukážeme, že pre každú B-funkciu (okrem konitatných

funkcií 0 a I) existuje aspoň jedna jej zodpovedájúca normálna forma z každého

Page 68: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

typu, ktoré sme uviedli. Příklady normálnych foriem, pripo|ené jednotlivýmivpom, zodpovedajú jednej B-funkcii. Táto funkcia je na obr. 3.1.

____ dc

0 0 r i

I 0 i i

I 0 i i

1 I I i

Obr. 3.1. B-funkcia zodpovedá jůca normálnym formám NF §tí fa zpríkladov

Okrem uvedených normálnych foriem výrazov sa možno střetnut* s dalšími štandardnými výrazmi, ktoré používájú iné operácie.

V Žegalkinovej algebře (Z ,, ■, 0 ) má osobitné postavenie výraz

Ío © 7 'i0 T i0 , . .® Tm, m^O

kde Tu je konštanta 0 alebo í a Tř pre i 3= 1 sú termy ... % , pričom súpremenné, 1 Výraz možno nazvat1 normálnou formou v danej algebře,

Táto normálna forma vychádza z Reedovho — Mullerovho rozkladu1, podfa kterého pre každú B-funkciu / platí:

f(xu ..., -O = Oo®ai£i©a2*í 0 . . , ® a J,x „00 a„ * (Xj ® aH+2 s © ... 0 (3.8)0 a2-.,x3xj ...

kde 3j — 0 alebo I, 0 i *5 2" - 1.Možno sa presvedčiť, že pře funkcíu z obr. 3.1 platí

/ = a © í> ® d © a6 0 ne® ad 0 Ďrf© obé ® acdUvedený Reedov - Mullerov rozklad a příslušné normálně formy predstavujú

důležitý nástroj v teorii kódová nia a pri jej využití v praxi. Metoda zostavenia takých to normálnych foriem je opísaná v stati 3.5.2.

1 Rozklad sa nazývá podlá auto rov prác: Reed, ]. S. „ A dass of multipfe-error corrcciing codei and decodingscheme,*, I R E Transaction Inform. Theory, Vol. TT-4, str. 38—49 (1954); Muller,D. E,,,Application of Booieat algebra to switching circuits design and error detectíon", IR E Transaction EJecír, Computer*, Vol. EC-3, str. 6— 12 (1954).

Page 69: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

V literatuře [3 J sa uvádzajú normálně Z-formy. Sú to Standard né výrazy, ktoré využívajú operáciu inhibície a logický súčet

(4 ++ T,) + {xřJ ++ Tj) + ... + (xu ++T„), m ž i

Výrazy Th sú termy typu „ + “ (elementárne súčty) alebo konstanty 0a xit sú premenné,

Pre každú B-funkciu (okrem kornt. funkcie 0) existuje aspoň jedna nor mál na Z-řorma, ktorá jej zodpovedá.

Funkciu z obr. 3.1 možno vyj ad rif takto:

f = (b + (o +-»{c + f/)) + (rf*-»0)

Normálně Z-formy móžu mať význam pri analýze a syntéze logických obvo­dov, pri ktorých sa pracuje s prvkami realtzujúcimi operáciu inhibície nad vstupnými premennými.

3,5,2 Vrtahy medií normáinymi formami

Prí analýze a syntéze logických obvodov třeba normálně formy jedného typu upravit' na ekvivalentně formy druhého typu. Pozornost' sústredime i ba na vyššie definované normálně formy typu g,!gt a ukážeme, aký je vztah medzi normáinymi formami s Boolovými operáciami (D NF a KNF) a ostatnými normáinymi formami typu g jg i. Z týchto vzfahov vyplývajú aj vzťahy medzi všetkými možnými NF

Zo súčtovej {disjunktívnej) normálněj formy (D N F) možno odvodit’ 3 dalšie NF ktoré sú s ňou ekvivalent né. Sú to; NF | / f, NF +/| a NF | / + .O týchto normálnych formách hovoříme, že sú podradené DNF. Postup odvodenia je znázorněný v grafe na obr. 3.2,

Obr. 3,2. Schéma procedury převody D N F na podradené NF fh

Page 70: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pri jednotlivých hranách medzi vrcholmi grafu s priradenými výrazmi % a % sú pripísané pravidlá, ktoré sa aplíkujú pri převode výrazu T', na ekvivalentný výraz Tak napr. pri převode NF . /+ na NF |/+ v grafe na obr. 3.3 sa aplikuje pravidlo =

Spósob odvodenia N F Qiigi pod řáděných východ is kovej D N F dobré ilustruje příklad:

D N F : áb + ač + d ^ {á b ) f (oč) f ď ^>NF f / T: (<§ Tfr)T0 'í U ) U

NF f / f ; (ď f b) T (a f t ) f li NF + / f : {a + b) ] (« + c) f dD N F : áb + ač + d NF J, / + : ( a j ř>) + (a f c ) + d

Symbol => tu značí přechod na ekvivalentný výraz.Třeba si uvědomiť, že pri přechode z D N F na ekvivalentní! NF | ; | sa písmena

takmer nemenía. Písmeno sa neguje iba vtedy, ak toto tvoří triviálny súčinový term (písmeno d v příklade). Operácie a ,» + *' sa nahradia operáciou

Z principu duality výrazov a operácíí {podkap. 3.2) priamo vyplývá metodika převodu súčínovej (konjunktívnej) normál nej formy (K N F ) na ostatně tri N F : N F ] / j , NF J | a NF j /. . O týchto normálnych formách sa hovoří, že sú poéradené KNF. Postup převodu je naznačený na obr. 3.3. Opis grafu na obr. 3.3 získáme priamo z obr. 3.2 vyměněním duálnych operáeií.

Obr. 3.3. Schéma procedury převodu K N F na podradené NF g,,!

Příklad odvodenia NF g jQ i po dra děných K N F :

KN F: (a + b + d ) . (á + č + rf)=>(a + b + d) j,(á + č + d)* N F i n - ( * l l > l d ) U á l č l d )

NF i /1: (a j b i d ) | (á j č | rf)^>NF ./ i : (ů fiď ) | (acd )

K N F : (a + * + (í).(ů + ř + ťí)^>NFt/.: (ů T * T 5 ) . (a f c t r f )

Page 71: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Třeba sí uvědomit, že pri přepise KNF na ekvivalentní) NF J, ■■.j zastává jú písmena bez změny, okrem případu, v ktorom písmeno tvoří samo osebe iríviálny súčtový term, V tomto případe sa písmeno mení, t.j. neguje sa. Boolove operácie sa jednoducho nahradia operáeiou „ j " .

Z opísaných prevodov DNF a KNF na im pod řade né ekvivalentně NF gt; g2

vyplývá jú tieto dmledky:L Ak pre danú funkciu / sú známe niektoré výrazy typu DNF a KNF, ktoré

jej zodpovedajú, tak možno zostaviť fubovofnú, funkcií / zodpovědajticu NF g,/tj2- Postupuje sa podfa obr, 3.2 alebo obr. J,3.

2, Celkový počet písmen v normál nej formě zodpovedá celkovému počtu písmen v DNF alebo v KNF, ktorej je táto normál na forma podradená.

Z I. dósledku (a z toho, že pre každú B-funkciu existuje kanonická súétová a súčinová forma (pozři podkap. 3.4, vzťahy (3.6) a (3,7)), ktoré predstavujú výrazy DNF a KNF) cíalej vyplývá tento důsledok:

3. Pre každú B-funkciu (ktorá nie je konštantnou 0 alebo 1) existuje aspoň jedna jej zodpovedá jůca normálna forma každého typu y,ig2. Každá z uvedených8 normálnych foriem představuje teda uníverzáínyprosrríedoJí na zápis B-funkcii.

Pri riešení praktických úloh z oblasti syntézy logických obvodov (kap. 6 ) třeba pre zadané B-funkcie zostaviť im zodpovedajúce normálně formy Z 1.dósledku, ktorý sme právě formulovali, vyplývá, že netřeba vytvořit' osobitou metodu na zostavenie každej normál nej formy z osm ich předpokládaných typov. Postačuje sústrediť pozornost' iba na metody zostavenia výrazov DNF a KNF'. Teraz ukážeme, že stačí poznat postup na zostavenie iba jednej z nich, a to alebo DNF, alebo KNF.

Majmc výraz 3t v tvare DNF, ktorý zodpovedá negovanej funkcií f pre" o o ^ í v í l ’'''''' ' platí a)ŤŠ| = f.'Ák W ř upravíme

podía DeMorga nových zakonov. získáme vyraz KNF. kloní zodpovedá funkcii fZ toho pramo vyplyva me.óda zostavenia výrazu KNF pre zadaná B-funkciu / PreKNF, vyraz zodpovedajúci funkcii /. lemo negujeme a „pravíme na tvar

napr- fnnltciu f 2 obr 3A■ >,f«lpoktad«jme. že máme DNF abd + Kd. ktora zodpovedá funkcii f. Potom KNF pre funkciu f získáme takto:

f~ abď + acd ~ (a + b + d ).(á +č +d)

KNF^wI^ ^ t f 6 m° Žn° PrC danÚ funkdu f ZOstavií DNF úpravou výrazu KNF, ktory výhrada me pre funkciu f.Vzhr.dom, na dóležilosť Reedovho - MuMerovho rozkladu (3.8) uvedieme ™e'od“ l>dwd' n,a normilnej formy pre zadaná B-funkciu

- at aÍme’ íe poznáme níektorú DNF r, + T, + ... + T, funkcie f ktoríma takuto vlas,nosí: P,e každý vektor hodno, premenných funkce , ňadobúda

Page 72: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

právě jeden súčin Tx, T2t . . Tk} hodnotu I. DNF s takouto vlastnosfou nazývame DNF s disjunktívnym pokrytím (jednotkových bodov). Potom kanonic­ká súčtová forma, t.j. úplná DNF (Ú D N F — pozři stať 3,5,3) danej funkcie. je DNF s disjunktívnym pokrytím. Vyplývá to priamo z toho, že jej súčiny sú rozličné mintermy. Vo všeobecnosti móžeme obyčajne nájsť raků DNF s disjunktívnym pokrytím, ktorá má menší počet písmen ako ÚDNF, Móžeme ju zůstavit napr. pomocou mapového zápisu funkcie metodami opísanými v kap. 5.

Vezmime napr. funkciu f z obr. 3.1, Možno sa přesvědčit’, že výraz d + a čí? + + ibď je DNF s disjunktívnym pokrytím jednotkových bodov funkcie /.

V DNF s disjunktívnym pokrytím možno operáciu logický súčet nahradit' operácíou neekvivalencie. Potom napr, platí:

d + ačď + abď = d(+)ačď®ábď

Aby sme získali výraz (3.8), musíme ešte vylúčiť operácie negácie nad premennými. Urobíme to tak, že namiesto ,ř do výrazu dosadíme výraz (/© *).V použitom příklade dostaneme

£ Í© fl{J® c ) ( l ® d )

Vzhfadom na to, že operácia logický súčin je dístributívna vzhfadom na operáciu neekvivalencie, výraz mdžeme upravtf takto:

d @ a (I© d ® c ® c d )® b (I© a ($ )d (+ )a d ) == á© ťJ0 ad@ oc@ acd ® b @ a b @ d b ® a b d

Posledný výraz je Reedov - Mullerov rozklad, t.j. normálna forma Žegalkino­vej algebry pre funkciu /.

Z postupu vtdno, že takúto normálou formu možno zos tavit' pre každú B-funkciu.

3*5,3 Úplni, iredundantná a minimálna normálna forma

Vezmime niektorý typ NF §híg2 (pri pevnej dvojici gu g2)> Pre zadanú B-funkciu existuje vo všeobecnosti víac výrazov NF g,/g2. Například každá z nasledujúcich NF ./+ (DNF) zodpovedá funkcii z obr. 3.4:

ábc + abč + abč + abc ábc + ač + abcábc + ač + ab abč + ab + bc ač + ab + bca i + bc a pod.

Označme symbolom Nr(g ,, g2) množinu všetkých normálnych foriem lypu

Page 73: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

9 i)g2i ktoré zodpovedajú danej funkcií /. Vidno, že množina má konečný počet prvkov.

____cb “

0 0 I 0

I I I 0

U q , b, c )

Obr, 5.4, B-funkcia zodpovedá júca ti plným normálnym fimnám z přikladu

Vezmime konkrétny typ NF g\lg2. ktorá je podradená NF J + (DNF). Platí také to tvrdenie: Zobrazenie N/(-, + )-»ty(g,, g2), ktoré každej DNF priraďuje podradená NF g jg t pod Ta procedury přepisu opísanej v stati 3.5.2 (obr. 5,2)* je jedno-jednoznačné zobrazenie m množ/nu Nf(g t, g2), t.j. bijeketa.

Tvrdenie možno fahko dokázat', Zo spósobu odvodenia podradenej normálně] formy priamo vyplývá, že dvom róznym DNF z množiny Nf( ' , + ) zodpovedajú dve rožne NF g,/ga v množme Nt(g u §i). Predpokladajme ďalej. že v Nf(§ ,, g2) sa nachádza niektorá normálna forma ŠČ, ktorá nezodpovedá podfa zobrazenia, kioré berieme do úvahy, požadované] DNF ?, Nf( ■, +}. Pre možno však podfa pravidiel uvedených na obr, 3.2 spatné zostavif ekvivalentnú DNF. Táto DNF musí pairiť do množiny Nf(-, +). To vytvára rozpor s předpokladem, a tým sme tvrdenie dokázali.

2 dósledku 2 v stati 3.5,2 ďalej vyplývá, že zobrazenie Nf( ■, + ) —>Nf(g l, g2) zachováva celkový počet písmen.

Analogicky možno dokázat že zobrazenie Nf( +, ■ )~* Nf(gi, g2)t ktoré každej KN F z možnej Nf(+ , -) priraďuje ekvivalentnú, jej podradenú NF g jfc podfa procedury opísanej v stati 3.5.2 (obr. 3.3), je jedno-jednoznačné zobrazenie (bijekcia). Toto zobrazenie zachováva aj celkový počet písmen.

V množině Nf(gu m) pre každý z ósmich typov NF g,fg2 existujú isté význačné prvky, ktoré majú významnú úlohu pri riešeni mnohých praktických problémov. Sú to normálně formy, ktoré nazývame úplná NF gtfg2, iredundantná NF g jg i a minimálna NF gxlg2.

Úplná NF gt/ §2 (skratka Ú N F §\lg2) v množině V„ výrazov s n premennými je taká NF gv!gi, v ktorej v každom jej terme vystupujú všefky premenne'. Úplná NF gxíg 2 z množiny N{(gu g2) obsahuje teda všetky premenné funkcie /.

Například ak výraz abč + ůbc zodpovedá funkcií f(a , b, c), je to Ú N F ,/ + (ÚD NF).

Page 74: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Úplná DNF a úplná KNF predstavujú kanonickú súčfovú alebo súanovu formu, ktoré sme ni uviedlí v podkap, 3,4, Termy ÚDNF a ÚKNP sú známe mintermy alebo maxtermy.

Z výslcdknv podkap, 3.4 a z 1. a 2. dosledku v stali 3.5.2 priamo vyplývá, žepre každú úplnú B-funkciu existuje právě jednu úplná normálna forma každého typu. Výrazy ÚDNF pre danú funkciu / možno odvodil pomocou metody uvede nej v podkap- 3.4. Ostatně úplné normálně formy pre danú funkciu sa získá jú len prepisom podfa obr, 3.2 alebo obr, 3.3,

Příklad: Nájdite ÚNF |/ | a Ú N F .![ pre funkciu f (x,, x2, x,) = D (l, 5, 7). Tieto normálně formy sa odvodia z ÚDNF a ÚKNF, ktorým sú podradené. Úplné normálně formy sú (pozři podkap. 3,4):

ÚDNF i x,x2xj + JfiXjjCj + XiX:.)ř,Ú K N F : (x, + x2 + jfj). (Xi + x2 + x3) . (.v, + 13 + í j ) .

. ( í, + A'| + X j ) . (x, + x2 + x,)ÚNF f/f : (i, | Xt T Xi) T (xt 1 x2 í x,)T (*, T -v2 T * )ÚNF ./j : (x,x2.í,) i (xtxzxi) j (x,x2xj) j (x, x2jěj) [ ( x Lx2x3)

Pre rozšířenu (neúplnú) B-funkciu existuje viac ÚDNF a ÚKNF, teda aj viacÚNF tji/ffz, ktoré sů im podradené. Jednotlivé ÚDNF (a podobné aj ostatně úplné NF) sa lišia tým, že rázným spásobom dourčujú neurčené body funkcie (pozři podkap. 3.4),

Iredundantná NF ffi/g2 (skratka INF gtf g2) v množině Nf(§ ,, fh) je taká NF zodpovedajúea funkcií /, z ktorej nemožno odstrániť ani jeden term a ani jedno písmeno tak, aby upravené NF ihig2 nepřestali zodpovedať funkcii f.

Iredundantná NF g\J g2 je teda taká normálna forma, ktorá nemá vzhfadom na vyjadritefnosť funkcie žiadne prebytočné (rcdundantné) termy alebo písmená,

O tom, či nie ktorá NF gifg2 je iredundantná, možno sa přesvědčit' testom preverujúcim splnenie podmienok definicie.

Například x,x3 + ;ř2.r, je INF ,/ + (IDNF) funkcie / z obr. 3,5. Pre svědčíme sao tom tak, že postupné vynecháváme najskór termy, potom pišmená a listujeme, či takto získaný upravený výraz zodpovedá danej funkcii.

*3*2 ~

0 0 0 I0 0 I I

í ( *<], Xj, *

Obf. J.5. B funkcia zodpovcdajúca iredundanintrj D N F i. priktadu

Page 75: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

l ij* ? !*/ . í-j. (.,- + ) (odstranili sme 1, term)l,¥,.í3|5fe/ (odstranili sme 2, term)f*s + íiJfj) * / (odstranili sme xs z 1. termu)|.¥j + x ;,x j| {o d s tra n ili sme xk z 1, termu)J.ílY, + x3| *= f (odstrániií sme ,c. z 2. termu)J ,¥3 + jťj| st f íodstráni?! sme x2 z 2, termu)

Lahko sa možno presw. .iť, že DNF + x2xs, ktorá zodpovedá rovna ke j funkcii / z obr, 3,5, tik* je irccundantná. Z 1 . termu možno vypustit'písmeno x2.

Pre zadaná B-funkciu c\,>tuje vo všeobecností víac iredundantných NF gl.lg3zvoleného typu.

Například ve/mime funkciu f z obr. 3,6. Táto funkcia m á 5 róznych iredun- danmých DNF

áč ni'/ -*• bc áč + bč + ac + bché + uč + áb hč + ab + bc + áb

áč + ab + ac + áb

tb

1 I1 M l 0 1

0 1 í 1 _ u .. i ....

1

f ía, b, c t

Obr. ?-b- B-funkcia. kmrá má 5 iredundaniných DNF

Minimálnu NF g} g? (skratka: MNF g}fg2) definujeme ako NF ktorá má najmenší celkový počet písmen zo všetkých NF z množiny Nf(g j, g2).

Minimálna NF g, g2 představuje akýsi úsporný zápis funkcie výrazom určitého typu, Má význam aj vzhíadom na optimáinu syntézu kombinačných obvodov.V tomto případe sa možno střetnul aj s inými deíiníciami minimálnej NF, napr. ako NF g ig 2 s minimálnym počtom termov a pod. (pozři kap. 5).

Pre danú B-funkciu existuje vo všeobecnosti viac minimálnych NF Qi/gt (ktoré majú rovnaký, minimálny celkový počet písmen). Možno to ilustrovat' na příklade.

Například funkcia z obr. 3,6 má dve MNF ,; + (M DNF):

áč - ab + bc.. bé + ac + áb

Celkový počet písmen v ohidvoch prípadoch je 6.

Page 76: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Aký je vztah medzi iredundantnými a mínimálnymi normálnymi formami v množině Nf(g ín <iz)? Odpověď na táto otázku je v následuj tkej vele.

Veta 3.1, Každá minimálna NF gu’f}i je zároveň iredundantná.Dokaž: Nech nie ktorá MNF i)iig7 ?. množiny Nf(fh, §1) nie jc iredundantná.

Potom z nej možno vybrat’ aspoň jedno písmeno bez toho, aby upravený výraz přestal zodpovedať danej funkcii f, Týni vznikne NF fh; fh s menším počtom písmen. To je však rozpor s predpokladom.

Tvrdenie vety neplatí naopak, t.j, vo všeobecnosti exisiujú laké i redundantně NF qJih , ktoré nie sú minimálně (pozři predchádzajúci příklad).

Pri optimálnej syntéze kombinačných obvodov třeba často riešiť ta kuto iiiohu: Daná je B-funkcia a třeba nájsť iredundantnú alebo minimálnu NF </.</•>. Táto úloha je riesitelrtá iba pri prijatelnom počte premenných funkcii.

Dokázali sme už tvrdenie o tom, že zobrazenie Nt( -. + )—>► Ndíji., ih) a N,( + . ■)—* N/(0 |/tř2), ktoré každej DNF alebo KNF prirarfujú podrudene NF {f,fg2 podfa procedury přepisu z obr. 3,2 alebo obr. 33. sú bijekcie. Okrem toho vieme, že tieto zobrazenia uchovávájú počet písmen. Z toho priamo vyplývá, že prepisom iredundantných a minimálnych DNF alebo KNF danej iunkcie í na podradené NF gt/fj2 sa získajú všerky ircdundantné a minimálně NF ť/i 'g2 funkcie /.

Například všetky INF p ], a teda aj všetky MNF f t funkcie z obr. .1 6 .

získáme prepisom IDNF. ktoré sme už uviedii. Dostaneme tieto INF t f :

(ť-i | č)T(« Tt> )USf c) (.i Tř)T(fr r í ť>i í-) T(> I (b \ č) í (o T C) T U f i ) ( b ? ř) Tím T M í <M c) Ť<;, | b)

( - u \ - c ) ] ( a ] b ) U a ] c ) \ ( t í ] b )

Medzi INF |-f sa nachádzajú 2 minimálně NF f f, kioré majii 6 písmen.Úlohu zostavenía iredundantných a minimálnych NF t/, pre daný funkciu

možno redukovat' na úlohu zostavenía iredundantných a minimálnych DNF alebo KNF pre táto funkciu. Zo statě 3,5.2 ďalej vyplývá, že sraci zvládnut iba metodu zostavenía iredundantných a minimálnych DNF, {redundantně a minimálně KNF pre danú funkciu / možno nájsť aplikáciou tejto metody na negovánu funkciu f. Metoda na zostavenie iredundantných a minimálnych DNF danej funkcie je opísaná v kap, 5.

Příklad; Možeme dokázat’ (pomocou poznátkov z kap. 5), že funkcia f z obr. 3,5 má jediná iredundantnú, a teda aj jedinú minimálnu DNF; + x:x} a že funkcia / má taktiež jedinú iredundantnú a minimálnu DNF: x} + x tx: .

Z posledneho výrazu možno odvodit' jedinú iredundantnú a minimálnu KNF funkcie i

f = Jtj + Íj-íl = I + X~2 )

Page 77: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Z MDNF a M KNF funkcie f možno ďalej odvodiř (podfa obr. 3.2 a obr. 3.3)všecky podradené MNF Qi>g2‘.

MNP I I : (,r. t x3) f ( i i t *j) MNF j ' j : í j }(a'l 1 ř; )

MNF + 1 : ( x, + í 3) T ( * + í , ) MNF : 1, ] ( i, . ,v,)MNF j + : (x, i xs) + (x21 *,) MNF j ] : xt , (xt f x2)

3.5.4 Těstovacie ekvivaíencie výrazov pomocou áplných DNF

Úplná DNF představuje významný porovnávací prostriedok pri testovaní ekvivalencie dvoch výrazov. Princip takéhoto testu ikvic v tom, že každý výraz sa pomocou známých pravidle! upraví na eJíwvalenfrjý výraz ÚDNF, Výrazy sú potom ekť/va/eníné právě vtedy. kecf sú UDNF rovnaké.

Táto mctóda si vyžaduje poznat postup úpravy fubovofného výrazu (% ) rtu ekvivalentnú ÚDNF, Postup:

1, Pomocou pravidid opťsaných v podkap. 3,3 sa výraz 3f. u p rav í nu ekvjva- lentný B-výraz.

2, Podfa pravidiel B-aigebry sa B-výraz upraví na ekvivalentnú DNF,3, DNF sa přepíše na ekvivalentnú ÚDNF, a to takto: Každý elementárny

súčin, ktorý neobsahuje všetky premenné výrazu sa vynásobí výrazom

U , + i h) . ( ^ + 4 ) tX + i , j .

kde x,,, sú chýbajúce premenné v danom súcine. Aplikováním disiributív-nych zákonov a zákonov absorpcie sa takto rozšířený výraz upraví na ÚDNF.

Příklad: Majme výraz ti j ( b | c) + bc. Upravme ho na ekvivalentnú ÚDNF.

1, a j.( b |, č) + bc ~ a(b + c) + bc2 , a( b + c) + bc = ab + ač + bc3, ab + tič + bc - ab( c+ č) + ač( b + ř>) + bd a+ á) =

= abc+ abč + abč + abč+ abc+ ibc == abc + abč + tibč+ ábc (to je UDNF}

Opisanú metodu testová nia ekvivalencie výrazov ilustrujeme na příklade. Příklad.* Zistite, či výrazy

( á t č) T ( a 1 b ) + ( b | č)„ (a . č) J, í a J, b J, č)

sú ekvivalentné.Obidva výrazy upravíme na ekvivalentné ÚDNF a porovnáme.

f á t č) | ( a | b) + í b [ 1 } = ďč + ab + bc = abč + abč ++ abc + abč + abc + ábc (ÚD N F)

Page 78: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

(ač) X < a 1 b i. č )- (a l ť ) | (a | b ]č ) = (a+ c ).(a + b + č) == áh+ ař+ íic + bc = ttbč + ébc+ ábc ++ abc+ abc (ÚDNF)

Vidieť, že ÚDNF, ktoré prislúchajú jednotlivým výrazom, sú rózne, a teda výrazy nie sú ekvivalentně.

3.6 Ú LO H Y NA R IE ŠEN ÍE

1. Zostavtť B-funkcie, ktoré zodpovedajú nasledujúeim výrazom:

(«© & (+ }£ ),($ ] b)

( rt —► íj) —»(a + ( a . I?))xýl + xyž + xýz + -í>’Z(ií +- b) ,(a+ c ) , (b + c)

Funkcie zapište róznymi známými spósobmi!2. Zostavte B-výraz, ktorý nadobúda hodnotu I právě vtedy, keď pře celé

(kladné alebo záporné) číslo A = A „.,A m. 2 ...A> vyjádřené v dvojkovej číselnej sústave v doplnkovom kóde platí: A 3*0. Číslo sa zobrazuje na binárnych kanálech, ktorých premenné značíme Aj, A , , A „ - i , tísliciam Oa 1 priraďujeme hodnoty 0 a I, Znamienkový bit zodpovedá premennej A*-Ít na j nižší bit premen- nej Ao- Předpokládajíc n = 8.

3. Rtešte rovnakú úlohu ako v 2. zadaní, avšak pre případ A 5s8.4. Zostavte B-výraz, ktorý má hodnotu I právě vtedy. keď při spočítaní celých

čísel A = A b_!,,.A0 a ft, vyjádřených v dvojkovej čísel nej sústave v doplnkovom kóde vznikne p re p lne nie, t.j, ak výsledek nie jez intervalu ( — 2 ""1, + 2 "_l). Čísla sa zobrazujú na n binárnych kaná loch rovna ko, ako sme uviedli v 2. zadaní, ( Pomůcka : Do výrazu třeba vložit’ premennú c„-i, ktorá zobrazuje přenos pri spočítaní čísel v sčítačke a nadobúda hodnotu 1 právě vtedy, ak přenos z miesta (« - 2) na miesto (n - 1), t.j. do znamienkového bitu, má hodnotu I. Preplnenie nastane právě vtedy, ak sú obidve čísla kladné alebo záporné a ak v prvom případe je c„_i = l a v druhom je c*-j =0).

5. Výsledné výrazy z 2,, 3. a 4. zadania upravte na ekvivalentně P-výrazy a S-výrazy.

6 . Dokážie, že platia nasledujúce vzťahy:

(A-» B ) ^ ( A + (A ^ ) = A Báb(ac+ b) + (a+ b ).((aB i) X (<5íic)j = bč+ ůcx ® y © z = xýz + x y ž + x ý z + xyz

X ® Y ~ X (Y+ X) + Y (X+ Y)

Page 79: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

7. Využitím pravidiel z podkap- 3.3 třeba upravil' zadané výrazy tak, aby obsahovali najmenší počet písmen

A BC + ABČ+ A BC

(X+ Y)(XYZ+ Ý [Z+ X))+ XÝŽ ÍX+ XY)8. Uvedené výrazy upravte na S-výrazy a P-výrazy

ub( c + di) + áb ( a + bc) - { d + ř ) . {a + čd)

X( X+ Y) + Y(X+ Y)9. Pre zadané výrazy zostavie duálně výrazy, nájdite funkcie, ktoré zodpove­

dajú vzájemné duálnym výrazom, a porovnájte tieto funkcie

( a i b ) | c (<)-» b ) , ( a+ b) xyz + xýž 4 xyz

10. Dokažte, že množiny operáeií { - } a f ■>-*, I) sú funkčně úplné.11. Dokažte, že NF gxí fa získaná prepisom z iredundantnej DNF (KNF) je

taktici iredundantná.12. Sú zadané DNF připadne KNF niektorej B-funkcie. Nájdite všetky

podradené normálně formy. Úlohu ireba riešiť pre nasledujúcu DNF a KNF

Jť, íj + .v, x3 + x4 ( v, + Xi )- ( í2 + -fj + Xi)

13. Nájdite úplnú NF j. / + a úplnú NF . 'i pre funkciu

( f(a. h, f)) = 01100101

14. DNF z 12, zadania prepište na normálnu Z-formu.15. Boolovské funkcie možno vyjádřit aj výrazovými prostriedkami progra­

movacích jazykov, Nižsie je uvedená procedura v jazyku algol 60, ktorá opisuje (t.j. vyjadřuje) výhod no te nie niektorej B-funkcie /(A, B, Q . Zoslavte B-výraz,ktorý zodpovedá tejto funkcii, a ak to ide, zjednodušte proceduru.

Boofean proceduře /(A, B, € ); value A, B» C; Boolean A,. B, C;/: = if A = B then Ca "1A v B

else Bv C

Page 80: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

4 KOMBINAČNĚ LOGICKÉ SIETE

V súčasnosti při úlohách analýzy alebo syntézy nějakého systému využíváme prostriedky modelová nia. Zo všetkých prostriedkov, ktorý mi možno modelovat' systémy, májů význam dva základné druhy: technické prostriedky a abstraktně prostriedky J 1),

Technickými prostriedkami modeiovania sú najma rózne fyzikálně (napr, elektrické a elektronické} systémy, a to jednoduché (určené na riešenie speciálnych prípadov) alebo zložité, pomocou ktorých možno modelovat' rózne systémy. Reprezentantem zložitých prostriedkov modelovania sú samočinné počítače, ktoré v súčasnosli majú vefký význam pre modefovanie. Technickými prostriedkami modelová nia sa v našich úvahách nebudeme zaoberať.

Velmi významné sú abstrakt né prostriedky. Za a bs (rak tný model systému móžeme považovat* slovný opis systému, ktorý vyjadřuje vztah medzi ozvami systému a podnetmi okolia na systém, ale aj to, aké sú prvky systému a jeho správanie. Inými abstraktnými modelmi móžu byť rózne tabuíky. ktoré zlepšujú malú preMadnosť slovného opisu.

Ďalším abstraktným modelom je algebraický model, ktorý si móžeme předsta­vit' napr. ako systém algebraických, diferenciál nych, integrál nych alebo iných rovnic, ktoré opisuji! správanie alebo strukturu východiskového systému (originálu).

Jedným z najčastejších a najprehladnejších abstraktných mode lov sú grafy. Pri opise struktury kombinačných logických obvodov (ale aj sekvenčných obvodov) takéto grafy nazývame logickými sietami.

4.1 PO JEM S IET E , V ZŤ A H M ED Z I S IEŤ O U A V Ý R A Z O M .

Logickou sieiou nazývame orientovaný ohodnotený multigraf, ktorého vrcho­ly možno rozložiť na 4 triedy:

1. Funkčně vrcholy— vrcholy predstavujú funkčně prvky struktury logického obvodu.

2. Vstupné vrcholy — vrcholy zodpovedajú vstupným proměnným (kanálom) logického obvodu.

Page 81: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

3, Výstupné vrcholy — vrcholy zodpovedajú výstupným premenným (kaná- lom) obvodu,

4. Vnútorné vrcholy — vrcholy {často nazývané aj vnútorné, spojovacie vrcholy) zodpovedajú určitým vnú torným premenným logického obvodu, ktoré sprostredkovávajú vztahy medzi vstupnými a výstupnými premennými obvodu,

Vnútorné vrcholy grafu nazýváme aj vnútomými uzlami, vstupné vrcholy (výstupné vrcholy) nazývame aj vslupnými ( výstupnými) uzlami alebo pólmi.

Orientované hrany multigrafu, ktorý je logickou sieťou, vyjadrujú vazby (t.j. vztahy) medzi funkcnýmí prvkami a ostatnými vrcholmi.

Pre tože opis funkčných prvkov je obyčajne známy (daný) a opis vazieb medzi nimi možno zadat' alebo odvodit’, logickú sieť možno použit' pri opise struktury logického obvodu.

Ohodnotenie vrcholov v mulligrafe, ktorý je logickou sieťou, tkvie v tom, že savrcholy vyjadrujú nějakým grafickým symbolom (krúžkom, obdížnikom alebo inou dohovořenou alebo najčastejšie normalizovanou značkou), ktorý v sebe ne sic informáciu o správa ní funkčného prvku,

Funkčné vrcholy v logickéj síeti predstavujú obyčajne jednoduché funkčně prvky, ktoré realizujú elementárne boolovské funkcie. Nazýváme ich jednoducho logické členy. Možno po veda ť, že funkčné vrcholy realizujú operácie (v zmysle podkap. 3.4}. Příklady nie ktorých základných funkčných vrcholov (logických čle­nov) uvádzame na obr. 4.1 (podfa ONT 34 5535).

*1—— ,

1— ¥ y’ *1 * *2* ■ •

x1 — »2_-1

ylxi**2* . . . . *ri -*n — xn

= “ i i* 2 l

tX1-Xj— i

b

— y y- *i v • * n i ,

*n—

e

J— f y =3ťl ■ *2 ■■■ -*n-

,fXn a

i>—y *5__

M2— y y ± *2 -

- *1- *2 **t*2C f

Obr. 4.1. Nieklťiré elementárne logické čteny

Na obrázku 4.1a je logický člen, ktorý realizuje operáciu logického súčtu. Nazývame ho člen A LEBO , niekedy hovoříme aj OR, Na obrázku 4 Jb je logický dlen realizující operáciu logického súčinu a nazývame ho člen A alebo 1, často

Page 82: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

hovoříme AND, Logický člen na obr. 4.1c realizuje operáciu negáeie, pře to ho nazývame negátor alebo invertor, niekedy aj člen NOT. Logický člen na oťw\ 4.Id je Peirceho člen, ktorý realizuje rovnomennú operáciu. Často ho nazývame NOR (= NOT — OR). Na obr. 4.fe je Shefferov člen, ktorý sa používá pri realizácíioperácie negáeie logického súčinu (N O T -A N D ). Tento člen sa nazýva NAND. Posledný zo základných členov na obr. 4. I f je logický člen, ktorý realizuje operáciu súčtu mod 2 alebo neekvivalencie. Označujeme ho ako XO R (E X C LU S IV OR),

Vstupné vrcholy siete sa ohodnocujú vstupnými premennými, výstupné vrcho­ly výstupnými premennými a vnútorné vrcholy vnútornými premennými.

Na obrázku 4,2a uvádzame příklad uréitej logickej siete. Ako vídno, vysky- tujů sa v nej iba elementárne funkčně vrcholy, Funkčtié prvky vsak možu byť aj zložitejšie, napr, na obr. 4.2b, kde funkčný prvok realizuje dve funkcie. ktoré sa připisuji dvom výstupným hranám funkčného vrcholu.

Obr. 4.2, Priklady funkčných prvkovi ) příklad lofiekejb) dožítejB lunkCqí prvok.c) ípťsob kreslenia Mele

Page 83: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Při kreslení logických sietí budeme v ďalšom postupovat podfa tejto dohody:— vstupné a vnútorné vrcholy budeme vyznačovat' iba vtedy, ak z nich

vystupujú najmenej dve hrany, l.j. vtedy, ak v nich nastává rozvetvenie,— vstupné a vnú torné premenné zodpovedá júce ne vyznačeným vstupným

a vnútorným vrcholom připíšeme ku příslušným hranám,— výstupné vrcholy vynecháme a im priradené výstupné premenné připíšeme

ku příslušným hranám,— pri kreslení vrcholu, v ktorom nastáva rozvetvenie, použijeme spósob

kreslenia ako v elektrotechnických schémach (pozři obr. 4.2c a obr. 4.4).Pri opise sietí budeme používat' aj takůto terminológiu: hranu vstupujúcu do

.funkčného vrcholu nazveme vsfupom vrcholu (čtena), hranu vystupujúcu z funk- čného vrcholu výstupom vrcholu (člena).

Podfa funkcií realizovaných jednotlivými funkčnými prvkamí sa dá usúdiť, že každej logickej sieti možno přiradit' súbor výrazov (kap. 3), ktorý jej zodpovedá. Předpokládáme přitom, že logická sieť spíňa nasledujúce podmienky:

— výstupné hrany z jedného alebo viacerých róznych funkčných vrcholov nevedú do jedného a toho istého vnútorného alebo výstupného vrcholu,

— v sieti sa nevyskytuji! uzavře té cykly.Logické siete, ktoré spíňajú tieto podmienky, sa nazývajú normálně kombi­

načně logické siete.Úloha, ako priradiť normálnej kombinačně] sieti systém výrazov, je velmi

jednoduchá. Vo všeobecnosti je k sieti priradených m výrazov, ktoré zodpovedajúyt = /(*,, ■ --r JCfl), i = 1, 2 , ...m, kde m je počet výstupných vrcholov siete a y, jeoznačenie výrazu, Takéto výrazy najdeme fahko tak, že ohodnotíme hrany v sieti symbolmi pomocných premenných napr. z množiny Z= ( zL, z2, ...) takto:

— každú hranu vychádzajúcu z funkčného vrcholu, ktorý nie je spojený hranou s výstupným vrcholom, ohodnotíme premennou z,€Z, pričom rózne hrany h,, hk, ktoré vychádzajú z toho istého funkčného vrcholu, ohodnotíme róznymi svmbolmi z * z*eZ,

— hranu, ktorá vychádza zo vstupného vrcholu, ohodnotíme vstupnou premen­nou příslušného vstupného vrcholu a hranu, ktorá vstupuje do výstupného vrcholu, ohodnotíme premennou příslušného výstupného vrcholu,

— hrany výstupu júce z vnútorného vrcholu ohodnotíme tým istým symbolom ako je ohodnotená hrana, ktorá do ne ho vstupuje.

V našom případe siete na obr. 4.2a (ktorá je normálna kombinačná logická sieť) móžeme v zhode s funkciami. realizovanými funkčnými prvkami (obr. 4.1) písař:

yi = z* + .*4L>= Z,.Zs

Z3 = i j Zi = *! © JCa

Page 84: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Keď do výrazu pre z* dosadíme za z, a z;). dostáváme & = (Jf i© Jti). xs, Toto dosadíme do výrazu pře >’j a dostaneme

yi = (*10*1) ♦*> + ** (4.1)Tento výraz je prvým výrazom hfadaného súboru výrazov yf = f(xu x2, .... x j

priradeného k síeti. Podobné

yi= Za. Xi Z2 ~žlz, = *i© .*2, teda (4.2)h = * i© X 2 a >'2 = JC] © Xj . Xj

Výrazy na právej straně vzfahov (4.1) a (4.2) tvoria teda súbor výrazov priradených k síeti na obr. 4,2a.

Po získaní určítej zručnosti netřeba vo vacšine prípadov pracovat' s pomocnými premennými, pretože spósob odvodenia výrazov priamo vyplývá zo spojenia a zoradenia funkčných vrcholov. Uvedený formalizmus je však potřebný pri strojovom riešení úlohy na počítači.

Takto vytvořený súbor výrazov představuje určitý model siete so štruktúrou z obr. 4.2. Z jednotlivých výrazov vid no, aké funkcie jednotlivé členy struktury realizujú a ako sú vytvořené vzájomné vazby medzi funkčnými vrchol mi. Naopak, můžeme povedať, že každému funkčnému vrcholu je priradený výraz, ktorý sa musí vyskytoval aj vo výslednom výraze alebo jeho časti.

K danej logickej sieti možno nájsť aj iný zápis (napr. pře y, sme mohli písať);

yt =* ju + X i(x t®xt)

Tento výraz sa však od predchádzajúceho zápisu líši iba uplatněním komuta- tívneho zákona, z čoho teda vyplývá, že obidva výrazy sú ekvivalentně.

V ďaišom teda budeme za systém výrazov priradených danej normálnej kombmačnej logickej sieti považovat každý systém výrazov. ktoré odvodíme uvedeným spósobom.

Zo vzťahu medzi siefou a jej priradeným súborom výrazov vidíef (a možno to aj formálně dokázať)* že logický obvod (ktorého Strukturu zostavíme podfa danej siete) bude realizovaf právě tie B-funkcie, ktoré zodpovedajú tomuto súboru výrazov {5].

Toto tvrdé nie je velmi závažné a představuje íundamentálny pozná tok, dóležitý vzhfadom na analýzu a syntézu logických obvodov, Keď vychádzame z tohto tvrdenia, v pre nese no m zmysle slova hovoříme, že aj příslušná logická sieť realizuje tie B-funkcie, ktoré zodpovedajú jej priradeným výrazom.

To, čo sme doteraz v uvedených súvislostiach povedali, vyjadřuje vzťah medzi normálnou kombi načnou logickou siefou a priradeným systémom výrazov alebo

Page 85: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

B-funkcií, ktoré im zodpovedajú. Postup při odvodzovaní systému výrazov patří medzi úlohy analýzy danej siete.

V praxi sa logické siete zahřňajú pod názov logické schémy. Logickou schémou rozumieme logická sieť, nakreslenu pomocou dohovořených (normova­ných) grafických symbolov a na dohovorene] úrovni,

Takéto chápanie umožňuje v logickej schéme vyjadriť okrem logickej siete aj ďalšie informácie, ktoré s ňou možu súvisieť, napr. konkrétné realizácie montáž- nych operácií, pripojenie případných dalších elektronických prvkov (kondenzáto- ry, rezistory a pod.), ktoré sú potřebné pre návrh příslušného obvodu. Logická schéma v praxi slúži aj ako podklad pre konšlrukčný návrh logického obvodu.

4.1.1 Analýza sietí

Pod analýzou siete budeme rozumieť riešenie takejio úlohy: Daná je logická sieť (graf). Nájdite súbor B-funkcií, ktoré táto sieť realizuje.

Úloha má jednoznačné riešenie a jej výsledkom je zápis súboru výrazov priradených sieti, zodpovedajúcich hfadanému súboru B-funkcií, ktoré sieť realizuje.

V nad vaznosti na vzťah medzi sieťou a výrazom zaveďme teraz pojem sřrufclúrijycli funkcií siele. Systém Štruktúrnych funkcií siete představuje systém vzťahov medzi vstupnými, vnútornými a výstupnými premennými danej siete.

Uveďme najskor, že v sieti može existovať niekofko typov vazieb:

1. Výstup člena A je priamo spojený s jedným vstupom člena B (obr, 4.3a). Potom takejto spojnici prislúcha jediná vnutorná premenná z.

2. Výstup člena A je spojený so vstupmi viacerých členov (napr. v obr. 4.3b so vstupmi členov B t C, D). Potom sa k vnú tornému uzlu viažu z ne ho vystupi júce hrany, ktoré sú ohodnotené tou istou vnútornou premennou z, ako hrana vstupujúca do vnútorného uzla.

3. Výstupy viacerých členov (napr. A , B, C v obr. 4.3c) sú spojené s jedným vstupom ďalšieho člena D. Tu předpokládáme, že v prislušnom vnú tor no m uzle sa realizuje určitá funkcia (operácia). Ak je táto funkcia logický súčet, tak pre vnútornú přeme nnú pri řade nú uzlu platí

ZD = Za + ZB + Ze

4. Výstupy viacerých členov (A , B, C v obr. 4,3d) sú spojené so vstupmi viacerých členov. Ako v predchádza júcom případe, aj v tomto uzle sa bude realizoval určitá operácia. Ak to bude logický súčet, tak pre vnútornú premennúplatí

Zx^ Zy = Zz = + Ze+ Zc

Page 86: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Připomeňme, že vazby podfa bodov 1 a 2 sa uplatnujú v logických sieťach s normálnou Strukturou a nazývame ich pravidelnými vazbami [3J. Vazby podfa bodov 3 a 4 (nazveme ich podmienečne pravidelnými vazbami) nemožno realízo- vať vždy a pří celom rade logických členov, s kterými sa v praxi střetáváme, nie sú ani přípustné. K tymto myšlienkam sa ešte vrátíme pri montážnych logických členoch a hradlových obvodoch.

Obr. 4.3. Vazby medzi ílenmí

V ďalšom výklade budeme při analýze brať do úvahy len kombinačně siete s normáínou Struktúrou a pravidelnými vazbami, t.j. normálnymi kombinačnými sieťami. Zo berme si napr, logickú síeť na obr. 4.4, Ako vid no, pri analýze možno vyznačil v sieti 5 vnú torných premenných z, až Potom možno písať:

Zi = Xi

Zz = i 2

& — XjX Zi

Page 87: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Za - Xi x2

Zs = Z, Z2

)\= Zi+ Zl. y? = z3 + z*

Tento zápis představuje sústavu struk tú rnych funkcií danej siete. Na pravých stranách uvedených zápisov vystupujú výrazy B-funkcií, ktoré realizujú jednotlivé logické členy, a zo zápisu Štruktú rnych funkcií je zřejmá aj vazba medzi jednotlivý­mi členní i.

Obr. 4.4, Analyzovaná logická sieť

V cTalšej etapě analýzy možno už prejsť k vyjadreniu súboru výrazov prirade­ných sieti, ktoré zodpovedajú hfadanému súboru B-funkcií a realizujú sieť.

Robí sa to tak, že do výrazov pre výstupné premenné >'/(/= 1,2, m) zo systému štruktúrnych funkcií sa dosadia m vnútorné premenné zi (z = 1,2, r) příslušné výrazy z toho istého systému funkcii, V dosadzovaní sa pokračuje dovtedy, kým sa z výrazov pre yt nevylúčia všetky vnú torné premenné, čo je možné vždy pri kombinačných normálnych sieťach [3],

V našom příklade dosaďme najprv za vnútorné premenné z%, z*, Zs> Potom

yt = ZiZž+ Zix2x3

yi = Z1X2X3 + X1X2

Po ďalŠom dosadení za z, a zj dostaneme konečný tvar výrazov

yx = xtXi + xtx2x3

yi = XíX2 Xi + XiX2

Page 88: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Výrazy zodpovedajú Madaným B-funkciám, kioré daná sieť realizuje. Tým v zmysle definíeie analýza siete končí.

Třeba však uviesť niektoré dalšie poznámky. Pre do vset kým si musíme uvedo- mif, že výrazy získané uvedeným spósobom zodpovedajú struktuře siete, z kiorej sme vyšlí, teda ju určitým spósobom aj vyjadrujú. Obyčajne však možno výsledné výrazy ďalej algebraicky upravovat'. Tak vztahy (4.3) možno upravit' napr. takto:

y, = X , ( X i + X2X3) = i j ( X2 + X i )

y2 = x1 (x1 x, + xt) = x2( x-i + X,)

Tieto výrazy (4.4) zodpovedajú tým istým B-funkciám ako výrazy vo vzfahoch (4.3), avšak ne zodpovedajú (v uvede nom zmysle) širuktůre východiskové] siete z obr. 4.4, ale sietí na obr. 4,5.

*2

'y2

Obr. 4.5. Logická sieťpre vyraz (4,4)

Vezmime si k tomu další příklad. Zoberme do úvahy napr. logické siete na obr. 4.6a, b. Lahko zistíme, že platí:

^ = (1,1 *s)>i = { i i Í J f í ) l ( J t i l í i }

Možno sa přesvědčíř (kap. 3), že obidve siete realizujú tú istú logickú funkciu y, = = x2, x9), ktorej mapový zápis je na obr, 4.6c. Pretože obídva výrazy v (4.5) sú ekvivalentně, ekvivalentně sú aj obidve siete v obr, 4.6, Vyslovme nasledujúcu deřiníciu.

Definíria 4.1. Dve normálně kombinačně logické siete nazývame ekvivalent- nými právě vtedy, ak realizujú rovnaký systém B-funkcií, t.j. ak zodpovedajú súborom výrazov, ktoré sú navzájom ekvivalentně.

Page 89: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

a

b

r i 0 0

0 i I 0

G

Obr. 4,6. Ekvivalentné logické sieie

4,1,2 Princip syníéry lopciých sietí

V tejto časti chceme uviesť len základné myšlienky syntézy kombinačníchlogických síeií (syntéza logických obvodov sa podrobnejsie pře ber á v kap, 6).

Za syntézu kombinačně) logickej siete budeme považovat riešenie takejto úlohy:

Daná je skupím B-funkcií /, (/=1, 2, m), m ě l. Ďalej súbor typov

Page 90: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

logických členov (ako napr. na obr. 4,1), připadne typ siete. Třeba nájsť logicků sieť, ktorá realizuje danú skupinu B-funkcií,

Táto úloha nemá jednoznačné neseme, t.j, pre daný súbor B-funkcií možno nájsť mnoho rozličných (ekvivalentných) sietí, ktoré realizujú danú skupinu, Z tohto dóvodu sa obyčajne hladá určité riešenie, ktoré je optimálně vzhladom na vepřed zadané kritériá, Ako ukazuje prax, úloha je náročná a o jej riešení hovoříme ako o optímálrtej syntéze. Kritérii optimálnosti můžu byť rózne, najčastejšie je to napr. minimálny celkový počet logických členov v sieti (pozři kap. 6).

Pri syntéze postupujeme obyčajne tak, že sa najskór hfadá skupina výrazov zodpovedajúca danej skupině B-funkcií, pričom sa hfadajú vhodné výrazy zodpo- vcdajúce východiskovému súboru logických členov a požadovaným kritériám optimálnej syntézy, Riešenie úlohy je vo všeobecností vážný problém. Jeho algoritmické riešenie existuje len pri niektorých typoch sietí a triedach výrazov. napr, v triede normálnych foriem NF Qyig2. a pri niektorých kritériách optimálnosti.

Fo zostavení vhod nej skupiny výrazov pre zadané B-funkcíe přistupujeme k tvorbě hfadanej logickej siete.

Riešenie tejto úlohy nie je zložité, ak zoberíeme do úvahy, aký je vzťah medzi výrazmi a siefou (čo sme tiž v úvode podkap. 4.1 opísaii), a ak v súbore logických členov, z ktorých móžeme logickú sieť realizovat’, existujú všetky potřebné členy (t. j. také, ktoré móžu realizovat’ každú operáciu v začia točno m súbore výrazov},

Predpokladajme napr,, že sú dané nasledujúce dva výrazy označené y\, y2t ktoré sme pri syntéze zostavili takto:

>’] = (Jf i© *2 > ( * 3 + Xj ) + ( i , 1 x4— -— (4 .0 )

y2 = (x ,@ x2) . xt

Analýzou týchto výrazov fahko zistíme, aké operácie sa v nich vyskytujú. To nám umožní vybrat' zo zadaného súboru logických členov právě také členy, ktoré zodpovedajú tým to operáciám, Vieme, že algebraický výraz je v uvedených súvislostiach vlastně algebraickým mode lom siete, a teda fahko vytvoříme vazby medzi jednotlivými logickými členmi tak, aby vy tvá raná sieť zodpovedala daným výrazem. Tak pre výrazy v (4.6) dostáváme sieť uvedenu na obr. 4,7.

Třeba sí však uvědomit že přechod od systému výrazov k sieti nie je jednoznačný. Nejednoznačnost' vyplývá z toho, že v danom systéme výrazov móžu existovat vo všeobecnosti také časti týchto výrazov, ktoré najdeme aj v iných výrazoch daného systému. V našom příklade vo vzfahoch (4.6) sa časť výrazu (-ri©*3) pře y, vyskytuje aj vo výraze pře y2. Z existencie také ho to faktu vyplývá, že v sieti možno takéto časti realizovat' alebo samostatné, alebo ako spoločnú podsiet.

Page 91: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Na obrázku 4.7 možno za spoločnú podsieť považovat' funkčný prvok A a zaviesť novů hranu (s příslušným vnútorným uzlom — vyznačené v obrázku čiarkovane) tak, aby zostala zachovaná priradcnosť siele výrazom. Funkčný prvok B (s hranami s ním incidujúcimi) možno vynechal.

Obr, 4,7, Logická sieťpfe zadané výrazy

Možno teda konstatovat, že danému systému výrazov móže zodpovedať viacero normálnych kombinačných logických sieti. pričom tieto siete považujeme za ekvivalentně v zmysle uvede nej definície ekvivalencie logických sietí.

V dalsom budeme vyznačovat' spoločné časti v sieťach obyčajne iba raz.

4,1,3 Iné spósoby opisu logickej siete

Pri modelovaní a simulácii logických sietí (predovsetkým na samočinných počítaóoch) je výhodné o písať logickú sieť niektorým řormálnym jazykom. Je to alebo špeciálny jazyk určený na opis logickej siete, alebo možno použiť niektoré programovacie jazyky vyššej úrovně. Zo špeciálnych programovacích systémov uveďme aspoň niektoré'; SIMU-STEU [ó], I?}; LOGOS, LAMP, H ILO [8J; SISEL [9}; LOGSIM (10]; SFDL [176], Z univerzálnych jazykov sa na účely opisu sieti používají! aj jazyky fortran. strnula. Veími jednoduchá strukturu formálně ho zápisu pri opise logickej siete umožňuje napr. u nivě rzát ny jazyk APL (A Progra- ming LanguageJ. ktorý je rozšířený pri počítačových systémoch firmy IBM.

Page 92: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Jazyk A PL je vhodný pře deskriptřvne účely nielen pri logických sieťach, ale aj při iných zložitých číslicových systémoch, pře to na ukážku uveďme zápis siete na obr. 4.8 pomocou příkazu tohto jazyka:

? KO M BLO G[i j r ^ A é f i[2] C ^ T a T C[3j X * - G v D[4] Y ^ C a F T

Jednotlivé symboly majú tento zmysel:V — začiatok a koniec procedury,v — logický súčet,a — logický súčin,£ — neekvivalencia,F — negáeia,«— — priraďovací příkaz,[ l]a ž [4 ] návestia.

Obr. 4.8. Sieť pre příklad programu v jazyku A PL a LOGSIM

Tento program v jazyku A PL opisuje Strukturu (t.j. logickú sieť), ale zároveň je to aj program pře vy hodnotě nie výrazu (teda aj B-funkcíe), ktorý zodpovedá danej síeti (připadne ktorú sieť realizuje).

Jazyk LOGSIM bol vytvořený v Československu ako špeciálny jazyk na opis logických sietí. Umožňuje opísať sieť formou istých blokov, ktoré možno navzájom spájať alebo kombinovat'.1

1 V Československu bol vypracovaný no vši jazyk a systém SFDL, určeny na simuládu logických obvodov. Opísany je v práci Blatný. J.—Zendulka. J.: The SFD L'SCL Símulation System. Cumputers* A I 1986. c. 3. s. 265 až 283,

Page 93: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Naším cielbm nie je uvádzať podrobný spósob zápisov vo formálnych jazykoch opisu sietí, pře to uvedieme na závěr len ukážku opisu siete z obr. 4.8 v jazyku LO G SIM , pričom celú sieí označíme ako blok K :

BLO C K *(K)4, 2;U S E .X O R (T ), NOT (C l) , NOT (T i ) , AND (G ),

O R (X ), A N D (Y ) ;T= A, B ;O = C ; G = T, C l ;X = G , D ;T I = T;V= T I, C ;EN D + O F K ;

Je to ve Cm i zjednodušená forma zápisu v uved enom jazyku, v ktorej v prvom riadku je měno bloku (siete) s uvedením počtu vstupov a výstupov siete, v druhom ríadku sůpis použitých prvkov v sieti s vyznačením premenných na ich výstupech a v ostatných riadkoch je reprezentácia vázieb v sieti.

4.2 STU PN Ě V N O R M Á LN E J S IET I

Důležitou vlastnosťou, ktorá nás v kombinačně] logickej sietí zaujíma, je stupňovitost' siete, přesné jšie, počet stupňov logickej siete.

Pozrime sa napr, na sieť na obr, 4,6a. Vidno, že sief má stromovitý strukturu, pričom jednotlivé vstupné premenné sa uplatňuji! vo vetvách tejto struktury. Tak napr, vstupná premenná x2 uplatní svoj vplyv na výstupe síete „priechodom“ vetvy obsahujúcej dva funkčně prvky, premenná xj. vo vetve obsahujúcej tri funkčně prvky,

Pretože sme sieť definovali ako graf, můžeme počet stupňov v sieti definovat' pomocou pojmu cesta v grafe, Funkčný prvok v ceste představuje stupeň (postup­nost funkčných vrcholov je reťazec funkčných vrchol o v). Počet stupňov v ceste sa teda rovná počtu funkčných vrcholov. Potom počet stupňov logickej síete je maximum z počtu stupňov všetkých ciest v sieti od vstupných polov k výstupným polom,

Najdlhšia cesta v našom příklade (sú dve) obsahuje tri funkčně vrcholy, preto ide o rrojstupňovú logickú sieť,

V praxi sa střetáváme s dvoj-, troj- a viacstupňovými sieťami, Osobitným prípadom je jednostupňová logická sieť, ktorá zodpovedá takému jej príradenému výrazu, ako je napr, jednoduchý logický súčin jednoduchých premenných (degene­rovaná normálna forma s jedinou operáeiou nad jednoduchými premennými).

Page 94: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Počet stupňov sic re má význam /avádzať a brať do úvahy pri týcii normálnych kombinačných logických dietách, ktorých funkčné vrcholy sú ohodnotcné přísluš­nými oneskoreniami pri realízáeii funkcií. Ide o oprávněnu úvahu, v krorej sa logická sieř ako rnodel přibližuje logickému obvodu, Zalial čo v sieti ako abstraktnům mode li oneskorcnie neexistuje, v logickom obvode, r. j, v reálnom zariadení s nťrn musíme počítat. V reálnom logickom člene a obvode na sláva toliž vždy časové onesknrenie pri přechode signálu od vstupu člena na jeho výstup (pozři podkap 2 Z óbi 2 S). Ak tu kóto oneskorenie možno zanedbat, tak počet stupňov v obvode nie je rozhodu jud . Při sú časných pracovných frekveneiách však v logických obvodoch toto oneskorcnie nemožno zanedbávat', čo vypiv.a / možné j existeneie józne dlhveh reťazcov funkčných prvkov v tom istom logickom obvode.

Ak teda berieme do úvahy logiekú sieť s funkčnými vrchol mi ohodnotenými oneskoreniami (pre jednoduchost' piedpokladajme. že všetky funkčně vrcholy sú ohodnotené rovna kým oneskorením D), tak pri předpoklade exisiencit: ciest s rÓ2nou dížkou možno pomocou siete zistiť, že uplatněme sa vplyvu vstupných premenných na výstupe prebieha v róznych časových okamíhoch. Ak ide o A-stupňová sieť. maxima lne oneskorenie Dm„ = k . O. Ak zavedieme časové hfadisko, tak hovurime, že v kombinačnom obvode prebieha počas /.mien vstup­ných premenných od okamihu í,, do okamihu &,+ Dm„ přechodový dej, pričom počas přechodového deja nemusia výstupné premenné na do búd ať tie hodnoty, ktoré by zodpovídali logickým funkciám realizovaným tým to obvodotn v ustále­no m stave (pozři podkap, 2.2).

Zabezpečit' rovnakú dížku všetkých ve tie v v obvode (napr. vkládáním onesko- rovacích členov) je v praxi dosť ťažké (pozři kap. J I ) . Najíahšou cestou na odstránenie uvedených problémov je zavedenie fakrovanía (synchronizácie) do jednotlivých stupňov obvodu alebo na výstup obvodu (to je najčastejšie).

Osobitý význam v množině normálnych kombinačných logických sietí vzhía- dom na metodiku syntézy a analýzy sietí majú dvojstupňové logické siete, pri ktorých sú okrem jednotlivých vstupných premenných x,. x2, k dispozícii ajpříslušné negované premenné xu x2...... x„. V tomto případe hovoříme, že súk dispozícii komplementárně vstupy (komplementárně premenné).

Příkladem takejto dvojstupňovej siete je sieť na obr. 4,9. Tejto sietí sú priradené tieto výrazy:

Vi = Xi Xj + X , X i(4,7)yi*= XiX2 + xtxi

V zhode s podkap. 3.7 možno povedať, že každý z týchto výrazov je DNF.Neskór budeme nějaký systém disjunktných normálnych foriem označovat!

ako skupinu disjunktných normálnych foriem (v našom příklade teda sieti podfa obr. 4.9 zodpovedá skupina disjunktných normálnych foriem (4.7)).

Page 95: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

V dalšom sa budeme zaoberať zváčša s dvojstupňovými logickými sieťami typu #]/ťfe, ktoré zodpovedajú normálnym formám y, i fa (kap, 3), Tak například sieť na obr. 4.9 je dvojstupňová sieť typu ,/ + ,

*1 x -

*"\*?

*2

Ohr. 4.9. Dvívjsiuphma ktgicka sici

2 tvrdenia, ie pre každú boolovskú funkciu existuje aspoň jedna normálna forma gxI daného typu (kap, 3), vyplývá, že pre každii boolovskú funkciu a každý systém boolovských funkcií existuje dvojstupňová logická sieť typu g2. zodpove- dajúca niektorej normátnej formě g,/g2, ktorá realizuje danií B-funkciu alebo systém B-funkcií.

Pri zavedení pojmu normálna logická sieť sme předpokládali, že do jedného vnútorného vrcholu alebo výstupného pólu směruje vždy iba jediná orientovaná hrana. Tento předpoklad však možno zjednodušit', ak sa takému vrcholu přiřadí íunkcia (operácia). V tomto případe hovoříme o montážnycb funkciách alebo opericiách. Sieť, ktorá obsahuje montážně operácie, nie je podFa definíeie normálnou sieťou (pozři siať 4.1.1), avšak možno jej normálou sieť přiřadit. Předpokládejme napr. sieť s dvoma funkčnými prvkami podfa obr, 4.10a, ktorých výstupné hrany sú montážnou operáciou spojené do vnútorného (zároveň výstup­ného) vrcholu, Nech montážna operácia znamená logický súčet, potom = y2 + yt, Ako sme už povedali, sieť na obr. 4.10a nie je normálna. Na obrázku 4.10b je však sieť priradená predchádzajúcej, Táto sieť je už normálna kombinační sieť s fíktívnym logickým stupňom. Obidve siete v tomto případe a ostatnýeh podob­ných prípadoch považujeme za ekvivalentně (na vyjadrenie montážneho logického člena sa používá symbol Q ).

Montážně operácie nemožno použiť pri běžných logických členoch, ale iba. v niektorých prípadoch. V bípolárnej technologii logických obvodov TTL je takáto montážna operácia možná s využitím logického člena NAND (Shefferov člen, napr.

Page 96: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

MH 7403) s otvoreným kolektorovým výstupom (pozři dodatok C), Momážna operácia sa vyívára připojením kolek tor o v a výstupných tranzistorov takýchto členov na spoločný vonkajší kolektorový rezistor. Zjednodušele je to znázorněné na logické] schéme v obr. 4 J la , Priradené logické siete sú na obr. 4,11b v dvoch možných podobách.

WUTORNY JVÝSTUPNÝ)PÓL

MONTAZMA FUNKCIA (OPERůCIAl

Obr. 4.10. Sief s montážnou operáeiou a jej zodpovedá júca normál na sieť

O

b

Page 97: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

V uvedenom příklade představuje montážna operácia logický súčin, takže pre obr, 4,11 platí:

>' = X,Xi , x,x4

l ^montážnaoperácia

4.3 H R A D LO V É STRU KTO RY

Vyšiie uvedené kombinačně logické siete predstavujú struktury kombinač- nýeli obvodov, ktore sa v súčasnosti najčastejšie vyskytuji! a nazvali sme ich kombinačně obvody s normálnou strukturou. Také to obvody postavujeme obyčaj­ne priamo pod Ta zodpovedá júce j logickej siete.

Existuiů však aj iné typy struktury kombinačných obvodov, Sú to hradlové struktury. V súvislosti s nimi polom hovoříme o hradlových kombinačných obvodoch a hradlových sieťach. Na opis hradlových struktur možno použit tú istú metodu ako pri normálnych strukturách. Rozdiel je iba v tom, že množinu všetkých vstupných premenných rozdelujeme na dve neprázdné, disjunktně podmnožiny: podmnožinu informačnýcb premenných, ktorá obsahuje obyčajne jediný prvok X. a podmnožinu riadiacich premenných, ktorá obsahuje premenné x,, jc2, .... x„.

Přibližná podobu hradlovéj struktury si móžeme představit' ako r»a obr, 4.12a, b. Hradhm nazveme logický člen so vstupnými premennými X, xt, ...« x„ a výstupnou premennou y, ktorého funkcia je opísaná takto:

/(>!,.!,. xH) - í=> y = X f(xu Xi.... * ^ ) = 0=^y = 0

(4.8)

alebo

/(*,, Xi, x„)= I ^ y = X /(*,. x2. ..., x.) = 0q> y = I

(4,9)

RlADlACř PREMENNÉ

*1 *> *n

HxV*2- IHLAVU YVSTUPNÝKANAL

.... VÝSTUPNÝKANÁL\VÝSTUP]

Q b

Page 98: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

V případe hradla, kterého funkciu určujú vzťahy (4,9), hovoříme o negujúcom alebo invertujúcom hradle.

Funkciu /, ktorá je boolovskou funkciou riadiacich premenných xt, x2, x„, nazývame priechodnosf hradla. Ak priechodnosť hradla / má hodnotu í, hovoříme, že hradlo je priechodné. v opačnom případe hovoříme, že je neprkehodné, Zo vzťahov (4.8) vyplývá, že v případe, keď priechodnosť f má hodnotu I, signál z hlavného vstupného kanála příslušného logického obvodu sa priamo prenesie na jeho výstupný kanál. V opačnom případe (keď je hradlo uzavřete), bude na jeho výstupe (bez ohladu na hodnotu X ) hodnota 0. Spoje nie od X po v v hradle nazveme hlavným kam lom hradla.

Uvedomme si, že v praxi sa často střetáváme s nie vždy správným používáním termínu hradlo; hovoří sa napr. súčíové, sůčinové hradlo, Aj keď možno konstato­vat', že tieto logické Členy možno použiť vo funkcii hradla, my tento termín budeme používat’ iba v sůvislosti s kombinačným logickým obvodom, ktorý má vyššie opísané funkčně vlastnosti a správa sa tak, ako to určujú vzťahy (4.8).

Na obrázku 4.13 uvádzame niektoré konkrétné příklady bradiel, Tak v obr. 4.13a je vo funkcii hradla súčinový logický člen. Ako vid no z obrázku, priechodnosť hradla je daná funkciou f(xu jr2) = xxx2. Na obrázku 4 .13b je hradlo so sučtovým členom, pre ktoré platí, že ak /(*,) = 0, tak y nadobúda trvale hodnotuI, čo sa pripúšťa. Na obrázku 4,13c je hradlo vytvořené kontaktovou siefou, v k to rej je priechodnosť reprezentovaná elektrickou vodivosťou. Premenné xu x2

sú riadiace vstupné premenné, ktoré v danom případe zodpovedajú akciám pósobiacim na kontakty. Chápeme ich takto: ak prí kontakte podfa obr. 4.13d nadobúda xt hodnotu I, kontakt je spojený, pri x, - 0 je kontakt rozpojený. Pre kontakt na obr. 4.13e předpokládáme, že pre x2 = 0 je kontakt spojený a pre x2 = I rozpojený. Příslušné priechodnosti sú prí týchto obrázkoch zapísané (spósob kresfenia a chápania činností vychádza z příslušnéj normy). Na obrázku 4.13f je příklad hradla s jednoduchým tranzistorem MOS riadeným polom. Na obrázku 4.13g je příklad negujúceho hradla.

NajjednoduchŠími hradlami sú elementárne hradla, ktoré sú charakteristické tým, že majú iba jedinú riadiacu premennú x. Z toho vyplývá, že priechodnosť elementárneho hradla je

alebo f(x) = X

Pre jednoduchosí budeme pri kreslení hradlových sietí používaf symboliku podfa obr. 4.14a a priechodnosť x alebo i vpisovať priamo do značky hradla. Okrem toho budeme rozlišovať symetrické a nesymetrické hradlá.

Symetrickým hradlom je také hradlo, pri ktorom možno úlohu vstupného a výstupného signálu -navzájem vyměnili (čo je X móže byť y a naopak).

Page 99: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Kontaktové hradla sú symetrické a budeme ich označovať podfa obr, 4,14b. Hradlo, pri ktorom nemožno vymeniť vstup za výstup a naopak, považujeme za nesymetrické a budeme ho označoval podía obr. 4,14c.

*1 *2L

*1

t 1.----- i

X—--

,* 2] * *1 *2 f i * i'--*

Q b

ři: H___ Í I y

T

* 2*1

Lr-y f fsí , *2 h * ^ 2

Obr. 4,13, Příklady jednoduchých hradit!

X ------o o— —— y y a

<E> y b

{> ■y c

Obr. 4,14. Elementárne hradlá

Hradlovým ( kombirnčným) obvodom, připadne hradlovou siefou nazveme kombinačný obvod alebo sieť, ktorá je zos tavená z hradiel a vazieb medzi nimi, pričom vazby medzi hradlami možno uskutočniť len pomocou hlavných kanálov

Page 100: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

• * .-Jiťl. Naviac připustíme všetky spojenía (teda aj montážně) podfa obr. 4.3. '■ -dpokladáme přitom, že všetky hradla umožňujú vytváranie montážnych logic­

kých súčtov ako na obr. 4.15a. Vytváranie montážnych súčtov je pre hradlové obvody charakteristické. Příklad hrad I o ve j siete je na obr, 4.15b.

V dalšom budeme takúto hradlový sieť nazývat' aj bradlový ( k, m)-póL pričom taká to hradlová sieť má k vstupných pólov, V našom příklade podfa obr. 4.15 ide teda o hradlový (1, 2)-pól. Hradlový obvod má vo všeobecnosti okrem vstupných a výstupných pólov (uzlov) aj ďalšie (vnútorné} uzly. Na obrázku 4,15 sú to uzly 4 a 5,

MGNTAZNY LOGfCKY SŮCET

Vzhfadom na praktické aplíkácie majú význam hradlové siete, ktoré možno opísať ako (1, m)-póly (v osobitnom případe (keď m = l ) hovoříme o dvojpóle. je ním napr, hradlo z obr. 4.12).

Základnými pojmami pre analýzu hradlových obvodov sú signálová cesta z uzla i do uzla j a priechodnostsígnálovej cesty z uzla i do uzla j v hradlovéj sieti.

Medzi uzlom i a / móže existovať vo všeobecnosti viac signálových ciest, v případe „izolovaných" uzlov nemusí vdbec existoval Za signálový cestu považu­jeme len takú cestu, v ktorej sa jeden a ten istý uzol nevyskytuje viac než jedenkrát.

Zapíšeme niektoré signálové cesty v sieti podfa obr. 4.15. Tak například medzi uzlom 0 a 3 existuje jedna cesta, ktorú tvoria hradla A, B, C, čo zapíšeme A-B-C, druhá cesta je D-C. Obidve sú obojsmerné.

Page 101: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Ak signálová cesiu medii uzlom i a / tvoří jedno hradlo alebo viac hradiel, medzi ktorými však nie je vnútorný uzol, kde by sa hlavný kanál větvil, tak takúto cestu nazývame ve řvou medzi uzlami i a j. Na obrázku 4,15 je ve Ivou medzi uzlami0 a 1 cesta A-B alebo cesta D.

Priechodnosfou signál ověj cesty R z uzla i do uzla j nazveme B-funkciu

/ != * - . * ......g,~ i- a (4.io)

kde qu /*, . gs sú priechodnosti jednotlivých hradiel nachádzajúeich sa v signálové] eeste jR z uzla I do uzla /, pričom v signálovej česle předpokládáme s hradiel.

V našom příklade z obr. 4.15 máme priechodnosti zapísané priamo v hrad-lách, teda pře všetky cesty od vstupného uzla k výstupným móžeme písať:

/« = Sa ■ 9b - ťfc = * 1*2*4

fa i - 9t> ■ fh = *»*. .. m(4,1 1)fo4 = íle • Ur = fo* = íIe * íIg ~ *2 X*

Význam pojmu priechodnosť signálovej cesty sa zakiadá na tom, že pomocou něho možno v ( k, m)-póle vyjádřil' vztahy medzi vstupnými signálmi x{, x2, jt* a signální i v lu bovolných uzloch (k, m)-pólu.

Priechodnosť signálovej cesty f§ představuje teda B-funkciu, ktorej nezávisle premenné zodpovedajú len riadiacim premenným x,, x2y x„. Podobné ako pri hradle hovoříme, že signálová cesta R je priechodná, ak I, a nepríecbodná, ak /*=<>.

Berme do úvahy (1, m)-pól na obr. 4.16. Vstupný pól označme číslům 0, výstupné uzly číslami 1,2, , m. Z definicie eíest a priechodnosti signálových est vyplývá, že signál y,t ktorý sa odoberá z uzla j, má hodnotu I vtedy, ketf X = I a aspoň jedna z r signálových ciest z uzla 0 do uzla / je priechodná, V tomto případe signál X= I z uzla 0 přejde do uzla j. Keď při X= I nie je priechodná ani jedna z ciest, potom signál v. má hodnotu (J. Signál yt má vždy hodnotu 0 vtedy, keď X - 0 . Potom

yt = X +fo,+ ... + fa) (4,12)

kde /* sú priechodnosti jednotlivých r signálových ciest z uzla 0 do uzla /, Výraz v zátvorke vztahu (4.12) (t.j. logický súčet)

ft» ~ ft)j+flí+ ■■■* + foj (4.13)

nazveme priec/iodnosfou šrruJctiíry (alebo štruktúrnou priechodnosfou) medzi uzlami 0 a j. Vzťahy (4,12) a (4.13) možno však zapísaf aj pre fubovoínú dvojicu uztov i,/. Hovoříme, že štruktúra je priechodná z uzla í do uzla /, ak /ir= I, a nepriechodná, keď fv = 0.

Page 102: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pre náš příklad siete z obr. 4.15 možno teda písať:

f h = f i i + /lJ = *1*2*4 + X3x*

f u = f h + / ? 2 = * 2 * 3 + * 2 * 4

Potom podfa vztahu (4.12) platí:

y, = X . fXJ y2 = X . f 12

Zovšeobecnením týchto úvah na (ft, m)-pól podřa obr. 4.16 možeme pomo­cou vztahu (4.12) odvodit' aj vzťahy pre výstupné funkcie hradlového ( ft, m)-pálu:

y .— X]/)(Jk+i)+ X j /j^ u +,— Xi/if t + j ) + Xi/2(* + 2) + .. . + x*/*( * + 3 )

= X 1/(í*.»ml+ X 2/j(*+m)+ ,,, + Xi/tn*

(4.14)

Výstupné funkcie (4.14) hradlového (ft, m)-pólu teda vyjadrujů závislost medzi vstupnými premennými a výstupnými premennými hradlové] siete.

i *2

"Ov

•yř 1 k *1* 1 ° “

2 H R A D L O V Ý _ y1

O B V O D y2

k k * m n-Q k

Obr. 4,16. í I , /n)-pót a (A. m)-pól

4.3.1 Hradlový dvojpól

Vráťme sa leraz k ( 1 , 1 )-pólu, t.j. k hradlovému dvojpólu. Najskór ukážme, ako možno vytvoriť hradlový dvojpól:

1. Vezmeme bezinvermý boolovský výraz, t.j. taký boolovský výraz, ktorý má negáciu iba nad jednoduchými premennými (teda nie nad váčšou častou výrazu).

2. Každej premennej jr tohto výrazu přiradíme jedno elementárne hradlo s priechodnosťou i , kde ie {x , x).

3. Medzi elementárnymi hrad lam i vytvoříme spoje nie, a to takto: Ak sa vyskytuji dve časti výrazu v operácií logického súčinu, tak im zodpovedájúce hradlové podsiete zoradíme sériovým spósobom; ak sa dve časti výrazu vyskytuji! v operácií logického súčtu, tak im zodpovedajúce hradlovacie siete zoradíme paralelne.

Page 103: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Uvedený postup si ukážeme na příklade. Majme tento bczinverzný booiovsky výraz: Jt3) . xA. Každej premennej přiradíme jedno elementárne hradloa vyznačíme v ňom priechodnosť, 'Vytvořením spojení podfa trefieho bodu postupu dostaneme hradlový dvojpól na obr, 4.17.

x o- =*-0 y

Obr. 4.17, Hratllovy dvojpól pre výraz (^ i j +■ x>) ■ x*

Vyššie uvedený postup móže přiradit’ bezinverznému výrazu určitý dvojpól, Ak neberieme do úvahy výměnu hradiel alebo častí obvodu (vzhfadom na komutatívny zákon), tak ide o ten istý (presnejšie ekvtvalentný) dvojpól.

Výraz, z ktorého sme ta kýto dvojpól zostavílí, nazývame štruktúmym vzor co m dvojpólu* pretože struktura výrazu zodpovedá Itruktúre dvojpólu. Ak je daný štruktúrny vzorec, možno zostaviť jemu zodpovedajúci dvojpól a naopak, ak je daný dvojpól, možno zostaviť vzorec.

Možno dokázat’ následujíce tvrdenie:Hradlový dvojpól zostavený uvedeným spósobom realizuje tú boolovskú funkciu, ktorá zodpovedá jeho štruktúrnemu vzorců. Předpokládáme přitom, že realízáciu funkcie vyjadřujeme takto: nech na vstupný pól dvojpólu sa trvale připojí hodnotaI (signál s jednotkovou úrovňou). Potom hovoříme, že dvojpól realizuje boolovskú funkciu f(x t, x2, ..., x„) právě vtedy, keď platí:

y = l4»/(jr„ x2, ..., X») = IVsůlade s uvedeným nazývame funkciu f(xu x2, xrt) priechodnosfou dvojpólu,

V našom příklade dvojpólu zodpovedá výrazu ( jc, jč2 + %)• x* funkcia zapísaná v mape na obr. 4.18. Hradlový dvojpól na obr. 4.17 realizuje teda funkciu zodpovedajúcu uvedenému výrazu, funkcia z obr. 4.18 představuje priechodnosť tohto dvojpólu.

0 0 0 0

0 0 0 i

1 r 1 I

0 0 0 0

Page 104: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Ďjlej možno povedať, že na hradlový dvojpól sa možeme pozerať aj ako na _• ::te|šíe hradlo, v ktorom vstupný pól reprezentuje hlavný vstup hradla {X )i výstupný pól výstup hradla (y), Riadiace premenné xt, x2. x„ hradiel před stavuj ú riadiace premenné tohto zložitejšieho hradla (pozři napr. obr. 4.12), Ako sme už uviedli, podfa (4.8) teda platí:

f(xh x2, Jf,) = I=^y= X f(xx, x2t JCB) = 0=>y = 0

V případe dvojpólu sme na vstupný kanál X tohto hradla připojili konštantnú hodnotu I, teda platí;

/(X[, Xj, x*) = 1 =>y = I/(x,, x:, x„) = y = o

Nie je fažké pře sved ciť sa, že ak je daná priechodnosť, t.j. niektorá boolovská funkcia /, tak existuje mnoho róznych dvojpólov, ktoré majú tuto priechodnosť, alebo ktoré realizujú túto funkciu.

Berme do úvahy napr, funkciu f(x i, x2, x3) zadaná mapou na obr, 4,19a. Tejto funkcii zodpovedajú napr. výrazy

alebo *1*3 + X, V;(x, + x2). i,+ x3 (4.15)

Ak vezmeme každý z týchto výrazov ako štruktúrny vzorec dvojpólu, možeme zostaviť dva dvojpóly, ktoré sú na obr, 4.19b, c, Tieto dva dvojpóly majú rovnakú priechodnosť, a to B-funkciu z obr, 4.19a.

0 I I 0

I 1 0 0

H x 1, x 2 ■ * 3 i

Q

Xo-„ r

Xo—r -

r O ----- © h

-o y

------- 0 -

-o y

Page 105: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Definícia 4,2. Dvojpóly, ktoré máju rovnakú priechodnosť, nazývame ekviva­lentně. To platí aj pre všeobecné (k t m)-póly.

Představme si množinu H všetkých dvoj pólo v, ktoré sa zostavujú už opísaným spósobom (t.j. množinu s ério vo-pa ra le I ných dvojpólov — pozři ďalej). Ďaiej vezmime dve operácie a „ + “ s tým to významom:

, sériové zaraďovanie dvojpólov,+ paralelné zaraďovanie dvojpólov.Nie je ťažké dokázat', že systém (H , *, + ) tvoří Boolovu algebru (dodatok A).

Rovnost’ v tejto algebře reprezentuje ekvivalencia dvojpólov. Doplň kom k danému dvojpólu s priechodnosfou / je lubovolný dvojpól s priechodnosťou /. Význačné prvky 0 a 1 v tejto algebře zodpovedajú dvojpólom s konštantnou priechodnosťou 0 alebo I (t.j, trvale prieehodným alebo trvale nepriechodným dvojpóldm).

4.3,2 Sériovo-paralelné a mostíkové hradlové ( 1» m)-póly

Na rozlíšenie uvedených dvoch foriem struktur zavedieme pojem „spojniea“ vstupného pólu 0 s výstupným pólom ; (/= !, 2, m), Spojmcou nazveme myšlenu spojitú orientovánu čiaru, ktorá vychádza z pólu 0 a končí v póle /, prechádza cez kanály, uzly, hradla (bez ohradu na ich směrové vlastnosti), pričom neprechádza jedným U2Íom (alebo pólom) dvakrát alebo viackráf. V štruktúrnych schémach so symetrickými hradlami všetky možné spojnice zodpovedajú všetkým. možným signálovým cestám, ktoré vedú z pólu 0 do pólu j. Keď sa však v struktuře ( 1 , m)-pólu nachádzajú nesymetrické hradlá, nemusia všetky spojnice pólu 0 s pólom j zodpovědať signálovým cestám z pólu 0 do pólu /. Signálovej ceste nezodpovedá teda spojnica, ktorá vedie cez nesymetrické hradlo orientované opačné ako spojnica.

Počet spojnic pólu 0 s výstupnými pólmi 1,2,..., m hradlového (1, m)-pólu je konečný a vždy možno nájsť všetky spojnice pólu 0 s pólmi 1,2, .... m, ktoré vedú cez niektorú vetvu schémy. Sériovo-paralelný sa nazýva taký (1, m)-pó\, v ktorého štruktúrnej schéme sa ne nachádza ani jedna vetva, cez ktorú prechádza jú viaceré spojnice (vstupného pólu 0 s výstupnými pólmi) orientované vo vet ve roznymi smermi. Příklad sér io vo - p a r a I e 1 né ho (1, 2)-pólu je na obr. 4.15.

Mostíkovými hradlovými ( 1 , m)-pólmi nazývame všetky ostatně ( 1 , m)-póly, ktoré nie sú sériovo-paralelné. V Štruktúrnych schémach mostíkových obvodov sa vyskytuje aspoň jedna vetva, ktorou prechádza jú aspoň dve spojnice pólu0 s výstupnými pólmi 1, 2 , m. Spojnice sú přitom vo vetve orientované róznymi smermi. Tieto vet vy sa nazývajú obyčajne príečm. Ak príečnu vetvu tvoří symetrické hradlo, tak toto hradlo možno využíval na obojsmerný přenos signálu. Příklad mos tikového hradlového (1, !)-pólu je na obr. 4.20a.

Teraz berme do úvahy m= 1, teda dvojpóly. Sériovo-paralelné sú právě tie

Page 106: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

i ’.dipóly* kroré možno zostaviť z bezinverzného boolovského výrazu podFa spóso- "u opis a ného v stati 4.3.1, Pře takélo dvojpóly existuje potom štruktúrny vzorec.

Při mostíkových dvojpóloch neexistuje štruktúrny vzorec, ktorý možno zosta- m: :ak ako pri sériovo-paralelných dvojpóloch. V tomto případe vždy existuje

jedno hradlo medzi dvorná uzlami v struk túrnej schéme, o kiorom nemdže- -‘c >ednoznačne přehlásit', akým sposobom je zaradené (sériovo, paralelne) k iným : • ’i.■ 'ii struktury.

\ Vniká teda problém, ako možno určiť priechodnosť mostikového dvojpólu.Terno problém možno riešiť napr. takto: najdeme všetky signálové cesty od vstupného pólu k výstupnému pólu. Predpokladajme napr, mostíkový dvojpól na jhr, 4.20a, Lahko zistíme, že pre tento dvojpól existujú 4 cesty, ktoré sú v obrázku >v značené čiarkovane a označené A, B, C, D. Potom vyjádříme priechodnosť každej ssgnálovej cesty od vstupného po výstupný pól v súlade so vzťahom (4JO )• ■ sv-mec vyjádříme priechodnosť struktury medzi vstupným a výstupným pótom ; Ta vzťahu (4.13) ako logický súčet prieehodnosti všetkých signálových ciesi od

■'umeho po výstupný pól.

Obr. 4,20. Mostíkový clvojpol a ekvívaleniny sérkivú-parítkiny d■,njpol

Page 107: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pre náš příklad moslíkového dvojpólu na obrázku 4:20a móžeme podfa (4,10) písať:

= X] .1; foj = Xj Jf4/fň = fm —■ X) Xj X2

a podfa (4.12)/(,? = X ) Xz +- X, X* + X\XyX4 + X\ Xj X j (4,1 6 )

Vzťah 4.16 možno upravil' napr. takto:

/,> J = xx{x2 + i}X 4J + x,(x4 + X3X2) (4.17)

Ak vzťah (4,16) představuje priechodnosť póvodného moslíkového dvojpólu z obr. 4.20a, tak aj ekvivalentny výraz vo vztahu (4.17) představuje tú ísiú priechodnosť, ktoni móžeme přiradit obr. 4.206. kde je ekvivalentny (v zmysle uvedenej definície) sériovo-paralelný dvojpól k póvodnému mostíkovému dvojpó­lu. Tým sme zároveň naznačili spósob. ako možno z mostíkového dvojpólu odvodiť ekvivalentny sériovo-paralcJny dvojpól. Pravá strana vzťahu (4.17) je teda struktúrnym vzorcom dvojpólu na obr. 4.20b.

Rozoberieme ešte problematiku súvisiacu so šfrutórnym vzorcom pre (1. m)-póly, kde m > \. Ukážeme to na příklade (1, 2)-pólu, ktorého Struktura je na obr. 4.21a. Lahko si možno představit, že sú to dva sériovo-para lei né dvojpóly. a to dvojpól medzi uzlom ( J a l a dvojpól medzi uztom 0 a 2. Tú to představu možno vyjádřit'štruktúrnym vzorcom uvedeného (1.2)-polu

í X. .V; -t- Xj ) . X j . Vt + X j ( X j + X4) . >f2 (4 .1 8 )

kde Vj. y2 sú výstupné premenné priradené jednotlivým dvojpólom, Štruktúrny vzorec ( 1.18) móžeme chápat' ako štruktúrny vzorec určitého fiktívneho dvojpólu. ktorý vznikne tak, ako je naznačené na obr.4 .2 !b , Symboly y, a y2 vo vzorci reprezentují akési riadiace premenné fiklivnych hradiel, dopíňajúcich sieť na tvar dvojpólu.

a bObr. 4.21. i l , 2 t-poi pre přiklad

Page 108: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Analogickým spósobom sa zostaví štruktúrny vzorec pre všeobecný ( i , fři)-pól.

4,3,3 Analýza hradlových (JSc, m)-pólov

Analýzou hradlových (k , m)-pó/ov rozumieme vyhíadanie výstupných funkcií hradlových sietí so zadanou štruktúrou. Úloha sa redukuje na vyhíadanie štruktúr­ny ch príeehodností medzi jeho vstupnými a výstupnými pólmi (vztahy (4,14)), lebokecf sú známe štruktúrne priechodnosíš, hradlového obvodu* sú známe aj jeho výstupné funkcie,

Hlavné myšlienky takejto analýzy sme realizovali už v podkap, 4.3. Zhrnme ich teda do takéhoto postupu:

— nájdeme všetky signálové cesty, ktoré vedú zo vstupného pólu i (/ = I, 2, ..., k) do výstupného pólu / (/ — 1, 2, . m) ,

— podfa vzťahu (4.10) zapíšeme výrazy pre priechodnosť jednotlivých signá­lových ciest z pólu í do pólu j,

— podfa vzťahu (4.13) zapíšeme výraz pre strukturou priechodnosť ftj medzi pólmi i a /,

— činnost’ podfa bodov 1 , 2, 3 opakujeme všetky dvojice polov i, j ( í= 1, 2.k ; /= 1,2, m),— podfa vzťahov (4.14) napíšeme výrazy výstupných funkcií hradlového

( k , m)-pólu, do ktorých sa dosadia výrazy Štruktúrnyeh priechodností fir Týmto mdžeme analýzu ukončil

Pre analýzu alebo opis struktury hradlových (k , m)-pólov ukážeme však ešre využitie dalších matematických prostriedkov, a to boolovských matic, Boo/ovslcou maticou nazývame matieu, ktorej prvky sú dvoj hodnotové funkcie, dvoj hod notové premenné, připadne konstanty 0, I,

Takéto matice, možno použiť pri opise struktury hradlového ( k , m)-pólu, Predstavme si hradlová strukturu s p uzlami (vrátane vstupných a výstupných polov, kterým přiradíme čísla 1, 2, p). Zostavme pře túto strukturu štvorcovu boolovskú matku CS

0L1 Íh2 ■ t i l p

= ífc] Íf22 ■ - tilp (4.18)

tipl tipl - tipp

ktorej prvky % (i= 1, 2, ..., p\ /= 1, 2. ..., p ) majú tento význam:•— gn je priechodnosť vet vy alebo logický súčet priechodností paralelných

vetiev z uzla i do uzla j, ak sú uzly i a / susedné — priamo via za né (t.j, ak v spojnici medzi uzlami i a / neexistuje žiaden uzol, v ktorom by nastávalo vetvenie).

— ť|,j = 0, ak uzly / a / nie sú priamo via za né.— = ak i = j.

Page 109: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Takáto matica sa nazýva matica priamych priechodnosti alebo matka pria■ mych vazieb. Táto matica představuje model struktury (Ic, m)-pólu.

Například pře dvojpól na obr, 4,20a, ktorý má 4 uzly, je matica priamych priechodnosti takáto;

<S =1■V i í i 0

-T]IX3X:

Xj<3

IX*

0Xix41

(4.19)

Matica priamych priechodnosti je matica, v ktorej prvky na diagonále sú vždy \, Matica je symetrická, ak (k* m)-pól obsahuje iba symetrické hradlá a nesy/nefrk-- Jcá, ak { Ar, m)-pói obsahuje aj nesymetrické hradlá, Matica priamych priechodnosti móže byť modelom tak sériovo-paralelných, ako aj mostíkových struktur.

Okrem matice priamych priechodnosti (| má při opise a analýze hradlových ( k, m)-pólov význam aj ďalsia štvorcová matica

(4-20)

ktorej prvok féj (i = 1, 2 , p : j = 1, 2 , p) zodpovedá štruktúrnej priechodnosti medzi uzla mi i a Potom = ak i = Takúto maticu nazveme maticou štruktúrnej priechodnosti hradlového (k t m)-pó!u alebo maticou výstupných funkcií,

Matica štruktúrnych priechodnosti hradlového dvojpólu na obr. 4.20 má tvar

I fu h ■ ■ r f i p

9 = / j i I fa • ■ h.

fn f p p

I fmf io Ifw fn/a ci / j i

f ú i f m

fn /11I/« i

Prvky tejto matice už dokážeme určit' podfa vyššie uvedeného výkladu. Pre strukturně priechodnosti nášho příkladu z obr, 4,20 móžeme pre všetky dvojice uzlov písať:

/oi - Xi + X ,X j + X i X i X t = X, + X i + X2Xi

fot — Jf i + JC iij + X tX jX t = X i + X3 + JCjl*

fm~-x,x2 + x,x* + XiXjXi + XiX,Xi-= xvx2 + xtx* + ij-f* + x2 x3 (4,21)

/12 = Xi + X ,X , + X 2X4 = X i + X 2X4

/ , 3 = X ; +■ X 3 X 4 + X [ X , X * = x2 + i j X *

/í3 = xA + xsx2 + i i x, Xi = x4 + JŤjX?

Page 110: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

kde pře symetrické hradla použité v dvojpóle platí: /«,=/«), foi - /2«, /■» = /»,'.: = /ji■ jTu = /ji a Íí.i = /j i -

V uvedené] maticí sú zahrnuté strukturně priechod nos ti medzi všetkymi dvojkami uzlov. Z takej l«» matice teda možno vypísať systém výstupných funkcii pre fubovofne rada né vstupné a výstupné póly.

Maticu možno získat’ priamo z matice priamych pnechodností, a to takto I5|.

; f = ■1 = . <S....... 'é (4,22)

{p - i)-krát

kde p je počet uzlov v hradlové] sieti, pre ktorú bola matiea zostaveriá. V danom případe W ~ l označuje {p - 1)-násobný siíčín matice <é. Tento súčin sa robí ako běžný súčin matic v lineárně] algebře s tým rozdielom, že súčiny prvkov matice sa naliradia logickými súčirimi a súčty logickými súčtami.

Takto sa potom analýza realizuje len v dvoch krokocíi:— zostrojí sa rnatica # prian ych priechodností (k. m)-pólu,— urobí sa (p - l)-násobný súčin matice čím sa získá matiea íř, z ktorej

možno potom priamo pomocou vzťahov (4.14) písať výstupné funkcie pre stanove­né vstupné a výstupné póly.

V našom příklade pre maticu '■§ danú v (4.19) móžeme písať í? - 'ír4 1 == = <S . tŠ . <S:

.? =

I Xt i ,

Jf] I i jXj ,v-, f0 Xj X*

0x2

x4I

I>•1í l<1

XjX?

Jflv,IA'4

0

X*1

IV| XI 0

1XjXi

V,í;iIV4

0X2x41

(4.23)I Xi + i 3 + X 2X4 x, + i j + x2.ť* X ,X ; + í | X j + X;,X4 + X 3X 1

Xi + x} + x 2x t I X i + X 2X 4 Xj + X } X 4

X] + + X2X4 X i + X 2X 4 1 Xt + X jX 2Xt Xj + XjX4 + X2 + X i X i Xj + X 3Xj 1

+ Í3 X 4 + XyX2

Všimnime si, že prvky matice & (4.23) vyšli rovnako ako vo vzťahoch (4.21), Ak vezmeme do úvahy, že vstupný uzol je v našom, příklade uzol 0 a výstupný uzol 2, tak podfa (4.14) s předpokládanou hodnotou X = I móžeme písať:

y = X iXz + X , * 4 + X jX iX a + X ,X iX i

Ukázali sme, aká je súvislosť medzi strukturami a výrazmi. Při sieťach s normálnou strukturou možno analýzu jednoducho urobiť tak, že sa nájde systém výrazov, ktoré zodpovedajú danej sieti, Pri hradlových sieťach je vzťah medzi

Page 111: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

síeťou a výrazmi vyjádřený prostřed níctvom štruktúmych vzor co v, Pri mostíkovýeh hradlových sieťach nie je priamy vzťah medzi výrazom a sieťou. Ako matematický model, ktorý opisuje sieť v tomto případe, možno použit booJovskú maticu cš a transformáciou na maticu ,'íF realizovať analýzu,

4.4 Ú L O H Y N A R IE Š E N IE

1. Vo formě tabulky a mapy zapište B-funkcie realizované sieťou na obr. 4.1 í .

2. Urobte analýzu logickej siete na obr. 4.22.3. Vytvořte logickú sieť s normál no u štruktúrou, ktorá realizuje B-funkcie

vyjádřené výrazmi;aj >>, = JÍ1Í 2Í 3 + XjX2Xj + x2XjX* + x 2X)X4

V2 - JTjX, + *2*1*4 + l i i j- íiVí = Í 3Í4 + XiX^X* + X i-fj x3

h> y = f *2 i ( * i i X})\ i (x, l X2 1 f j )c) y = [x2 f (x, 1 ij ) j f (x , f v2 f ,í4)d) y = U j J fi , j í ) jjřijr2jf44. Vytvořte sériovo-paralelný hradlový dvojpól pre výraz X i( iž + x} )x4.5. a) Vytvořte maticu r~Š a ,íř pre příklad 4,

b) Vytvořte maticu (S a ,? pre hradlový sieť na obr, 4.21,V obidvoch prípadoch nájdite strukturně vzorce.

Page 112: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

5 MINIMALIZÁCIA NORMÁLNYCH FORIEMVÝRAZOV

5.1 Z Á K L A D N É PO JM Y

Pri opíše princípov syntézy sielí sme už pověda li, že vzhfadom na zložitosť štruktúry siete sa třeba zaoberat minimálnymi výrazmi. V kapitole 4 sme ukázalí, ze významné miesto pri syntéze majú DNF a že pozná niť metodiky vyhíadávania ■'imimálnych DNF (kap. 3) postačuje pre tvorbu minimálnych normálnych tonem 'ubuvofného typu

Proces vyhíadávania minimálnej skupiny DNF (alebo KNF) a tým aj ostatných podradených NF g jg i pre zadaný systém B-funkcií, nazývame minimalizácia normálnych foriem výrazov alebo krátko minimalizácia.

Z metodického hradiska je dóležité rozlišovat' dva přístupy k minimalizácii, vzťahujúce sa na počet funkcii v zadanom systéme B-funkcií. Za základný z týchto ? "iMupov považujeme taký postup minimalizácie, ktorý sa vztahuje na jednu /adanú) B-funkciu / (alebo na výrazy zodpovedá júce jedinej zadanej B-funkcii).

'"icíom lakejto minima lizácie je nájsť aspoň jednu minirnálnu NF ktorázodpovedá funkcii f.

Druhý přístup k minimalizácii sa vztahuje na zadaný systém B-funkcii /„ .? = 1, 2, m), kde m> 1. Pretože eiefom takého to přístupu je nájsť minirnálnu -lupinu NF fh/'íl2' hovoříme o skupinové; minimalizácii alebo o minimalizácii '> stemu normálnych foriem výrazov, Obidva takéto přístupy, aj keď majú společné ;-ty, považujeme za samostatné problémy, a tak ich aj opíšeme, Najskór opíšeme "ínimalízačné metody, ktoré sa vzťahujú na jedinú B-funkciu.

Skór ako začneme, vrářme sa k myšlienkam, ktoré sa lýkajú pojmu minimalíty. Ako sme naznačili, pojem mínimality sa týka struktury výrazov, pre ktoré v procese syntézy vytvárame logickú stel, a teda ide aj o minimaiizáeiu struktury siete, ktorá zodpovedá týmto výrazom, Z toho potom vyplývá, že výsledok procesu minímali- •jj:e třeba kvalitativně a kvantitativné hodnotit’. Na to potřebujeme určité kritéria,* ‘ re budú túto „minímalitu“ vyjadřovat’ formálně, Takéto kritéria nazveme % Henami minimality, všeobecnejšie kritéria mi optima lity. V ďalšom ich budeme :ítir.ovať (pokiaT neuvedieme inak) a via za ť iba na DNF niektorej B-funkcie /, Tvorba kritérií vychádza zo struktury výrazov v DNF a hodnoty týchto kritérií r vm určitým spósobom hodnotia aj logickú sieť, ktorá prislucha týmto výrazom.

Definícia 5.1.L Nech výraz % = x„ x,7 ... í/j «5* I je term y,. Potom číslo u

-i?'.eme ra'doro termu T, alebo dížkou termu Tr

Page 113: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

116 Minimaiizácia mnmnlnych foriem výrazov

2, Nech T, mi T2 g2 ... T(1, íj 5=1 je normálna forma kde T,, T2 , T„ sú navzájom rožne termy. Potom číslo v nazveme dfžkou normálně j formy.

Pre DNF za vedieme potom tieto kritéria optima lity;a) Pre v > 1

ht = v - q + \ (5.1)

kde q je počet všetkých termov 1. rádu. ktoré sa v nej nachádzajú.b) Pre i* = 1

h ,- v - q (5.2)

Hodnota kritéria h, určuje počet logických členov v logické j sieti. ktorá zodpovedá danej DNF. Předpokládá sa přitom, že každý elementámy súčin (term) v DNF, ako aj logický súčet termov DNF, sa realizuje právě jedným logickým členem, S tým to predpokladom pracujií aj ďalšie kritéria.

c) Uvedené nám umožňuje definovat aj kritérium, ktoré určuje realizační! cenu logickej siete

^ = (5.2a)

kde C je koeficient úměrný realizačnej cene í-teho logického člena v sieti; Q 35(1.d) Ak nie sú k dispozícii komplementárne premenné, často nás za u jímá,

koíko invertorov třeba zařadit' pred dvojstupňová sieť, ktorá zodpovedá východis- kovej DNF. Tento počet určuje kritérium h2

hj — r (5,3)

kde r je počet všetkých navzájom róznych negovaných premenných v DNF.e) Pri programovatelných logických poliach nás móže zaujímat' kritérium

K = (5.3a)

kde r, je počet všetkých navzájom róznych premenných vystupujúcich v DNF.f) Pre DNF s dížkou v > 1

= + «, (5.4)ř->

ykde ^ Mi představuje súčet rádo v všetkých termov vyskytujúcich sa v DNF, Vzťah

,-i

určuje aj hodnotu kritéria, ktoré určuje počet všetkých písmen v DNF.

Page 114: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

g) Pie D N F s dlžkou i> = 1

h3 ~ k - q (5,5)

h; = k (5,5 a)

kde k je rád jediného termu v danej DNF. Kritérium hy určuje po čeř vsíupov vo vktkých stupňoch logickej siete, ktorá zodpovedá danej DNF.

Predpokladajme napr, tuto DNF: xtx2 + x3 + í,.¥2x, + íj,f4, Pre túro formumožeme vypočítat":

fy, =4 - I + I =4 h l~ h t ak C = 1 h 2 = 3

h ‘2 = 4

h3 = 4 -1 + 2 + 1 + 3 + 2=11.

Uvedené kritéria nie sú na%'zájom absolutné nezávislé. Prax ukazuje, že ak minimalizujeme DNF vzhfadom na kritérium h, (robia to skoro všetky minimali- začné metody), móže to znamenat: aj súčasné zníženie hodnoty h> (príp. ň2),

Z uvedených kritérií možno fahko odvodit' aj kritériá pre iné NF g,!gt. Rózne kritéria, s ktorými sa možeme stretnúf, vypiývajú najmi z technických a ekonomic­kých charakteristik fyzikálnych systémov, ktorými realizujeme logické funkcie.

Kritéria, ktoré sa vzťahujú na systém B-funkcií /,, , fm, (m > 1), uvedieme při skupinové] minimalizácii.

Připomeňme ešte, že v ďalšom výklade budeme (pokiaí ne uvedieme inak) chápat minimálnu normálou formu gjlg2 tak, ako sme to uvíedfi v kap. 3:

Minim áina normálna forma gxig2 je taká, ktorá obsahuje najmenší počet písmen zo všetkých normálnych foriem giig2, zodpovedajúcich danej funkcii.

Pri opise minimalizačných metód sa budeme zaoberaf zváěsa len disjunktívny- mi normáinymi formami, z ktorých možno odvodit ostatné NF,

5.2 M IN IM A L IZ A C I A PO M O CO U M A PO V ÝC H Z Á P ISO V FU N K C IÍ

Velmi názorným pros tried kom minima!izácie je logická mapa. najma Karna- ughova mapa, ktorá sme spolu s potřebnými pojmami definovali v 2, kapitole. Išlo predovšetkým o pojem Hammmgovej vzdialenosti vektorov, ktorý súvisí s geomet­rickou susednosfou štvorčekov v mape, pojem s-telesa v n-rozmernom booJov- skom priestore, ktoré tu v súvislosti s mapou budeme nazývať pravidelná konfigu- ráda stupňa s (uviedlí sme aj pojem implikant). Tieto pojmy a ďalsie, ktoré počas výkladu zavedieme, sú prí minimalizácii dóležité. Prí práci s mapou budeme přitom

Page 115: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Minimaiizácia normáhrych forietn výrazov

často namiesto pojmu vektor alebo bod používat’ názov SfvorčeJí map/, čím však rozum je me nicktorý bod boolovského priestoru oboru B-funkcie.

Definicia 5.2.1. Konfiguráciou v mape pre n premenných rozum ieme lubovornú množinu

štvorčekov v mape.2. Pravírfe/ríou konfiguráciou K v mape pre n premenných, t.j, pravidelnou

konfiguráciou v obore (0 ,1)" B-funkcíe, nazývame konfiguráciu v mape, ktorá má tieto vlastnosti:

a) Obsahuje právě 2' štvorčekov, príčom s nazýváme stupňom pravidelnej konfigurácie K ; .v sS ti,

b) Ku každému štvorčeku v K, ktorá je .t-řého stupňa, existuje právě s s ním susediacich štvorčekov.

Uveďme příklad: Na obrázku 5, la sú v mape pre 4 premenné vyznačené4 pravidelné konfigurácie. Takéto konfigurácie sa vyznaěia obyčajne pomocou obrysov. Z definíeie susednosti políčok v mape vyplývá, že niektoré konfigurácie nie sú súvislé, teda ani obrysy nebudu súvislé. Například na obrázku je to konfigurácia K2, pričom z obrysu vyplývá, ktoré jej časti patria k sebe. Vid no, že K, je pravidelná konfigurácia 3, stupňa (obsahuje 23 štvorčekov), K2 je druhého stupňa, K., prvého stupňa a K* nultého stupňa. Pravidelná konfigurácia, ktorá by obsahovala celu mapu, by bola n-tého stupňa.

K»-I—.

i f lL_ Í J j

V x" K,

0 0 0 00 0 0 00 0 m 00 0 u 0

xt *ja b

Obr. 5,1. Pravidelné konfigurácie v mape

Všimnime si teraz vlastnosti pravidelných konfiguráeií. Fre každá pravidelnú konfiguráciu K stupňa s v mape pře n premenných platí; existuje (n - s) premenných xk, xhi .,,, takých, že vo všetkých štvorčekoch, obsiahnutých v K, majú tieto premenné konstantnú hodnotu 0 alebo I. Ostatné premenné majú v K hodnotu 0 aj I,

Tak například v obr. 5.1a pri konfiguráeií K, 3. stupňa existuje jedna ( “ 4 - 3) premenná, a to xu ktorá má v K, hodnotu 0; pri konfiguráeií Kj, ktorá je

Page 116: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

, stupňa, sú to 3 premenné, ktoré majú tieto konstantně hodnoty: x, = 1, jr: = l. t; = L Podobné pri K 2 2. stupňa sú to konstantně hodnoty xt = (). ť4 = 1.

Takéto premenné (ktoré v niektorej pravidelnej konfigurácii K nadobúdajú ». nštantnú hodnotu) budeme nazýval premenné priradené ku konfigurácii K, Ku *.i/dcj pravidelnej konfigurácii K s-tého stupňa přiřadíme určitý elementárny šučin xitxb...xu_,, kde xíp .... sú právě tie premenné, ktoré sú priradené danej konfigurácii K a písmeno xi( je xlt (alebo í ťj) právě vtedy, ak premenná a,, má \ K konštantnů hodnotu I (alebo 0),

V případe, keď n = s, přiradíme konfigurácii K o-tého stupňa konštantnů hodnotu 1 (je to trivíálny případ elementárneho súčinu).

V zhode s definíciou 5.1 budeme hovořit že elementárny súčin je fc-teho rádu, ktorý sa rovná počtu písmen v elementárnom súčine. Pravidelnej konfigurácii K s-tého stupňa poradujeme elementárny súčin ( k = n - s)-tého rádu.

V příklade podlá obr. 5.1a možno elementárne súčiny přiřadit' takto: ku K., súčin Jt,jĚiX5.ř4 4. rádu, ku K 3 súčin x,x2,íj 3. rádu, ku K 2 súčin x2x* 2. rádu, ku K, súčin xx 1, rádu.

Podobným spósobom možno každej pravidelnej konfigurácii K s-tého stupňa přiřadit' istý elementárny súčet xh + *,,+ + kde jt<(, xi}, sú právě tiepremenné, ktoré sú priradené danej konfigurácii K a písmeno i,v je x,t (alebo xír) právě vtedy, ak premenná jcÍ( má v K konštantnů hodnotu I (alebo O, opačné ako vyššie). V případe, keď n =s, přiřadíme konfigurácii K n-tého stupňa konstantu0 (je to triviálny případ elementárneho súčtu).

Potom v našom příklade konfigurácii K 5 možno přiradit’ elementárny súčet x, + x2 + x3.

Pre ďalšíe potřeby bude vhodné, ak sí pripomeníeme, že s pojmom pravidelná konfigurácia sme sa už střetli v stati 2.3.2 (kde sme o nej hovořili akoo s-rozmernej subkocke alebo o s-telese). Povedali sme, že pravidelnej konfigurá­cii s-tého stupňa patří právě taká množina bodov funkde, kiorú možno opísať neúplným vektorom, obsahujúcim právě * nedefinovaných miest. Například neúpl­nému vektoru x()xl patří množina bodov {0001, 0011, 1001, I0 II}. Z toho potom vyplývá,že aj pravidelnú konfiguráciu možno opísať neúplným vektorom, o ktorom móžeme povedaf, že je obrazom elementárneho súčinu priradeného ku konfigurá­cii. Konfigurácii leda poradujeme neúplný vektor.

V našom příklade podfa obr. 5.1a možno priradiť jednotlivým konfiguráciám neúplné vektory ÍC*: 1000; K 3: I I Ix ; K3: xOxI; K *: Oxxx, ktoré sú obrazmi vyišie stvořených elementárnych súčinov.

Analogicky možno zaviesť aj pojem neúplného vektora na vyjadrenie elemen­tárneho súčtu priradeného konfigurácii. Od predchádzajúceho by sa lišil len v tom, že na mieste xj, = xfí v elementárnom súčte bude vo vektore 0 na mieste x(l — xtl bude vo vektore I. Premenné, ktoré chýbajú v elementárnom súčte, budú vo vektore nakradené symbolem x.

Page 117: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Základnou vlastnosťou elementárneho súčinu (alebo súčtu), ktorý sme přiradi­li konfigurácii K, je to, že mu zodpovedá B-funkcia nadobúdajú ca hodnotu 1 (alebo 0) právě v tých bodoch, ktorým zodpovedajú štvorčeky v konfigurácii K.

V našom příklade sme konfigurácii K3 přiřadili súčin x x7xy. Tomuto výrazu zodpovedá B-funkcia zapisa ná v mape na obr. 5.1b.

Ďalej móžeme pověda ť. že pojem pravidelnej konfigurácie možno chápat’ aj takto: je to konfigurácia K v mape, pre ktorú existuje určitý elementárny súčin premenných (k nej priradený) s takouto vlastnosťou: v K nadobúda hodnotu 1. mimo K hodnotu 0,

Uvedené poznatky sú vzMadom na minimalizáciu velmi dóležité, lebo geomet­rický obrazec, ktorý tvoří konfigurácia, umožňuje vizuálně (t.j, poměrné rychlo) hfadať elementárne súčiny vzťahujúcc sa na B-funkciu, zapisanú v mape. To nás (podfa podkap. 2,5) privádza na myšlienku rozložit' B-funkciu na súčet čiastkových funkcií gt, g2, ... takých, že tieto funkcie tvoria súbor implikantov zadanéj funkcie /. Tento súbor musí mať tú vlastnosť, že každý bod funkcie /, v ktorom táto funkcia nadobúda hodnotu I, je pokrytý aspoň jedným implikantom tohto súboru. Ak sa podaří nájsť implikanty, ktoré zodpovedajú elementárnym súčinom, tak najdeme DNF zodpovedájúcu danej funkcií /, Toto vo všeobecnosti představuje návod, podlá ktorého možno hfadať disjunktně normálně formy, Pretože hovořímeo minimalizácii, budu nás z DNF zaujímat predovšetkým irrcdundantné a minimál­ně DNF, a preto budeme hfadať prosté implikanty.

Skór ako budeme pokračoval uveďme názorný příklad. Na obrázku 5.2a je daná B-funkcia /. Funkcie gt, g2 na obr. 5.2b, c sú zrejme jej implikanty, pre ktoré platí, že každý jednotkový bod funkcie / (t, j. bod, v ktorom / nadobúda hodnotu I) je pokrytý aspoň jedným z uvedených implikantov. Pod Ta vety 2,1 možno teda písať f= g l + g2. Ku konfigurácii K, v mape pre fih možno přiřadit' element, ny súčin x,, ku konfigurácii K 2 v mape pre g2 možno přiradit' clementárny súčin x?x%. Pretože tieto súčiny určujú hodnoty funkcií g} a g 2

f = xt + x2x 3

pričom výraz už zodpovedá DNF.

* ř

0 0 I I0 I I ] I

a

0 0 í ] l)0 0 11 l i

b

0 0 0 [ 00 ( I i f 0

K,

C

Page 118: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Z uvedeného vyplývá, že pre zakreslovanic konfiguráeií, ktorým priradené elementárne súčiny zodpovedajú implikantom, netřeba kreslit' ďalšie mapy, ale ich možno vyznačovat" priamo v mape pre funkciu /.

V súlade s kap, 2 možno povedaf, že uvedený rozklad funkcie f na súčet implikanlov možno urobit' aj pre neúplnú funkciu, pri&mi sa zameriavame na funkcie, ktoré sú rovnocenné s /. Vieme, že funkcia /,, ktorá je rovnocenná s f, túto funkciu dourčuje v tých bodoch, v ktorých je f nedefinovaná, a ďalej, ak f je nedefinovaná v k bodoch, tak takých rovnocenných funkcií je právě 2k. Z nich nás bude zaujímat' iba tá funkcia, ktorá vyhovuje nasledujúcej požiadavke.

Majme niektorú neúplnú funkciu /. Za minimálnu normálnu formu #5/fř3 tejto funkcie najčastejšie považujeme takú NF §tig2. ktorá má najmenší počet písmen (kritérium h l ) 7.0 všetkých NF gsig2 všetkých B-íunkcií rovnocenných s danou funkciou /.

Ukážme zmysel tejto požiadavky na příklade. Nech je daná neúplná funkcia f mapou na obr, 5.3a. Dve funkcie s ňou rovnocenné /; a /; (zo štyroch možných) sú na obr. 5.3b, c. Možno ukázat", že minimáina DNF funkcie f\ je í, . í2 + .vsíj a minimáina DNF funkcie f" je x2x% + xtx2x3. Druhá z nich má váčší počet písmen, nevyhovuje nasej požiadavke, pre to jo nemóžeme považovat’ za minimálnu DNF funkcie /, Podrobnejšou analýzou aj vzhfadom na dalšie dve neuvedené rovnocen­né funkcie sa možno presvedčiť, že MDNP funkcie / je MDNF rovnoccnnej funkcie fí-

*2

Jt t I 0t 0 X 0

I 1 t 0I 0 0 Q

0 1 1 T l i l i 00I 0 0

b

Obr, 5. J. Rovnocenné funkcie

V praktickom procese minimalizácie však postačuje zaoberať sa len takými metodami vyhTadávania MDNF pre neúplné funkcie, ktoré neštudujú všetky rovnocenné funkcie, ale ktoré vychádzajú priamo z neúplnej funkcie a ich výsledkem získáme MDNF v zmysle stanovenej požiadavky,

Pri opise metod minimalizácie budeme v dalšom pracovat' so všeobecnejšími, rozšířenými B-funkciami (kap, 2), ktoré zahřňajú aj neúplné funkcie, V speciál- nom případe neúplnej funkcie budu výhrada né M DNF spíňať vyššie uvedenu podmienku. Pre jednoduchost budeme často přívlastek „rozšířená11 pri funkciách vynechávat

Page 119: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Dóležilosť pojmu implikant sme už zdórazntli. Poznamenajme cfalej, že množina všetkých implikantov niektorej funkcie / je konečná a v tejto množině majú významná úlohu prosté implikanty.

Definícia 5,3. Nech je daná rozšířená B-funkeia /(jtt! i 2, .... x„) n premen­ných. Potom prošlým implikantom pri tejto funkcii nazveme niektorý elementárny súčin jčj, -ijj,.... . i i4, IcSsl, ktorý má tieto vlastnosti:

— je implikantom funkcie / (funkcia, ktorá zodpovedá tomuto súčinu, je implikantom /),

— žiadna jeho vlastná časť nie je implikantom funkcie /.Vlas tnou čm$(o ti nazývame elementárny súčin, ktorý vznikne odobratím

fubovofného počtu písmen z u. Ak u=Xi, i = I, 2, n, tak vlastnou časťou je potom konitanta I.

Vofnejšie móžeme túto definíciu vysloví ť aj takto: prostý implikant funkcie f je taký implikant, ktorý vynecháním aj jedného písmena přestane byf implikantom funkcie /.

Uveďme příklad podfa obr. 5,4, Například súčiny jr,íj, x2 vóbec nie sú implikanty danej funkcie. Súčin x2Xs je prostým implikantom danej funkcie, právě lak ako súčin x,,ale súčin í , i 2 nie je prostým implikantom, hod je implikantom.

Obr. 5.4. Příklad pre pojem prostý implikant

Naznačme teraz pravidelné konfigurácie zodpovedajúce týmto prostým impli­kantom tak, ako sme to urobili, na obr. 5,4. To nám umožní znázornit velmi dóležitú vlastnosť prostého implikantu vzhfadom na pravidelná konfiguráciu, ktorej zodpovedá.

Móžeme formuloval nasledujúce tvrdenie:Nech K je pravidelná konfigurácia, ktorá zodpovedá prostému implikantu pri

danej funkcii /(*,, x2, ..., xn). Potom v mapovom zápise funkde / neexistuje pravidelná konfigurácia K' vyššieho stupňa v množině jednotkových a nedefinova­ných bodov funkde /, ktorá by zahrňala v sebe konfiguráciu K. To vyplývá priamo z toho, že vlastným častiam elementárneho súčinu u zodpovedajú právě všetky možné pravidelné konfiguráde vyššieho stupňa, ktoré v sebe zahfňajú konfiguráciu K, zodpovedajúcu u.

Page 120: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Z uvedeného tiež vyplývá, že prostému implikantu v mape pre n premenných zodpovedá pravidelná konfigurácia, ktorá má v urči lom zmysle mjvyšší možný stupeň v množině jednotkových a nedefinovaných bodov funkcie /. ale aj to, že nemóže byť zahrnutá do konfigurácie vyššieho stupňa v množině jednotkových2 nedefinovaných bodov funkcie /. V našom příklade podfa obr. 5,4 potom konfigurácia Kj nezodpovedá prostému imptikantu vzhfadom na konfiguráciu K ř, ktorá mu už zodpovedá.

Uvedené tvrdenie však neznamená, že niektoré jednotkové body, ktoré pokrývá příslušný prostý implikant, nemažu byť pokryté aj íným prostým implikan­tom ; móže teda existovat' pravidelná konfigurácia K r vyššieho stupňa, ktorá v sebe nezahřňa konfiguráciu K (i*= j) a zodpovedá niektorénui i né mu prostému impli­kantu, Tak například v našom příklade podfa obr. 5.4 bod 010 funkcie pokrývají! implikanty x2i 3 a i,. Zodpovedajú im konfigurácie K,. K; najvyššieho možného stupňa v množině jednotkových a nedefinovaných bodov funkcie a my móžeme povedať, že bod 010 je zahrnutý do dvoch konfiguráeií naj vyššieho možného stupňa v už uvedenom zmysle. A j keď takéto konfigurácie móžu mať rozny stupeň, v týchto prípadoch je příslušný bod zahrnutý do konfigurácie najvyššieho možného s tupna.

Význam prostého implikantu vzhfadom na minimalizáciu určuje nasledujúcaveta.

Vela 5.1. Termy každej irredundantnej, a teda aj minimálně] DNF pre zadanú rozšířenu funkciu /, sú prosté implikanty.

Dokaž: Urobíme ho sporom, Nech existuje irredundatná DNF pre funkciu kto rá obsahuje v sebe term u (etementárny súčin). Term u nie je prostým implikantom funkcie /. Podía defínície 5.3 potom existuje vlastná časť u' termu «, ktorá je implikantom funkcie /, a teda u' pokrývá všetky tie body funkcie, ktoré pokrývá aj u. Z toho priamo vyplývá, že v danej DNF možno term u nahradit' termom u’. Dostaneme tak DNF ekvivalentnú s póvodnou DNF, avšak obsahuje menší počet písmen. Z toho však vyplývá, že póvodnú DNF možno ešte redukovat, lebo obsahuje písmena navýše. To je však rozpor s tým, že táto forma je irredundantná a veta 5.1 je teda dokázaná.

Ak teda chceme nájsť irredundantnú, připadne minimálnu DNF niektorej funkcie /, tak vzhfadom na vetu 5.1 třeba riešiť základnu úlohu, ktorou je vyhfadanie prostých implikantov funkcie /. Túto úlohu možno riešiť tak, že sa nájde súbor prostých implikantov funkcie /, ktorý má túto vlastnost: každý jednotkový bod funkcie je pokrytý aspoň jedným implikantom z daného súboru. a přitom žiaden prostý implikant v súbore nie je z tohto hradiska nadbytočný (t.j, ani jeden prostý implikant nemožno zo súboru vybrat bez toho, aby sa porušila požadovaná vlastnosti pokrytia). Potom súčet prostých implikantov také ho to súboru tvoří irredundantnú DNF.

Úloha vyhladávania všetkých irredundantných DNF si vyžiada obyčajne nájsť

Page 121: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

všetky možné prosté implikanty disjunktně] normálně] formy- To možno urobit' pre menší počet logických premenných i v mape, a to takto: Pre všetky jednotlivé jednotkové (!) body zadanéj funkcie / sa postupné nájdu všetky možné pravidelné konfigurácie najvyŠŠieho možného stupňa v množině jednotkových a neurčených bodov. Tým to konfigurácia m sa potom priradia elementárne súčiny, ktoré předsta­vují všetky prosté implikanty danej funkcie /. Proces takéhoto vyhTadávania sa zakladá na vizuálně] představě, pre to třeba postupovat’ systematicky a v zbode s definíciami tak, aby sme sa přitom nedopustili chyby.

Na odrážku 5.5 je zadaná funkcia f(x}, x2, x3t x*). Možno zistif, že množina všetkých prostých implikantov tejto funkcie je {iiX*, x%x4, XiXjx*, i 2x4, i ix 2x3, ijXjX*}.

IX

(t i ' \

IT

- x,xt-K3xt Kj

Z.

Obr. 5.5. Prosté implikanty funkcie

Existujú aj iné metody na vyhfadávanie všetkých prostých implikantov, Z nich nás budú zaujímal predovšetkým algoritmické metody, ktoré uvedieme neskór.

Ak už teda máme množinu všetkých prostých implikantov funkcie /, možno riešiť problém vyhfadania irredundantných DNF funkcie f. Pre váčší počet premenných je to vefmi ťažká úloha aj pri počítá čovom spracovaní, avšak pre malý počet premenných a s určitým praktickým zácvikom ho možno relatívne fahko riešiť pomocou mapového zápisu,

Pri hladaní irredundantných foriem třeba z množiny všetkých prostých impli­kantov vybrat' len tie, ktoré netvoria nad by točný súbor implikantov v zhode s vyšsie uvedeným prinrípom a požiadavkou pokrytia všetkých jednotkových bodov funk­cie. To urobíme v mape výberom konfigurácii {ktoré zodpovedajú prostým implikantom) tak, aby do vybratých konfigurácii bol i zahrnuté všetky jednotkové body a aby ani jedna konfigurácia vo výbere nebola vzhfadom na pokrytie nadbytečná.

Page 122: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Ak sa medzi konfiguráciami nachádza íaká, ktorá ako jediná zahrňa niektorý jednotkový bod, tak táto konfigurácia bude v našom výbere, Súčin zodpovedájúci;ejto konfigurácii potom bude v každej irredundantnej DNF,

V našom příklade (funkcie z obr. 5.5) bude takouto konfiguráciou konfigurá­cia K2 (vzhfadom na bod IIOI funkcie), preto ju zahrniemc do každého vyberu. Na

ÍTA/ku 5.6 sú tri možné výběry ne nad by točných konfigurácii k funkcii na■ hr. 5 5. Prvý vyber na obr. 5.6a, v ktorom vzhfadom na nadbytečnost nebudu

\ -nřicurácíe K, a K*, vytvoří irredundantnú DNF

/ = jč3 jr4 + x 2í 4 + 11 X i .v, ( 5 .6 )

Druhý vyber, pří ktorom sa ako nadby točné ukázali konfigurácie K, a Kj, vytvoří irredundantnú DNF7

f = í 3 x* + x2 x 3 + x , x2 x3 + i , x, x4 (5.7)

Pře výher na obr, 5.6c možno potom písať

/ = x3xi + x2Xi + x]x2xi + jfiA'.iÍ4 (5.8)

T > 1 1 0 0 IXt

Í1 o I 0 rr*4 II I ■ Ij rn""lir ta

0 T] 0 c *1 í0 rr ! o 01s I] .J,1c ■x í1 0 X

rn1c n |ri

T^TfT0

k0 0

T T i 0 X

Obr. 5.6. Výhery ncníidbytočnyťh ki>nfigurm‘ii

Uvedeným postupom, ktorý sme ilustrovali na příklade vyhfadávania irredun-dantných DNF, možno pomocou mapového zápisu pří troche skúseností a malom počte premenných danej funkcie / nájsť také irredundantné DNF, z ktorých možno podFa stanoveného kritéria (najčastejšie najmenší počet písmen) vybrat minirnálnu DNF funkcie /, Například pře irredundantné D N F (5.6) až (5.8) vypočítajme pomocou vzťahov (5.1) až (5.5) hodnoty kritérií mínimalizácie. Pre (5.6) /», = 4. li, = 4 , /,J= |0, h'í — 7 ; pre (5.7) h, = 5, h2 = 4, hj = 14, h's~ 10; pre (5.8) Ir, = 5, h, = 4, li, = 14, h i- 10,

Page 123: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Ako vid no. vzhladom na hodnoty kriiérií h‘% možno formu (5.6) považoval za minimálnu DNF danej funkcie. Vytvoří totiž dvojstupňový logickú sieť, ktorá bude obsahovat' najmenší počet logických cle nov (/?,) aj najmensí počet všetkých vstupných kanálov (h ,}. Hodnota kritéria h: je v tomto přiklade rovnaká pre všetky určené DNF,

Metodu vyhfadávansa minimálnej DNF v mape nemožno považovat za algoritmickú metodu, Ako sme ukázalí, metoda sa zakladá na určitej zručnosti a možno ju použit len pre U-- Kde s menším počtom premenných. Preto vznikli aj ďalšie metody, ktoré umo. mjú algoritmické riešenie procesu minimalizácie. Základom takých to metod ie Quinova - McCIuskyho metoda, ktorú uvedieme ďalej.

5,3 QUINOVA - McCl.USKYHO METÓDA M IN IM A LIZÁ C IE

Minimaiizačná metoda, ktorej autorom je Quíne [13] a McCIuskv f 14) jej dalalgoritmickú podobu, umožiiujúcu počítačové spracovanie. sa realizuje v dvoch etapách: v prvej ctape sa nájdu všetky prosté implikanty a v druhej sa z nich vvberie nenadbytočny inir.imáltiy súbor prostých implikantov, z ktorých už možno vytvořit MDNF. Metoda sa aplikuje na rozšířené B-funkcie.

Quinova metoda vvhfadávania množiny prostých implikantov vychádza z upínej DNF B-funkcie. -Vk funkcia f je neúplná, tak ÚDNF zodpovedá rovno cennej funkcii. ktorá do určuje neurčené body funkcie / na jednotkové.

5.3.1 Vyt vore nie množin} prostých implikantov

V Quinovej metodě sa v procese minimalizácie algebraicky zjednodušuje ÚDNF danej funkcie. pričom sa uplatňujú zákony B-algebry výrazov (pod­kap. 3.3). v prvej etapě najma zákony spojenia. v druhej zákony absorpcie. Zákon spojenia si možno jednoducho představit aj takto:

kde .'i je lubovoírn vyraz a .v je premenná. Vztah (5.9) aplikujeme na mintermy základ nej ÚDNF aj na všetky možné dvojice súčinových výrazov, ktoré vzniká jú v procese úprav ÚDNF, Potom sa odstránia využitím zákonov absorpcie nadbytoí- né súčmové výrazy. Výsiedkom je množina všetkých prostých implikantov danej funkcie.

Berme do úvahy napr, funkeiu podfa obr. 5.7, V súlade s podkap. 3.3 jeÚDNF tejto funkcie sůčtom všetkých mintermov a je to táto forma:

■ ■:ÍX + "dx = -'4 (53)

f - x,x:xjx, i.XiXiXt + X ií2i 3í 4 +- Í;:X;A-;,Í.S - a +

Page 124: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

£1

I J 0 I0 I I 00 0 0 0I 1 0 I

Obr, 5.7.

Pod Ta (5,9) možno vytvoř if jednoduchšie výrazy:

1 1 ÍjX jÍ4 + Xl *2X3X4 = Xl-Xy.Ú (5,11)

iji- jljiU + XxXiXjXt. = Xi x2x3 (5.12)

XiXiXiXs + 1 !,¥2X3X4" x 2x jx 4 (5.13)

X! X2 XJ Xé + XIX2 Xj x* - x 1 x ,i4 (5.14)

X]X2ijÍ4 + Í 1Í 2X3X4 = x,x2i 4 (5.15)

X i X 2X i X 4 + X XX 2X J X A = x , x 2i 4 (5 .15)

iiXjiji-* + i j Jr2XjÍ4 = .řlx2Í4 (5.17)

XiiiX iXt + X] Í 2X3I 4 = x;xjÍ4 (5.18)

x, x2x3 x4 + x, x2 x} l 4 = x2 x 3X4 (5.19)

Takto vytvořené výrazy možno ešte v niektorých prípadoch ďaIcj zjednodušil Zapišme však len konečné zjednodušené výrazy vytvořené z dvojic pravých stráň vzťahov (5J I ) až (5.19) pre tte dvojíce, ktoré umožňujú zjednodušeni?:

(5.11), (5.14) :jř,.ř4 (5.20)

(5.15), (5.16): .Í2.Í4 (5.21)

(5.15), (5.17): xti 4 (5.22)

(5.18), (5.19): i 2xt (5,23)

'Jfilatnením zákona absorpcie možno vypustit'súčiny (5.11), (5.14) až (5.21). ktoré umožnili zjednodušenie, a pre tož e ďalsie zjednodušenie už nemožno uskutočniL 'jčiny. ktoré zodpovedajú pravým stranám vzťahov (5.12). (5.13), (5.22) a (5.23).1 j. výrazy x,x2xj, XiijX*, X1X4, x2í 4, sú hfadanými prostými implikantmi danejfunkcie.

Ako ukazuje příklad, uvedená metoda vyhfadávania prostých implikantov d a n e j funkcie je jednoduchá, ale už pre funkcie štyroch premenných móže byť dost

Page 125: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

neprehfadná, V praxi sa pre to takmer nepoužívá. Prehfadnej.šie' a systematicky v podobě algoritmu možno množinu prostých implikantov nájsť pomocou posfupu McCImkyho. Postup vychádza z ÚD N F danej rozšírenej B-funkcie. Vychádzame přitom z předpokladu (tak ako pri Quinovej metéde), že ide o ÚDNF rovnocennej funkcie, dourčujúeej neurčené body na jednotkové.

Jednotlivé mintermy ÚDNF v tomto postupe nebudeme zapisovat v podobě ek-rnentarnych súčinov premenných .V|Xř, . x„ danej funkcie .... xn). ale \ovektorovei (připadne číselnej. tndexovcj) podobě, a to tak, že mititcim nahiadime vektorom (bodom oboru funkcie), ktorý mu prislikha (připadne jeho indexům).

Berme do úvahy napr. B-funkciu zadanú takto: f = D(í), 2, 4, 5, 6, 8 , 10. ! 3). Je io tá istá funkcia ako na obr. 5.7, jej UD N F však určuje vzťah (5.10). Je t mintermy zapíšeme v podobě vektorov (jednotkových bodov) takto: OtHio Ciufii0100, oioi. ono, iooo, ioio, noi

Ďalši proces hfadania prostých implikantov sa vykonána už len v tejto pwdobevektorcA O pišm e teraz postup hfadania prostých implikamov.

1 Všetky vektory rozlriedime do skupin podía poctu jedmnick, a lo tak ic každá skupina bude obsahovat body s rovna kým počtom jednotíc k,

2. Skupiny vektorov zoradíme pod se ba, napr. podía stúpajůceho počtu jednotiek. Výhodné je však pripisať ku každému bodu index tohto bodu. Dostane­me tak prvý súpís, V našotn příklade je to tento súpts:

Index bodu bod0 0000 ]/ žíadoa jednotka

2 0010 V4 0100 [/ jedna jednotka 8 1000 /5 0101 l/6 0110 {/ dve jednotky

10 1010 i/

13 1101 / tri jednotky

Roztrsedenie bodov do skupin podfa počtu jednotiek \ nich nie je vzhradom na minimaiizádu podstatné, avšak zrychluje proces vyhfadávania možných sused- ných vektorov, Ako sme už definovali, susedné sú také dva vektory, ktorých Hammingova vzdialenosť d «= 1, teda v počte jednotiek (núl) sa lišía právě o jednu Pri hřadant susedných vektorov netřeba potom porovnávat' všetky možné dvojice súpisu, ale len tie. ktoré su v dvoch skupinách ležiacich pri sebe,

\' analogii s mapou zodpovedá vy tvore nie prvého súpisu zápisu funkcie dd mapy.

3, Postupné pře \šetky skupiny porovnáváme každý vektor jednej skupiny

Page 126: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

130 Mínimalmkia normáinych foricm výrazov

neúplné vektory, ktoré umožnili vytvořit' nový neúplný vektor, v 2. .súpise opat označíme znakom \j . V našom přiklade dostaneme tento 3, súpis:

0.2,0,2. |í A

4,68 J í ) i i.,

OxxOxOxO

0.&?..■■ ■jrW -—

V analogii s mapou představuje třetí súpis všetky možně pravidelně konfigurá- cie 2. stupňa, obsahujúce jednotkově body funkcie.

Vo všeobecnosti z 3. supisu hFadáme štvrtý. z něho pialy atď. a to (ak dJht>, kým existujú dvojice, ktoré umožňujú vytvořit' nový neuplnv vektor.

Všetky vektory, s ktorými pracujeme, sú tu obrazmi implikantov danej funkcie. Pre to každý vektor, ktorý sme označili znakom s/ pre každý súpis. nemusíme podfa zákonov absorpcie brat ďalej do úvahy a třeba ho zo súpisu vyiúciř. Právě lak třeba zákon absorpcie uplatnit aj na no v v vzniká júci vektor, ktorý je zhodný s niektorym už vytvořeným vektorom. Takýto vektor ďalej neberieme do úvahy a z příslušného súpisu ho vyškrtneme.

V našom přiklade je 3. súpis poslednvm možným súpisom. Pri je lni tvorbě sme označili niektoré vektory 2, súpisu. ktoré netřeba ďalej brat do úvahy, a ako vid no. posledné dva vektory 3. súpisu sú zhodné so skór vytvořenými vektormi. pre to ich ? tohto súpisu vyškrtneme (dva zhodné vektory poznáme íahko aj podfa toho. že množiny indexov bodov. ktorýrn tieto zhodné vektory zodpovedajú, obsahujú tie isté prvky).

5. V še t k y n e vyl učené a ne vyš krtnuté vekt o ry vo vš e t k ých súpisoch s ií o hra z rii 1 všetkých prostých implikantov danej funkcie. Tie možno vzhfadom na priradenie elementárneho súéinu a neúplného vektora jednoducho zapisaf priamo.

V našom přiklade sú to tieto prosté implikanty:

i jX : í , (z riadku 4, 5 OIOx 2. supisu)x; ,řa\s (z riadku 5. 13 xlOI 2. súpisu)iji. i (z riadku 0. 2, 4. 6 OxxO 2. supisu)I ; i 4 (z riadku 0. 2. 8, 10 xOxO 3. súpisu)

Množina prostých implikantov je zhodná s množinou, kroni sme vytvořili pre len istý příklad Quinovou metodou, pricom prehfadnosť druhého přístupu je evídentná.

Poznámka: DNF, ktorů zosiavime vu formě « ič tu všerkych p m ii jc h im p lik rin tm . m/wztr-.niekedi skrátená DNF.

Page 127: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

5.3.2 Problém pokrytia

Druhá etapa problému minimalizácie, ktorá sa vztahuje na obidva uvedené rnstupy, zakladá sa na vybere takých prostých implikantov z množiny všetkých prostých implikantov (vytvořených v prvej etape), z ktorých možno zostaviť aspoň ■ednu mínimáinu DNF danej funkcie ; t.j. třeba vybrat’ taký najmensí ne nad byt oé- n% súbor prostých implikantov najmenšieho rádu, ktorý pokrývá všetky jednotkové rvdy danej funkcie. Tento problém, ktorý představuje vážnu matcmatickú úlohu, nazývame často problém minimálneho pokrytia a možno sa s nim stretnúť aj pri mnohých iných úlohách. Na jeho riešenie sa najčastejšie používá tabulka pokrytia.

Tabufíca pokrytia je boolovská matiea, ktorej riadky prislúchajú prostým ^Tiplikantom a stípce jednotkovým bodom danej funkcie. Prvok tejto matice má hodnotu 1 právě vtedy, ak prostý žmplikant, zodpovedájúci i*remu riadku. pokrývá jednotkový bod v /-tom štipci. Formulujme to presnejšie takto:

Definícia 5.4. Nech {ti,, a2, ..., .... a,} je množina všetkých prostých implikantov nejakej rozsírenej funkcie / a b2, .... b,. ..., bn} je množina '.šeikých jednotkových bodov tejto funkcie. Potom fabufíca pokrytia je matiea

TP = (c1J)

kde c, = í právě vtedy, keď a, pokrývá b, ; v opačnom případe je = 0. Matiea má r riadkov a q stípcov.

Tabufka pokrytia pre nás příklad je na obr. 5.8. Pře váčšiu názornost sme k jednotlivým riadkom a stfpcom matice pripísali nielen implikanty a body danej r unkeíe, ale aj ďalšie údaje, ktoré sme brali do úvahy pri hTadaní prostých implikantov. Takáto podoba ta burky pokrytia sa používá bežne. Prí manuálnom nelení sa obyčajne vyneehávajú vzhfadom na prehíadnosť nuly.

INDEX SODU 0 I 4 s 6 8 10

JCI0

J3 _

1101BOD : 0000 0010 0100 010 i 0110 1000MINTERM ; ■*IXf*likř>íi*

ijT

ínmXřn1KIjT

••fIXliTX

ijT

X* IK* IX* 11?■“> 3*1 H*7VĚKTOR PROSTV

IMPLI-KANT

IMfmXIX

XK

IKťHIKX

IXM

4,5 OlOst 5, xjř, J 15,13 xIOJ 1 l

0,2,4,6 OxxO *1 ** 1 I t ]0,2,0,10 xOxO J I I I

Obr, 5 J , Tabufka pokrytia

Page 128: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Problém minimálncho pokrytia v tabufke pokrytia možno formulovat Třeba vybral taký súbor prostých implikantov A c a2, .... «p}. kiorv % *. podmienku úplnosti pokrytia (veta 2.1) a vytvoří minirnálnu DNF. Pi . . ■ úplnosti pokrytia sa v tabufke pokrytia prejavuje takto: V každom štipci u . ' ■ má vybratý súbor A aspoň jednu jednotku.

Vo všeobecnosti móže byť rozbor tabufky pokrytia, ktorého ciefom \t minirnálnu DNF, velmi rozsiahly, a pre to ireba poznat určité systematické po . - ktoré zmenšujú možnost' vzniku subjektivných chyb a dávajú záruku, že sa všetky irredundantné DNF, z ktorých sa vyberu minimálně.

Systematický postup, ktorý určí všetky irredundantné DNF, vypracov rick |15j. Petrick definoval funkciu danú výrazom (5.24)

JŽ(«,, fí: , = (a,ch + (ijC?, + tipCp, }j = !

kde fl označuje logický súčin, symbol + představuje logický stičei. ■ c symboly boli zavedené v definici! 5.4.

Třeba si uvědomit", že a,, a2t ap tu vystupujú ako meriá přeme- • ."i (ktorýmt sme pomenovali prosté implikanty). Z toho vyplývá, že po do' . ■ konkrétných konštánt <„ (0 alebo 1) a po úpravě výrazu íi; .představuje výraz (5.24) konjuktívnu NF, ktorá je algebraickou podobou t pokrytia.

Zoberme do úvahy tabulku pokrytia z obr. 5.9a, vytvořenu pre funkci, xj, r , ) - D ( l, 3, 4, 5, 6). Ak jednotlivé implikanty označíme zhora dolu s>ri '* au a2, a*, í/i , móžeme podfa (5.24) pisať

'?'(«!, th, tu) = (fli + u2) . (a ,), (a i + at) . (a2 + tf.0, (a4) i

i 3 i. 5 6

0 ,*1 *1 [ 1

O ř se j I t

Q , J í , 9 ; I I

a 4 Smě, K j ]rL

b , t > í b j

O l [ 1 1

a , I1

o b

Obr. 5.9.

Výraz (5.24) je výrokovou formulou, ktorá vyjadřuje podmienku nplmm pokrytia, a to v nasledujúcom zmysle: Vezmime napr. výraz (5.25). Tent . nadobúda hodnotu I napr. pri vektore 0 11 hodnot premenných a: . ah *,

Page 129: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

7n;:mená to, že súbor prošlých implikantov {<i,, a}, «.», tn} spíňa podmienku ,:'inosEÍ pokrytia.

Petrick dokázat, že každý prosty implikant funkcie xodpovedajúcej výrazui 5.24), klory bude mať tvar elementárne ho súčinu písmen zo súboru {o,. a2. a3, .... «- . zobrazuje jedno irredundantné pokrytia, t.j. jeden výher nenadbytočného- : 'w u prostých implikantov z množiny implikantov {«,, u2, ,,,, flp}, ktorý spíňa •Mjmienku úplnosti pokrytia. Množinu všetkých prostých implikantov, leda aj skrátenú DNF, dostaneme z KNF (5.24) jednoduchou trans form áciou. Vyehádza- me přitom z tvrdenía Nelsona (1955), že úpravou íubovolnej KNF akejkofvek óolnej B-funkcie f na ekvivalentnú DNF, a to „roznásobením“ súčinu súčtov (t.j. " likováním distributívnych zákonov) a odstraněním všetkých súčinov obsíahnu-

(vch v iných súčinoch (podfa zákona absorpcie), dostaneme právě skrátenú DNF, t. j. množinu všetkých prostých implikantov funkcie /.

Tak například pre odvodenú Petříčkovu KNF (5.25) budeme postupovat' takto i

Najskor urobíme roznásobenie

91. - a l a 2a 3ů4 + a tii2a t + + íjtťjjflja.,

Aplikujeme zákon absorpcie, t.j, odstránme súčiny nachádzajúce sa v iných súčinoch; vzniká tak ekvivalentná DNF, ktorá je skrátenou DNF

% = íí ] rt 2 íl * + f l,« JÍÍ4 (5 ,2 6 )

Toto je už hfadaná skrátená DNF funkcie vyjadrenej výrazom 3?(a,, rí*. ííj, «<),v ktorej sú všetky jej prosté implikanty. Túto DNF nazývame aj DNF Petrickovej funkcie.

Ako sme povedali, každý prostý implikant DNF Petrickovej funkcie předsta­vuje jeden výběr ne nadbytečného súboru prostých implikantov póvodnej funkcie /, pričom tento súbor pokrývá všetky jednotkové body funkcie /. Každý prostý implikant skrálenej DNF Petrickovej funkcie % teda představuje jednu irredun­dantnú DNF funkcie f(xu xt, ..., x„), pričom medzi nimi je aj minimáina DNF tejto funkcie /.

Vzhradom na minimalizáciu nás bude zaujímaf ten prostý implikant Petrtcko-vej funkcie, ktorý má najmenší rád, lebo takýto implikant představuje výběr najmenšieho súboru prostých implikantov funkcie /.

V našom příklade funkcie f(x „ xlf x3) = D('l, 3, 4, 5, 6) má DNF (5.26) dva prosté implikanty ata2a* a aia3aÁ, pre to existujú dve irredundantné pokrytia jednotkových bodov funkcie f:

— výberom implikantov označených au a2, a4,— výberom implikantov označených au fl.it o*-

Page 130: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

134 Minimalizácia mirmainych foriem výrazov

Pretože prosté implikanty v DNF (5,26) majú rovnaký rád, obidve riešenia možno považovat’ za rovnocenné. Pomocou obr. 5.9a můžeme teda písaí

/ (* , , X2. X ,) = XyXy + X 2X 3 + .T, ,í 3ale ho

f(x t, Xlt Xi) = I,X, + JTtřj + J i i i

Ako vidno, DNF sa nelíšia ani počtom písmen (kritérium hJ), takže obidve sú minimálně DNF.

Výběr pokrytia podfa najkratšieho prostého implikantu DNF Pe tričko ve jfunkcie vyhovuje kritériu h\ (počet logických členov v zodpovedajúcej sieti), Preto v případe existencie dvoch alebo viacerých prostých implikantov Pe tričko ve j funkcie s rovnakým (minimálnym) rádom musí o výbere pre minimálnu DNF funkcie / rozhodnut' dalšie kritérium (najčastejšie hy).

Riešenie problému pokrytia pomocou Petrickovej funkcie je skoro ideálne. ale už pre nevelké tabufky pokrytia je to riešenie aj pri počítačevom spracovaní velmi náročné na čas a kapacitu památi, představuje vefký počet operácií najma pri roznásobovaní KNF ;'f a prí skúmaní súčinov vzhfadom na pohltenie, Preto sa hfadali praktickejšíe riešenia a metody, ktoré v róznych stupňoch „blízkosti" k ideálnem u riešeniu poskytujú prime rané výsledky, a to bez velkých nárokov na pracnost' a čas. Nebudeme tu však opisovať všetky takéto přístupy a Čitatefa odkážeme napr. na literaturu f 16] až [22].

V ďalšom uvedieme základné myšlienky riešenia problému pokrytia. vychá- dzajúce a uplatňujúce sa v tabufke pokrytia, ktorá sa postupné redukuje výberom prostých implikantov podfa ďalej uvedených troch pravidiel dovře dy, kým sa takým výberom nepokryjí všetky jednotkové body funkcie,

1. Už pri minimalizácii v mapách sme povedali, že v každej irredundantnej DNF, teda aj v MDNF funkcie /, sa musí nevyhnutné nachádzať taký prostý implikant, ktorý ako jediný pokrývá nie ktorý jednotkový bod funkcie /. Každý prostý implikant s touto vlastnosťou budeme v ďalšom nazývat' nevyhnutný alebo podstatný prostý implikant.

V póvodnej tabufke pokrytia poznáme nevyhnutný implikant velmi fahko (najdeme štipec, v ktorom je jediná jednotka). Ten prostý implikant, ktorý zodpovedá riadku s touto jednotkou, je nevyhnutným prostým implikantom.

Množinu všetkých nevyhnutných prostých implikantov funkcie / nazývame jadrom množiny prostých implikantov funkcie / alebo jadrom (je teda samozřej­mé, že jádro bude v každej MDNF funkcie /). Z uvedeného vyplývá, že ak af je nevyhnutný prostý implikant funkcie /, tak tabulku pokrytia možno redukovat’ vyškrtnutím riadku přislýchajíce ho at a vyškrtnutím právě tých stípcov tabufky, ktoré zodpovedajú jednotkovým bodom funkcie /, pokrývaných nevyhnutným implikantom «( (t.j. vyškrtnutím všetkých tých stípcov, v ktorých sú jednotky v riadku prislúchajúcemu a,).

Page 131: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

' ■ du;mc napr, tabufku pokrytia z obr, 5.8. Vzhfadom na bod 6 je .fiXi ■uinvm prostým implikantom predpokladanej funkcie. Jeho výberom do

■'eme z tabulky pokrytia vyškrtnut slípce 0 , 2, 4 a f t . V takto redukovanéj ;\>krytía existuje este ďalší nevyhnutný prostý implikant i j í j vzhfadom na

•- _ (připadne 10), Jeho výberom do jadra možno tabulku pokrytia dalej --kovat vyškrtnutím stípcov 8 a 10; dostáváme tak tabufku, v ktorej ešte možno

■vyhnutný implikant .tyřv^ vzhfadom na bod 13. Jeho výberom vylúčime■ a 13. čím vyber konči, lebo i tabulky sú vyškrtnuté všetky stípce a riadky.

• m příklade existuje jediné nenadbytočné pokrytie, ktoré je zhodné s jadrom . -vx zodpovedá hfadanej MDNF. Formálně to potom zapíšeme. Pre funkciu

* -.. mbuFka pokrytia je na obr. 5,čí, je to táto M DNF:

f = ij.ií + Í 2Í 4 + XíX%X4

Yo všeobecnosti nie je riešenie problému pokrytia také jednoduché (lebo " j h n u t n é prosté implikanty vždy nemusia existovat’) a třeba uplatnit ďalšie r'i--!diá. ktoré vyplývajú z vlastností tabufky pokrytia.

2. Ide o uplatnenie dominancie riadkov. Hovoříme, že riadok i dominuje nad• m I tabufky pokrytia TP vtedy, ak riadok / má v TP jednotky právě v tých

- - . ;h ako riadok i, avšak počet jednotiek v riadku i je vačší, ako počet jednotiek- . : 'm j /,

Vyber implikantu ktorý zodpovedá dominantnému riadku /. može byť na hfadanů M DNF významný (pokrývá viac jednotkových bodov

■ - . . ako implikant a,, zodpovědajúci riadku /, nad ktorým dominuje), a preto : ■ "imaniny riadok zostáva v TP, zatiaí čo riadok / z tabufky pokrytia možno při

:ukci» vyškrtnuť a ďalej pri výbere nebrat’ do úvahy.3. Dalej sa uplatňuje dominancia stípcov. Hovoříme, že štipec bt dominuje cipcom b, v TP, ak každý prostý implikant, ktorý pokrývá bh pokrývá aj b,

- • má jednotky vo všetkých tých riadkoch. v ktorých má frř jednotky).* ,-r.fadom na to každý prostý implikant, ktorý pokrývá bh pokrývá aj hé. Z TP- ,-žno vylůčiť (a dalej nebrat do úvahy) stípec bi, akékofvek bude pokrytie takto -i-žákova nej tabufky pokrytím pó vod nej tabufky.

Zmysel domina ncie stípcov možno fahko ukázat' vo výraze Petrickovej funk- V, /memc do úvahy napr. redukovánu tabufku pokrytia na obr, 5.9b. Petric-

i " - J /

S = («, + ílj) (>, + ťl2) . Q

--:žr.o uplatněním zákona absorpcie písať

21 = at

vyraz by sme dostali z tabufky na obr. 5.9b, z ktorej by sme vylúčili stfpce í>,i preto že dominujú nad stípcom b3.

Page 132: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Poznamenáváme, že ak dva stípce v tabufke prostých implikantov majú právě v rovnakých riadkoch prvky I (sú lo napr. stfpcc h, a b> na obr. 5,9b), ták každý z tých (o stípcov dominuje nad druhým a z tabulky pokrytia možno vy (učit lubovolný z nich. Na obrázku 5.9b možno teda niektorý zo stípcov #», alebo b2 vylúčiť.

Dominanciu stípcov, podobné ako dominanciu riadkov, možno uplatnit v íubovorne] elape procesu realizácie pokrytia,

Ako příklad na tfalší výklad zoberme do úvahy tabufku pokrytia podía obr, 5.10, ktorá bola vytvořená pre funkciu / = D (0,4,6, 14, 15, 16, 17. 22, 23, 24, 25, 31). Aplikujme na tú to TP (ak je to možné) opísané tri možnosti jej redukcie.

0 4 6 % 15 16 1? 22 23 24 ! 2 5 310, I I

r " * #

Oř I I 1 i

Oj [ 1o* 1 I X X ¥ 11}

% I í # X #(2!a* X, JfJ JtjS I I 1 f> * *Ma? I j J * * *(1)a# i lJ i * * * ! l í

a , X,X,V<S I i 1 X * ¥.121

Om X,XjX* 1 I I 1I | I *

Obr, 5 .1 0 . Tabufka pokrytia pre funkciu f = D(0. 4, fi, 14. r 5. 1<». I 31 23, 24, 25. ' 11

Lahko sa presvedčíme.že vzhfadom na štípec 17 je implikant .x ,ia4. ozn -rt-i''ůio, nevyhnutným implikantom (a jeho vyber do jádra vyznačíme v oř ■ 5 jednou hviezdičkou). V TP vyškrtneme riadok ťiin a stípce 16. 17, 24, 25 p vybratým implikantom, Ďalšie nevyhnutné implikanty po vyškrtnutí rieex:-■ _ . jádro teda tvoří jediný nevyhnutný implikant, a preto musíme prejsť na upl; 1 - . - . dalších pravidiel redukcie tabufky.

Po predchádzajúcej redukcii TP pracujeme ďalej s tabufkou pokrv: •. - obr. 5.1 la. Jej analýzou zistíme, že a, dominuje nad v TP preto - . prislúchajúci a3 vyškrtneme. Tým sa vyber implikantu prislúchajuceho a, vzhL: -* na bod 0 javí ako nevyhnutný, čo je na obr. 5.10 vyznačené dvoma hviezdL*..- (riadky označené dvoma hviezdičkami zodpovedajú prostým implikantom, k . nachádzajú aspoň v jednej hladanej MDNF). Ďalej vyčiarkneme všetky ' pokryté a,. Dostáváme tak ta burku na obr. 5. l ib . V nej vid no, že a* dominu ■: ;

Page 133: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

as dominuje nad a,), čo nám umožní vyškrtnut z nej ďalej riadok-'uehajikí a3.

V takto vytvoře nej tabuíke nemožno uplatnit priamo žiadne z uvedených _-n pravidiel, V ta kom to případe si pomáháme obyčajne voíbou alternativ . Tak

■ ,:Mtm případe (vzhradom na bod 6) můžeme pře ne nadbytečné po kry tie vybrat implikant prislúchajúci a4, alebo a5. Vo všeobecnosti třeba preskúmať všetky

,-nt- alternativy.

a 4 6 14 15 22 23 31

I

- -4

1 « i

1 ]

1 1

: í í r

1 I

l i i I

-i I 1

6 'Á 15 72 j 23 31 15 2? | 23 [31

-G5- - _ . - ' -CT _ " i-Qt 1 1 í 'Os' I

Oí I i1 I Qj r i

Q» » S ti a» T1 [Oj

tI | ; a» I 1

0* I T i 1. I ‘ f C0* I i

14 15 23 3’b -ot "- i- _ —

c* É t 1l i

l 1

-a«' _ _ ~] • _

a, I i

Obr. 5.1 L Priťbťh riešema problémy pokrytia

Zvolme teda najprv výběr ti4. čo je na obr, 5,10 vyznačené tromi hviezdičkami* :-<íom ( I ) . Vyškrtnime v TP příslušný riadok aj stípce pokryté týmto výberom. Dostáváme redukovánu tabulku na obr, 5.11c. V nej as, dominuje nad a5, Qi nad

; vyškrtnime preto riadky prislúchajúee af a a*. V stípcoch 15 a 22 zostane len po »ednej jednotke, čo určuje nevyhnutnosf vyberu implikantov prislúchajúcich aŤ a z? z ne nad by točné po kry tie. Pretože výberom a7 a a* sú vyškrtnuté všetky stípce (a -adky) íabufky, možno pre danú alternativu zapísaf híadanú irredundantnú DNF n e mčet všetkých vybratých implikantov (na obr. 5.10 označených hvtezdičkami).V ihernatíve (1) je to táto NF:

/ = + X xX2 XAX s + Í 1 X 3 X 4 Í 5 + X i X ^ X i X s + J C , Í 2 J , X 4

Page 134: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Vraťme sa k ohr. 5.11b a riešme druhu alternativu. V nej (na rozdtel od predchádzajúcej, kde sme vybrali a4) vyberme re raz (vzhfadom na bod 6) implikantprislúchajúci a$. V obrázku 5JO je to vyznačené tromi hviezdičkami s číslom (2). Týmto výberom dostáváme tabufku na obr. 5.1 Id. V nej dominuje «„ nad a. nad a8. Po vyškrtnutí riadkov prislúchajúcich o4 a a* zostane v stípcoch 14 a 23 len po jednej jednotke, čo určuje nevyhnutnosť výběru implikantov prislúchajúcich a,a a». Týmto výberom sú opáť vyškrtnuté všetky slípce (aj riadky) v tabufke pokrytia, čo nám umožní zapísať táto irredundantnú DNF pre 2. alternativu

/ = X ,X 3X4 + X tX2X*Xs + X2X3XAX5 + i

Vo všeobecností sa MDNF hfadá zo všetkých irredundantných DNF, ktorc >■ -vytvořené pre jednotlivé alternativy, pričom uvedeným postu porn sa vždy nai.k aspoň jedna MDNF. Ák sme povedali, že za MDNF považujeme tú DNF, ktora r . najmemi počet písmen, V nasom příklade sme našli dve MDNF' danej funkcie.

Na závěr iba připomeňme myšlienku z úvodu podkap. 5.3, kde sme hovořilio tom, že Quinova - McCluskyho metoda aplikuje na rozšířené funkcie. Ak ideo neúplné funkcie, tak v metóde pracujeme s rovnocennou funkciou, kiorá dourčuje neurčené body funkcie / na jednotkové, Z toho vyplývá, že pri tvorbě množiny prostých implikantov móžu vzniknut' aj také prosté implikanty, ktoré pokrývajú iba predtým neurčené body funkcie / a žiaden jej predtým jednoznačné daný jednotkový bod. Takéto prosté implikanty netřeba prí minimalizácii brať do úvahy.

Právě lak nemá význam zaraďovať do tabufky pokrytia tie štipce, ktoré zodpovedajú iba dourčeným bodom rovno cen nej funkcie k funkcii /.

5.4 Skupinová minimalizácia

Ako sme už uviedli, skupinová minimalizácia sa kvalitativně líši od minima li- zácie vzťahujúcej sa na jedinú B-funkciu. Ukážme si v čom. Zobeme do úvahy napr. dve B-funkcie f, a f2, pre ktoré boli stanovené takéto ich minimálně DNF (odvodené pře každú funkciu samostatné niektorým z postu po v z podkap. 5.2 alebo 5,3):

fi = xlx3 + x2x, .f 2 =xlx2 + xíx} + x1x3

Eahko zistíme* že výrazom (5.28) možno priradiť sieť na obr. 5.12a. Analýzou sa móžeme presvedčiť, že sieť na. obr, 5.12b realizuje tie isté B-funkcie /,, f2 ako sleť na obr. 5.12a. Pre sieť na obr. 5.12b móžeme písať

/] - XiXiij + X XiU = XxX3 + XxXzXi + X jXzX j

Page 135: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

L.-fická sieť na obr. 5,12b„ priradené výrazom v (5.29), obsahuje o jeden logický ::«£ n menej ako sieí na obr, 5.12a, a to napriek tomu, že štruktúra vyražen-' je- -riEejšia ako štruktúra výrazov v (5.28). Vo výrazoch (5.28) je 5 navzájom -rznyeh termov, v (5.29) len 4, pričom term x}x2xj v /, je aj v f2.

o b

Obr. 5. i 2. Logické siete pre výrazy (5.28) a (5.29)

Vo všeobecnosti teda nemožno pre skupinu B-funkcií /,, f 2, fm tvrdit’, že s"»Glina minimálnych D N F týchto funkcií tvoří minimálnu skupinu D N F funkcií /j.

. ř„ (to platí aj pre podradené formy g,/g2),Hlavnou myšlienkou skupinovéj minimalizácie je maximálně možné spoločné

\ “ v logických cleno v v prvom stupni zodpovedajúeej logickej siete. Ciefom- ' -vej minimalizácie je teda vytvoře nie D N F jednotlivých funkcií v skupině

a t . iby sme získali čo najvačší počet spoločných termov (elementárnych súčinov)- ■. . najvačší počet funkcií daného systému funkcií.

fteftnícia 5 ,6 , Minimálna skupina N F gtfg2 je systém m normálnych foriem ktorý má najmenší celkový počet navzájom róznych termov zo všetkých

ucTffl navzájom ekvivalentných NF gifg2, ktoré zodpovedajú tomu istému fn ;e a u funkcií / „ f 2, fm.

Podobné ako pri jed i nej funkcii, vyjádříme aj pre systém B-funkcií kritéria- - — a lily,.

Pre systém B-funkcií /,, /2, v nadváznosti na definíciu 5.1 označme dížku-'jnkrie /, symbolom Vj. Potom súčet dížok jednotlivých funkcií /* (/ = i , 2,

- '^r.eme dížkou skupiny foriem a označíme symbolom ¥

V = ± v ,i- l

Page 136: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Zaveďme ďalej v súlade s dcfintciou 5.6 pojem efektívnej dízky skupinyEfektívna dížka skupiny DNF funkcií fř (/ =1,2..... »») je počet navzájom rózn>, -termov v skupině DNF a označíme ju symbolom e.

Formulujme re raz vztahy pře ďalšie kritéria:a) Pre V >m

H i- e - q t + m - p ( 5 I i

kde e je efektívna dfžka skupiny foriem,í|, — počet všetkých vzájomných róznych termov 1. rádu v skupině, m — počet funkcií v skupině, ^p — počet takých funkcií f-t v skupině, pre ktoré platí, že t*f = I.b) Pre V = m

H, = e ~ q (5 J2 I

kde q je počet všetkých termov v skupině DNF, ktoré sú 1. rádu. Kritérium H- určuje počet logických členov v logické j sieti zodpovedá júce j skupině DNF.

c) To nám umožňuje definovat aj kritérium, ktoré určuje realizační! cena obvodu zodpovedájiíeeho logickej sieti pre skupinu DNF

Ah ;~ y c

kde C je koeficient úměrný realizačnej cene i - lého logického člena v sieti; Cj Zo berme do úvahy napr. túto skupinu DNF:

/, = .t,X2 + X tX i

f t = í ] * ; +■ XiX\ + X , Xi Xi’ . . ' {5.344/? = X1.V2 + .r,J2 + ^1X1/4 = X jX i + X i.r2-řj

Pod Fa (5 JO ) až (533) určíme: V - 10, e = 8 , H, = 8 - 0 + 4- 0=12 ( — H\. alC = 1 pře i = l, 2, H ,). Pri přepise DNF na jej podradené NF qsfg2 třeba výpočet počtu logických členov upravit V tých NF, v ktorých pri přechode z DNF třeba termy 1. rádu (t.j. písmena) negovať, je

Hi = e + m — p

d) Vzhfadom na realízáciu neúplných B-funkcií v programovatelných logic­kých poliach móže mať význam kritérium H 2

Hj = r, (5.351kde rj je celkový počet navzájom róznych premenných v skupině DNF.

Page 137: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Zobeme do úvahy teraz množinu všetkých navzájom róznych termov íine DNF, Táto množina má zrejme e prvkov. Označme takéto termy ími T|, Tz, Ti, Tr. Přiraďme ďalej každému termu T, jeho rád u,. i = l, ť To nám umožňuje formulovat vzťah pre ďalšie kritérium:

e mH3 = 2 “ i + 2 vt (536)

, _ i u, ak ii, > 1 W‘ \0 ak Mj = l

v, a k !'j > 10 ak i); = 1

(5.36) určuje počet vstupných kaná lov do všetkých logických č le n o v sieti zodpovedajúcej skupině DNF.

i Pomocou vyššie uvedenej množiny navzájom róznych termov T ,,... T,, ....7. . ‘-kupine DNF možno formulovat' ďalšie kritérium — úplný efektivny počet■ -~t~ v skupině DNF

= (5.37)4 m 3

kritérium určuje počet všetkých vstupných kanálov do logických členov . i sieti, okrem kanálov medzi členmi v 1. slupni a členmi v 2. stupni,

skupinu DNF (5.34) fahko vypočítáme; = 3; říj ™ 28; HA = 1S.'*■ .rinovú minimalizacím možno přibližné riešiť pomocou mapových zápisov

f:. ... fM. Princip sa zakladá na tom, že sa híadá taký systém pravidelných siuracit zahřňajúci jednotkové a připadne nedefinované hody funkcií, ktorýrri

r-- pokryt všetky jednotkové body všetkých funkcií, obsahujúci čo najme nej - i . ,ie:rneh konfigurácii najvyššieho možného stupňa. Inými slovami móžeme -■ .. že pre každú funkciu /, sa snažíme nájsť taký súbor jej implikantov -r;" ;í bu prosté), ktorý by obsahoval čo najviac implikantov ostatných funkcií

.Lipinv,

■ .:*ďrn.e ako příklad funkcie /\, ft, f% určené mapami na obr. 5.13a, b, c.: .a’nou minimalizáciou pomocou máp jednotlivých funkcií a vzhfadom na

-e konfigurácie (ktoré sme v mapách vyznačili) získáme tuto skupinur -unkcíi íu /2, fy:

f l = + XjX^Xy + JT, X;,í,i

f 2 = x $ + i i x 2x 4 (5 .3 8 )

f» - X2JT4 + X 2X i + XJ -i2

— - áefimciou minimálněj skupiny DNF vypočítejme hodnotu kritéria H x. :: počet logických členov v sieti zodpovedajúcej vzťahom (5.38). Podfa

Page 138: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

(5.31) je (e = 8, q> = I, m = 3, /? = 0) H, = 10. Opat sa presvedčíme. íe ajvztahy (5.38) představujú nominálně DNF jednotlivých funkcii. netvoria m in.-. nu skupinu DNF daných funkcií. Tá je daná konfigurácia mi vytvoře nyrr obr. 5.13Ó. e, ř, ktoré sme vytvárali v súlade s vyššie uvedenou požiadavkou - ■ aby zodpovedá júce implikanty boli implikantmi pokiaí možno každej zo ^ " m-~ -funkcií. Tak například pri funkcií f% dve konfigurácie, k to rým príslůchaju implika- ■■ ty x}x:xt a XpVjXí, možno zahrnut do konfigurácie vyššieho stupňa, ktorej zodpovedal prostý implikant x2Xi funkcie /3. Ako však vidno, obidva i: implikanty, ktoré nie sú prosté pre sa uplatnia v dalších funkciáeh: prs;. -■ funkcii /,, druhý pri funkcii f2. Pre to vytvoře nie konfigurácie vyššieho si v tomto případe nebolo v súlade s hlavnou požiadavkou skupinovej minimalir... a preto sme ju nevytvořili. Podobné možno zistiť ostatně případy v našom príkl. -.

0 0. 0 710 0

I J 171lil0 £... — *

0 , : . 1 0

0 0 0 1 00 I7; 0 ] 01 1 « ILU

t~ -4 ,1 j 3 !

1 1 l i l i

** 0 0 0 710 I ! 1

I] 1 li 1! J 0 0 M

' ji

0 o c I J

U|L2.J i ! 0

0 0 0 00 r'•t 0 07 fbJ !l1 ! i ;![1

0

0

0

řnr /v *f i t

J211*

1 IL LU I

1 0 —1u I

Obr, 5, 13, SkupincHá minimaiizácia

Podfa konfiguráeií na obr. 5.13d, e. f možno povedať, že minimáina sk-r '* DNF funkcií /2, /} je takáto:

/, = Xj Xj + + .í , Í ž-ÍSf l = * 2 *3 + ' X j X 3 + i , x 2 x , c : -

fj = I 1Í 2Í 3 + + X1X 2X4 + X2X3.

Pre vztahy (5,39) je H, = (6 - 0 + 3 —Q) = 9.Z príkíadu možno usúdiť. že termy minimálnej skupiny DNF nie so

všeobecnosti prosté implikanty. ale skupinové prosté implikanty.

Page 139: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

\ rT- Htí minimaliyáeia 143

Deíiitícia 5,7a. Majme systém B-funkcií ft, f3, fm. Polom skupinovým 'fvffl implikantom tohfo systému nazveme taký elementárny súčin u, ktorý má lídujike vlastnosti:— je implikantom aspoň jednej funkcie /,, í - 1, 2, m,— ak ;< je právě implikantom funkcií f„, fh, .... flt,: € {/.. ft......f „ ) . tak vybratím len jedného písmena z u zvyšok u‘ přestává byťjkaniam aspoň jednej z funkcii fh, /,,, .... fit.Tak například elementárny súčin xjx2 v přiklade podlá obr. 5,13 je skupinový

mv implikant, lebo je implikantom funkcií f t a f2. Vynecháním písmena x2 však :ho přestane byť implikant funkcie f t.

Definícia 5.7b. Irredundantný systém skupinových prostých implikantov je ;; množina navzájem róznych skupinových prostých implikantov. ktoré pokrý-

všetky jednotkové body daných funkcií. Množina stratí tú to vlastnost*, ak sa '■víifny skupinový prostý implikant z nej vyberie.v j J J ( 1 2] dokázal, že všetky skupinové implikanty pre systém funkcii f t, f2......A sme tak. že najdeme :— všetky prosté implikanty funkcii /,. /3, fm,— všetky prosté implikanty súčinov všetkých dvojic funkcií. t.j, prosté :kanty funkcii / ,,/2, / ,.fu /2. f3, .... /„-i./*.— všetky prosté implikanty súčinov všetkých trojíc funkcií, t.j. prosté impli-

funkcií f i . f i . f * , /«•; ./*,-> - fm* atef., až po prosté implikanty:nu všetkých funkcií /,. f7......f„.

Z takto získanéj množiny všetkých skupinových prostých implikantov sa •:>m pomocou tabufky skupinových prostých implikantov, t.j. tabufky pokrytia. ‘<tu i nich tie, ktoré urcujú minirnálnu skupinu D N F systému funkcií

Uveďme příklad, Nech je daná táto skupina funkcii:/, = D(1. 6, 7, 9. 11, 14, 15}i: = D(4, 5, 6, 7, 10. 12. 13. 14, 15) <5,40)fy = D { 1, 4. 5, 9, 10. I I. 12. 13. 14. 3 5)

>.'bom opísaným napr. v podkap, 5,3 najdeme pre jednotlivé funkcie a ich -:e uvedené súčiny dvojíc, trojíc tieto prosté implikanty (podčiarknuté sú všetky zaiom odlišné):

Pre /,: x2x3, x xyx*-. xxXjXA, x2x*xA f i : xxx^x4f i : £j_£j. X\Xj.-. XjX4t xi**, XíXí

f,./2:x2x, (5.41)/, ./1: £i£2£3. X,X:,X4, .VVÍ1X4

f2. /.i: .r2,ř,, i',Xí, x,.f:, i 4

/1 • f i • /1. : X| J 7X 3.

Page 140: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Pri skupino ve j minimalizácii možno postupovat tak, žc sa najdu alebť ■ irredundantné systémy skupinových prostých implikantov a vyber ie sa w " alebo sa pomocou určitého systematického postupu najde priamo aspoň jede'- irredundantný systém (taký postup opíšeme), pomocou ktorého doslanému. - málnu skupinu DNF podlá danej definície. Druhý spósob je rychlejší. \ ■ irredundantných systémov skupinových prostých implikantov sa robí piT- . . tabufky pokrytia.

Tabufka pokrytia má toíko riadkov, koTko je navzájom róznych skup ■ implikantov a každému ríadku je priradený jeden skupinový prosty imp'-.. Horizontálně je TP rozdělená na viac časti, Ku riadkom jednotlivých č--1 pridelené skupinové prosté implikanty, ktoré (a len tie) su implikantmi ji d- vých funkcií a ich příslušných súčmov, Ak bot teda nie ktorý skupinovv implikant už v niektorej časti pripisaný v riadku, v dalšej časti sa neopakuje, řre funkcie (5.40) a skupinové prosté implikanty z (5.41) je TP na obr. 5,14,

Í1 f, 1 f]1 fe 7 8 11 14(15 4 6 7 ho i?

i i i3 jiih b 1 i i ^ 1 *£>

1

10• íti íi2 'n K -i j

V V h *3*1!

l | ti

t , i|

■ iI

i ; i

V%

)! i 1 1 i i ? t i i i - T '«. !*i

—_j_1 ] i 111 i • i t i ! i i 1 I I

*. x3*4 I... j i .! 1 1 11 1 í 1 í i ■ I 1 *

W i

X I , X ,

r*1

•1‘ . 1 1 i I

i ■i !

T1 I ■ * *

X, X }X t j I** ” ,

i I 1 ! H H 1

! j I iT i

. .1__I ! i * ! i !

„ L ;.l i#

V ři X- X j J í l J i , ' i ri J ; J | j í í

*ř *J( 1

_ l i l i i?i

1 i [ 1 [ I

” n i

u

>1 *31I i

! ! I

1 ;

L. 1 t . M

*1 **(

í ] I : ! : .

*> *4 i i ■t

[<

* f

! ■■1 1 i J

Obr. 5.14. TahuTka pokmia při skupimnej minimalizácii

Podobné ako pri minimalizácii, vzťahujúcej sa k jedinej B-funkcii, aj v - - opisovánom postupe sa vychádza z rozširenej B-funkcie (neurčené body ■■ tvorbě skupinových prostých implikantov dourčujú na jednotkové, avšak v Ir ne uvádzajů).

Problém pokrytia tu riešime formálně rovnakým spósobom ako v stat ‘ : I t.j. pomocou Pe tričko ve j funkcie, éastejšíe podfa druhého postupu uve -. -

Page 141: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

■.:;!) 5.3,2. kiorý určí aspoň jedno irredundantné (minimálně) riešenie výhovu jú>•: vrlíěriu H t.

\U m sa přesvědčil, že k zadaným řunkciám (5,40) v našom příklade sa dá minimáina skupina DNF:

/[ = X 2X 3 + X2X}X4 + X fX iX t

f 2 = XzXx + x 3xix.i + x2í j (5 .4 2 )

f % = X j i i + Jti JCj.í4 + X iX iX i + X tX iX t

DNF (5.42) nemusí byť minimáina podfa ostatných kritérií. Možno v . ~:u aj iné systematické postupy, pomocou ktorých sa nájde aspoň jeden * * .;„r.dantný systém DNF, vyhovujúci iným kritériám. Týka sa to predovšetkým■j:.:; > TP. Například v f 12J je postup orientovaný na kritérium H s, Vplyv róznych■ sa uplatňuje predovšetkým pri práci s TP (a to najma pri vybere : -nandě r i ad kov),

='.>r^ané myšlienky v modifikovanej podobě rozpracovali vo $ vojích metodách- P..>lansky [24J a Bartee [25). Obidve možno považovat’ za základné a lišta sa . rodrobnostiach. Barteeho metoda umožňuje fahší přechod na počítačové

-’\;j '".anie a je názorná, preto ju tu uvedieme.Metoda sa aplikuje na rozšířené B-funkcie a poskytuje aspoň jednu minimálnu

* _7 DNF podfa kritéria H,.V, chadza zo súpisu všetkých navzájom róznych mintermov, ktoré zodpovedá -

... . i*: Kým jednotkovým a dourčeným bodom (na hodnotu I) všetkých funkcií* .-.■•íT.e ro/siřených B-funkcií f,, /2, fm, V tom možno pozorovat' zhodu ... * • ,.iu - McCluskyho metodou. Na ro zdicí od nej sa tu ku každému mintermu

•. -pí^u přiřadí výraz. Vo výraze sa vhodným spósobom vyjádří, ku ktorej “ . i= 1, 2, m minterm neprislúcha. (Súvisí to s druhou z uvedených

. >i\upinového prostého implikantu)...' -'■erme do ávahv systém štyroch funkcií zapísaných v tabufke na obr. 5.15,

INDEXBODU *t *? f, f*

0 D 0 0 0 0 r 0

1 0' 0 1 0 I E t2 0 I 0 0 I 0 03 0 I I 0 I 0 04 ] 0 0 0 I 0 05 I 0 ] I 0 I [6 T I 0 1 0 1 l7 I 1 1 I 0 I 0

Page 142: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

146 Minimalizácia normálnych foriem vvr«a/< h

Tak například bodu 000 zodpovedá miňterm ktorý nie je minlerm.-nfunkcií /j a /,*. ale je minterirtom funkcie f>. V opisová nej metóde 10 vyjadnme súéinovým výrazom /,/jxf*. kde symboíom x nahradíme ten symbol /,. ktoryprislúcha funkcií s mintermom. Takýto nový výraz přiřadíme k mintermu, na ktor> sa vztahuje, a vytvoříme skupinový term. V nalom příklade ide o ta kýto skupinový ierm :

Každý skupinový term představuje pár, v ktorom prvá casť, sekcia S . , je implikantom tých funkcií, ktoré sú v druhej časti skupinového termu, teda v >ek íS,n vyjádřené symboíom x. Ak sekcia S„ je mintermom, hovoříme, že ide o úpím skupinový term. Vyššie zapísaný skupinový term je teda úplným skupino\\mtermom.

Uvedená konvencia zápisu vyplývá ?. toho. že v procese zjednodušovánu dvojic mintermov uplatněním vety o .spojení móže vzniknut' jednoduchsí výra? 70 sekcii $„. ktorý mdže patřit funkcii nahradenej symbolom x. ale nesrnie pa m í funkciám. ktoré sú v sekcii S„, explicitně uvedené symboJom /,, Sekcia Sm leda vyjadřuje konkrétné medzifunkčné vztahy, ktoré sme vo vyššie uvede nej metuje museli hfadať vvtváraním súčinov dvojic, trojic až m-tic funkcii.

Pre tabufku na obr, 5.15 možno vytvořit lento súpis úplných skupinov . term o v :

X^XíXtfiXXXX iX i i J jX t ihX i XjX \fi X/j/j

rj.v2jc.lx/jxx■Ci JC j.řjXfjXX-Ci-Vj X3x/;X/4

Podobné, ako pri McCiuskyho přístupe k minimalizácii, bude vhodné kai-i* ta kýto skupinový term (ide vlastně o jeden pár výrazov) nahradit vektorový zápisom podfa už uvedeného: priamu premennú nahradíme symbolom L negovaná symbolom 0 , cbýbajúcu přemennú svmbolom x.

Tak například posledný riadok nášho súpisu přepíšeme na tento pár vek:- r ■ 1I I I xOxO. O prvom vektore takého to páru móžeme hovořit ako o se ke." v .o druhem ako o sekcii Sm daného páru,

Móžeme teraz povedaf, že skupinový implikant je skupinový term. ktoreho sekcia S„ je implikantom týchto funkcií /,, ktorým vsekcii S „ zodpovedajú svm tvii x. a nie je implikantom tých funkcií f,, ktorým v sekcii Sm zodpovedajú negovaní symboly ft.

Page 143: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Minimaiizácia sa zakladá v nájdcní minimálncho súboru prostých skupinových* ;iiov. Úlohu riešime opat' v dvoch etapách: v prvej sa najdu všetky

- ... '■ '.e prosté implikanty a v druhéj z nich pomocou tabufkv pokrytia vyberie- mmálny súbor. ktorý představuje minimálnu skupinu. DNF funkcii f ,, f2,

\ j určenie množiny skupinových prostých implikantov možno použit' metodu “ 'ona a Millsa [26] alebo vhodnější postup, od voděný z McCIuskvho přístupu,

sa na druhý přístup.Postup tvorby skupinových prostých implikantov je založený na tom istom

*•* -„:re. ako pri jedinej B-funkcií, Zobe řine do úvahy dva skupinové termy, %’ - . sekcie S„ sú v zmysle definíeie susedné. Potom obidva takéto termy možno

■ t jednoduchším skupinovým termom, kde premenná. v ktorej sa odlišujú. Ide teda o aplikáciu známej vety o spojem. Tak například skupinové termy

; : t„xf; f,x a možno nahradit' jedným skupinovým termom .t^ x í^ x ,4- 'íhi» sekeia 8* zodpovedá jednoduchšiemu implikantu (obidva predchádzajúce■ za hrna) tých funkcií h a f4. ako póvodné dva implikanty, ktoré pre to netřeba r- w'* zákona absorpcie) ďalej brat do úvahy

Všeobecnější je však případ, keay dve susedné dvojice sekni S„ nic ktorých ; . - :h skupinových termov neprislúchajú tým istym funkciám. Například pri■ % :nových termoch XíXix J iXx L je výraz implikantom funkcií f2 a zatiaf

:.vi .r,jc.,jr4 je implikantom funkcií /, a /,. Obidva póvodné implikanty možno =vi!t výrazom xa*. ktorý bude implikantom len funkcie fY Inak povedanc.

i XiXi nie je implikantom funkcií /, a fA, výraz jr,.v»jr4 nie je implikantom ' _■*tcr a. /j, teda skrátený implikant x3j 4 nie je implikantom funkcií / /: a /4, ^ —u zodpovedá táto podoba skupinového termu: x x4f,f2xft. Takýto nový

,,.nn-:iw term vzhfadom na sekeíu S„, nemóže nahradit skupinové termy, :: * 'ych vznikol, preto póvodné skupinové lermv nemožno z dalších úvah

Sekciu S„ takto vytvořeného skupinového termu považujeme za další '4_rir,í'\ý implikant.

p-aklickejšíe a prehladnejšie sa pracuje s párom vektorov, ktoré prislúehajú novému termu. Pre osobitnú sledovánu dvojteu skupinových výrazov rnó/enie

r.j’ - vektorov zodpovedajúcich dvojici skupinových termov zapísai takto:

Oxfl Oxxil lx ll xOxO

i.' podobě, při tvorbě nového neúplného vektora, nahradzujúceho sekcie S„ : v >ch dvojíc vektorov, sa uplatňujú tie isté pravidla, ako v už opísanej m .a : uskyho metóde. Pre sekciu Sm však platia (ako vyplývá z. úvah predchádzajú-

- d e k u | pre každé miesto tejto sekcie při no vo vzniká j úco m páre vektorov to sekcia S„ póvodných skupinových výrazov vóbec umožňuje) tieto

Page 144: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

— ak na rom istom mieste sekcií 5,„ dvojic vektorov je v [ubovoFiiorn z dv< vektorov 0, bude aj vo výslednom páre vektorov v sekcí i S,„ nula,

— ak na tom istom mieste sekcií dvojic vektorov sú symboly x súčasne obidvoch dvojiciach, bude aj vo výslednom páre vektorov v sekcií S „ symbol x možnosti pri 2avederte] konvenci! neprichádzajú do úvahy,

Ked (ie to zásady aplikujeme na přiklad uvede nej dvojice par.i\ vektor.'-,, dostáváme nový pár vektorov xxll 00x0, ktorébo sekeia S„ prislm h 1 falticmu (novému) skupinovému implikantu.

Opišme teraz postup v prvej eiape minimalizácie, v ktorej třeba nájsl %še!k\ skupinové prostě implikanty,

1, Vytvoříme súpis všetkých navzájom róznych mintermov daného i vsi funkcii fj, f2, . fm,

2, Ku každému minfermu přiřadíme výraz, vyjadrujúci příslušnost' mink’ ku konkrétným Funkciám. podfa uvedeného spósobu, Vytvoříme tak súpis vše i i úplných skupinových termov.

3, Tento súpís přepíšeme do vektorovej podoby párov vektorov. Fáry vek:r-- rov zoradíme do skupin s rovnakým počtorn jednotíck v ich sekciách S„. Dóv.x* tohto rozdetenia je rovnaký ako pri McCluskyho metóde. Ku každému páru n vhodné pripisať index toho bodu, na ktorý sa pár vztahuje. Tak dostaneme p?v\ súpis.

Pre náš příklad systému funkcii podfa obr. 5,10 je prvý súpis ta kýlo:

Index Se kcia Sekciabodu s„ s•-1

0 000 00x0 1/

1 001 Oxxx2 010 0x00 /4 100 0x00

3 011 0x00 1/5 101 xOxx6 110 xOxx7 til x()xO s!

4, Každá sekciu S„ jednej skupiny vektorov porovnáváme s každou sekciou >. najbližšéj skupiny vektorov, Ak sa v takejto dvojici vyskytnu susedné sekcie S .. mmíeste, v ktorom sa líšia, zapíšeme podfa vety o spojeni symbol x„ n . porovnáváme v dvojici aj sekcie S„. Tu pre každé micsto novovznikajúcc 1 - « * ' použijeme vyššie uvedené pravidla. Dostaneme tak nový pár vektorov. Ák nový pár vektorov vzhfadom na sekciu S„ představuje jednoduchši skupinový implikant, zapíšeme ho do druhého súpisu. Ak je přitom sekcia S,B nového ran*.

Page 145: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

’,nová minimaiizácia 149

'iná so sekcia mi Sm vektorov, z ktorých vznikla, vyznačíme to (napr, znakom . v prvom súpise pri zodpovedajúcich dvojiciach vektorov, ktoré dalej neberieme .....,Kihy. Ak sa vlak sekcia S„, novovytvoreného páru líšt od sekcie Sm níektorého: : vijíce vektorov, z ktorých vznikla, potom ten pár, ktorého sekcia S», bola iná* ' \ novovytvorenom páre, nemožno z dalších úvah vylúčiť (pretože představuje

- vncialne použitelný skupinový implikant) a v súpise zostane neoznačený.Pri porovnávaní dvojíc vektorov móže nastat případ, že sekciu Sm novo vznik-

" .--.‘hti páru tvoria samé nuly. To však znamená, že jeho sekcia $„ nepředstavuje -r.ikant žiadnej funkcie zo systému daných funkcií. Preto takýto pár netřeba : hrať do úvahy a dvojice, z ktorých vznikof. zostanú v pdvodnom súpise

atime ich. Při vyiváraní nového súpisu je vhodné ku každému páru pripísať :vch bodov, z ktorých vznikoL

V našem příklade opísaným spósobom možno z 1, súpisu vynechat' riadky v:evmi bodov 0, 2, 3, ?. Potom dostaneme tento druhý súpis:

0,1 00 x 00x0

1,3 0x1 0x001,5 xOI 00 XX

2,3 Olx 0x00

5,7 Ixl xOxO6,7 IIx xOxO

: Proces minimalizácie pokračuje tým, že na 2, súpis aplikujeme rovnaký *‘ur ako v kroku 4; vytvoříme 3. súpis atd. Túto činnost’ opakujeme tak dlho,

■» ■* pomocou vety o spojem možno nájsť pár vektorov, ktorého sekcia S„ uje jednoduchá! implikant aspoň jednej funkcie zo systému funkcií. Aksa

■-.= ? :vorby nového páru vyskytne pár, ktorý je zhodný s nie ktorým párom '= už vytvořeným, tak takýto pár podfa zákona absorpcie netřeba tfalej brať

Ke'?’* sme dalej na 2. súpis nášho příkladu aplikovali opísaný postup, našli by ktorých sekcie S* sice predstavujú jednoducMie výrazy, ale nie sú

, ~,~!rrij žiadnej zo zadaných funkcií. Preto v tomto příklade proces vyhladivš­ím ;... - .--‘.ivých prostých implikantov končí,

\ k v procese tvorby nových párov nemožno z fe-teho súpisu vytvorií žiaden rsr, t.j. nemožno vytvorií (k + 1 )-vý súpis alebo (k + l)-vý súpis obsahuje len

*: - - <, kterých všetky sekcie S„ ivoria samé nuly, proces vyhfadávania všetkých 3 c:--:vveh prostých implikantov je ukončený.

Ki!d\ neoznačený pár vo všetkých vytvořených súpisoch (počas mínimalizá- í * -;: stavuje svojou sekciou S„ skupinový prostý implikant prislúchajúd tým . ■ • . ..iT5, ktoré určuje jeho sekcia Sm.

Page 146: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

INDEXYBCOOV

F U N K C IA : fi u l3 u

(NOE X JEDNĎTKBGDU 5 6 7 1 2 3 L 0 1 5 6 7 1 s 6SKiJRPířQSTfIMPLIKANT

SEKCIASm

1 X-j -i X j ^ * ] [ ]4 X, I

c;*> *? * i X f j *■ X 1 I 1

6 y, X j íj xfj* X ] 1 t

0,1: : š

i,

í .

fl fj * í,

f, x f J t 1

[ 1

1,5 X j f, f , » * 1 f 1 I

2,3 x 2 *1X f j f 15,? X J * f j X, ft I i 1 I

6,7 X 1 * i x fj * ft 1 I J I

Obr 5. Ifi. T;iburka pnkrylia pre funkciu 7 obr. 5.15

15 ď a l S i e m e t ó d y m i n i m a l i z á c i e

Metody, ktoré sme opísali v predchádzajúcich častiach tejto kapitoly, sa ",uju len na normálně formy g%i§2 a poskytujú výsledky na tvorbu dvojstupňo-

■ eických sietí (při ktorých sú k dispozícii komplementárne vstupné premcn- -. . Pří 'súčasnýcb technologiích ich možno použiť aj pri návrhu obvodov . rr^gramovatefných logických poliach.

Opžsané metódy minimalizácie DNF sa považujú za základné (a to aj -edagogického hradiska) a představuji! principy minimalizácie normálnych

výrazov. Okrem nich existuje celý rad íných metod (napr. [27] až (54]), ir "re sa zaoberajú minimalizáciou iných foriem, ako je g^g2, a rešpektujú aj ďalšie

reálných logických členov a obvodov. Týmito metodami sa tu však rj ;^eraf nebudeme a poukážeme na ne este v kap. 6. Uveďme však ešte nie ktoré č í kt minimalizačné metódy orientované na normálně formy gi/g2.

ledna z prvých metod minimalizácie využívala n-rozměrné teleso, v ktorom sa v-,triačt?í jednotkové vrcholy (body) zodpovedajúcej B-funkcie. Využitím vlastnos- ~ - všedných jednotkových vrcholov (bodov) sa potom pomocou 5-teIies (predsta-

.nch isté podfelesá jednotkových bodov) vytvárali im zodpovedajúce výrazy, představovali implikanty póvodnej B-funkcie. Zmyslom bolo nájsť také

e>á. ktoré zod pověda li minimálnemu súboru prostých implikantov, V praxi sa 3*:: —etóda používala najviacpre B-funkcie troch premenných, preto sa nerozšíri- a Šišla vlak uplatnenie při minimalizácii pomocou máp tak, ako sme to už opísali.

Page 147: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

Inoti metodou je algebraická metóda minimalizácie. Vychádza z 1 1 " ' ' B-funkcie, pričom sa táto forma v súlade so zá konin i B-algcbry upravuje tak, »... sa zjednodušila čo do počtu termov a písmen v nej. Metoda je veími neprehíadn* najma pře funkcie s počtom premenných váčším než 4. Možnost vzniku subjektiv­ných chýb nezaručuje, že najdeme skufočne minimálnu formu. Uveďme pnkk* : tejto metody bez ďalšíeho komentára. Vezmime napr. B-funkciu / = D(3. 4,7). Jej ÚDNF je táto:

fixu X2, X j ) = X x X iX i + X t X 2 X j + XyXzX) + X (X 2Í j + .V| X ;X ,

Ďalej možno urobiť tieto úpravy:

f(x u X2, Xi) = XiX2X) + X í í 2( í J + . í j ) + x ,x 2( x 3 + x:t)

Přeřeže výrazy v zátvorkách sa rovnajú I, móžeme ďalej přsať:

f(x,, X2, Xj) = f,X2.í3 + xj(x2 +- Xi)a potom

f(x L, A;, Xi) = X,X2X3 + Xi = X2X3 + X,

čo už nemožno ďalej zjednodušil Touto metodou nemožno teda vo všeobecné nájsť minimálnu DNF,

Okrem toho poznáme tabufkovú metodu minimalizácie, známu ako mel neurčitých koefícientov alebo Harwardská metoda. (Jvedieme ju opat na pnk!

Metoda vychádza z tabulkového zápisu danej B-funkcie /. Ku ka/dc' jednotkovému bodu sa vypíšu neurčité koeficienty, ktoré rrtdžu. ale nemumu impiikantmi /. Zoberme do úvahy napr, funkciu f(x i , x2, x,) = D( 1. 3. 4, Tabuíka s výpísom všetkých koefícientov je na obr. 5.17, pričom škrtnuté p<«' , zatiaf neberme do úvahy. Koeficienty sa vytvárajú v zhode s hodnotami pre... Ji­ných takto: Pře každý riadok tabulky sa najskór vytvoria stípce koefícientov. kíore zodpovedajú jednotlivým premenným v tabufke, pričom koeficie .tom je x,, ak x, nadobúda v jednotkovom bode hodnotu I. a xf, ak tam nadobúda hodnotu 0, Potom sa vytvoria koeficienty ako súčiny všetkých dvojíc premenných, a to podfa rovna ke j zásady. Pre n premenných základnej B-funkcie by sa potom vytvarali koeficienty ako súčiny všetkých trojíc premenných, Stvoříc atd., až k poslednému stipců koefícientov, ktorý je súčinom celej n-tice premenných.

Pre každý koeficient v tabufke potom systematicky zisťujeme. či je implikan­tom danej funkcie, alebo nie je jej implikantom. Dá sa to zistiťdosadením všetkých' možných hodnot premenných do koeficientu. Ak koeficient nadobúda po dosadeni hodnotu I právě vtedy, keď aj daná funkcia nadobúda hodnotu 1, tak je implik^r- tom tejto funkcie. Potom ho v tabufke ponecháme; ak nie je implikant. -n skúmanej funkcie, vyškrtneme ho z tabulky.

Pre každý riadok takto red uková nej tabuíky skúmame, či v ňom nemožno uplatniť zákon pohltenia, ak áno, využijeme ho a vyškrtneme tie implikanty, ktoré

Page 148: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

. ' ■>ryté“ ínými implikantrni (v obr. 5,17 je to vyznačené čiarkovane). Množina 3e .yškrmutych implikantov zodpovedá prostým implikantom, z ktorých vhodným >:-ítupom vyberieme tie, ktoré nakoniec vytvoria MDNF, V danom příklade £ť‘-4:a\3me rúto M D NF: f(xu xlt + jrti, .

Jťj Xj f k o e f ic ie n t y

1 0 0 [ [ % X A Z,x,

3 0 ! I I X" A X4 1 0 C I / \ X ,X j 9&1S r I 0 I Xi Xj \j*i xi*S

Obr. 5,/7. Tabufka pre metodu neurčitých Wficíentov

s-imostatnú skupinu minima lizačných metod vytvořil M. A. Gavrilov a jeho tai.eioArtíci [52j až {57}. Metody sú určené aj pre neúplné logické funkcie ~ počtom premenných a možno ich charakterizovat ako proces tvorbyi '.'v-erti prostých implikantov, ktorý sa v principe líši od klasického uvedeného •* - -‘ .ra. Rozdieí tkvie predo vset kým v tom, že sa tu pracuje s jednotkovými aj

:v;, nii bod mi daných funkcií (neurčené sa neberu do úvahy). Uvedme stručné‘ " I Boio dokázané, že ak existujú dva susedné body funkcie f také, že jeden z nich nitkový a druhý nulový, tak premenná, ktorá ich rozlišuje, bude nevyhnutné

a;::*] minimálně] formě funkcie /. Ak přitom rozlišujúca premenná x, nadobúda 'tkovom bode funkcie / hodnotu I (alebo 0) a v nulovom bode tejto funkcie

í* (alebo I), bude v minimálněj formě funkcie f písmeno .v, (alebo i,)

7 ’ umožnilo vytvorií minimalizaéný postup, ktorým sa hfadajú takéto „nutné1* “ .--í i.pomocou množin jednotkových a nulových bodov danej funkcie /).

. :t >3. ukázalo, že pomocou istých kritérií možno vyivárať súčiny takýchto . :h premenných, ktoré sú prostými implikantmi danej funkcie. Ak nutné

■ ■ ■ . neexistuju alebo ich výberom nie sú ešte rozlišené všetky jednotkové r. nulových bodov funkcie, vytvárajú sa postupné elementárne súčiny z tých

■ - ; - n«cb, ktoré majú najviac hodnot I v jednotkových bodoch a najviac hodnot- - *.\ch bodoch (alebo naopak), a to tak dlho, kým súčin nie je implikantom

. ne? funkcie. Pretože sa takýto výběr uskutočňuje podfa určitých statistických

. isn.; '55 j, [57J, hovoří sa o metodách tejto skupiny ako o metodách kriteriálneho■ ktoré zaradujeme do triedy heuristických riešení. Vo všeobecnosti takéto

:. ne vytvoria takú M DNF alebo skupinu M DNF, ako by sme dostali napr.- lomili z podkap, 5,3, ale iba v určitom zmysle blízku k minimu. Navýše nie je

Page 149: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

zaručené ani to, že poskytnu normálnu disjunktnú formu, ktorá je v tejto kapitole predmetom nášho záujmu. D N F je v týchto metodách špeciálnym případem, a preto aj keď sú takéto metódy poměrně „rýcble“ , nebudeme im už věnoval: pozornost'.

5.6 Ú L O H Y N A R IE Š E N IE

1. Zapište do máp B-funkcie zodpovedajúce elementámym súčinom a elememar­ným sůčtom priradeným ku vŠetkým konfiguráciám v mape na obr. 5.1a.2. Dokážte, že M D N F x iij + jějXi funkcie f\ na obr. 5.3b je minimálna DNP funkcie / z obr. 5.3a,3. Dokážte, že implikanty k příkladu podfa obr. 5.5 sú prosté.4. Nakreslíte logická sieť pre skupinu DNF danú vzťahmi (5.34).5. Vypočítajte hodnoty všetkých kritérií minimalizácie pre skupiny D N F dáme vzťahmi (5,39) a (5.42) a nakreslite zodpovedajúce logické siete. Overte sí význam jednotlivých kritérií.6. Individuálnou minimalízáciou určte M D N F funkcii až /* z obr. 5.15 a vypočítajte zodpovedajúce kritériá minimalizácie. Porovnajte toto riešenie s riešemm podfa vzťahov (5.43).7. Nájdite M D N F funkcií fu /2, f3 zadaných mapami na obr. 5.18. Nuly sme v nich pre zvýše nie přehlednosti vynechali.

x» *1 xiX X

1 1 I X

I I

X 1

*1 f ) *5 f , *> h

Obr. 5. í 8.

8. Quinovou a McCluskyho metodou nájdite minimálně D N F funkcií:a) Xi, X j, x„) = D(3, 4, 5, 7, 9, I I , 12, 13)b) fi(xu x2, x4, x5) = D(31, 30, 29, 25,22,20, 17, 16, 11 (8, 7,4, 3,OJIc) h(xu x2i JC3, x4, JCS) = D(29, 25, 24, 21, 11, 10, 9, 8)

9. Odvoďte pomocou TP na obr. 5.14 příslušná minimálnu skupinu DNF.10. Minimalizujte systém normálnych foriem výrazov funkcii fi(xu x2, Xi, *«) = D (I, 6, 7, 9, 11, 14, 15)h (x t, x2t x^ x4) = D(4, 5, 6, 7, 10, 12, 13, 14, 15) / ,(* „ X j, x4) = D(1, 4, 5, 9, 10, 11, 12, 13, 14, 15)

Page 150: LOGICKÉ SYSTÉMYskola.janousekjan.cz/data/ujep/ki-csy/image001.pdf · Prof. ing. NORBERT FRIŠTACKÝ, CSc. Doc. Ing. MILAN KOLESÁR, CSc. Doc. Ing. JÁN KOLENIČKA, CSc. Doc. Ing

6 SYNTÉZA KOMBINAČNÝCH OBVODOV

i 1 FO R M U LÁ C IA P R O B L É M U

Syntézu kombinačného obvodu chápeme v principe lak. ako sme chápali ~'nu logické] siete, ktorá je grafickým (teda abstraktným) modelom tohto

. -Značného obvodu. Pri syntéze obvodov vystupuji! však ďalšie ddležité mo- -. " "v. ktoré vyplývájú z realizačných technologií. Rozlišujeme dve základné « '^-prie:

1. Syntéza obvodov, ktoré sa majú realizovat' vo formě integrovaných obvo- kryštálí (čipe) polovodíča strednej, vefkej alebo vefmi ve Tkej integrácie.

2 A\mto prístupom sa střetáváme u výrobců polovodičových súčiastok aj při zákaznických obvodov.

Zákaznicky obvod je obvod, ktorý výrobca vyrobí pre zákazníka. Zákazník- -co v i určí len požadovanú strukturu obvodu, a to napr. v podobě logické j

. -. , Výrobca používá obyčajne automatizovaný systém návrhu, ktorým zo --;inu vytvoří obvod.

Pří takýchto obvodoch je najčastejŠie kritériom optimálnej syntézy kritérium- ygických členov v obvode alebo počef vázieb medzi nimi, lebo určuje cenu

Ju.I Ďalsia koncepcia syntézy využívá obvody malej, strednej a vefkej integrá-

; . I platňuje sa pri návrhu róznych číslicových zariadení a riadiacich systémov.Při mátej integrácii sú v integrovanom obvode (module) uložené súbory

n ladných logických členov (obyčajne bez vzájomnej vazby — tá sa vytvára spojmi); pri strednej integrácii sú v module uložené aj niektoré

r»rtcké, často sa vyskytujúce obvody {z kombinačných obvodov napr. dekodéry, t? ;_Itiplexory a pod.). Pri vefkej integrácii sú to najma programovatelné logické : i pevné parna ti (pozři podkap. 6.8),

Kritériom optimálnej syntézy pri malej a strednej integrácii býva najčastejŠie počet integrovaných obvodov (konštrukčných modulov). Pre optimálnu syntézu *zi*Tadoin na takto stanovené kritérium neexistujú (s výnimkami přibližných bs-ic-J. napr. v [4]) jednoznačné metody. Pre to sa pri syntéze v tomto případe

.isiejšie používajú krítériá minima počtu logických členov alebo minima ■»:ujxiv. aj keď vzhíadom na počet modulov vedu niekedy k „predímenzovaniu**

>du.Prí svntéze obvodov s programovatelnými poliami (kde sú to obyčajne