mergers, acquisition, joint ventures, financial watch · your monthly digest which aims to provide...

14
April 2012 Issue Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian arena - direct to your inbox. Simply click on the relevant links provided to get the details. Key Take-Away Local Asia-Pacific News Industry Headlines Financial Watch Mergers, Acquisition, Joint Ventures, Spin-offs and People Market Outlook Views and Opinions Stock Watch Hi, Tokyo Electron is enriching its arsenal in the race with rival Applied Materials - taking advantage of yen’s strength, it made 2 major overseas acquisitions this month – one of Oerlikon Solar and another with Nexx Systems. Samsung has been much in news – It will spend $7B to build NAND flash in Xian. And how many companies can boast of becoming the world’s largest display manufacturing entity right at birth, er, I mean launch or should I say spin-off… Some figures - The value of IC manufacturing last year was NT$779.8 billion, while that of IC design was NT$385.6 billion, a decline of 11.8 per cent and 15.2 per cent, respectively. Thanks to US$ depreciation, 2011 semiconductor foundry market saw a 5.1% growth from '10. Remove the depreciation & you end up with only 0.7%..........that’s currency exchange for you! An interesting snippet before I leave you scanning this e-digest – Smart hybrids are increasingly getting to be manufacturers’ choice to make products smarter, instead of producing appliances that are inherently smart. Example - A refrigerator by LG Electronics is linked to smart devices. When receipts and bar codes of groceries are scanned with a smartphone, the list of items bought is automatically sent to the refrigerator. Information such as expiry dates or recipes are sent to the handsets. Now, when do they come with a smart(er) hybrid to implement the next phase of the supply chain aka cook and place the meal on the table? If you have any thoughts on the semiconductor news & would like to share them with me and your industry colleagues through this e-newsletter, please email me at [email protected].

Upload: others

Post on 17-Oct-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

April 2012 Issue

Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian arena - direct to your inbox. Simply click on the relevant links provided to get the details.

Key Take-Away

Local Asia-Pacific News

Industry Headlines

Financial Watch

Mergers, Acquisition, Joint Ventures,

Spin-offs and People

Market Outlook

Views and Opinions

Stock Watch

Hi, Tokyo Electron is enriching its arsenal in the race with rival Applied Materials - taking advantage of yen’s strength, it made 2 major overseas acquisitions this month – one of Oerlikon Solar and another with Nexx Systems.

Samsung has been much in news – It will spend $7B to build NAND flash in Xian. And how many companies can boast of becoming the world’s largest display manufacturing entity right at birth, er, I mean launch or should I say spin-off…

Some figures - The value of IC manufacturing last year was NT$779.8 billion, while that of IC design was NT$385.6 billion, a decline of 11.8 per cent and 15.2 per cent, respectively.

Thanks to US$ depreciation, 2011 semiconductor foundry market saw a 5.1% growth from '10. Remove the depreciation & you end up with only 0.7%..........that’s currency exchange for you! An interesting snippet before I leave you scanning this e-digest – Smart hybrids are increasingly getting to be manufacturers’ choice to make products smarter, instead of producing appliances that are inherently smart. Example - A refrigerator by LG Electronics is linked to smart devices. When receipts and bar codes of groceries are scanned with a smartphone, the list of items bought is automatically sent to the refrigerator. Information such as expiry dates or recipes are sent to the handsets. Now, when do they come with a smart(er) hybrid to implement the next phase of the supply chain aka cook and place the meal on the table? If you have any thoughts on the semiconductor news & would like to share them with me and your industry colleagues through this e-newsletter, please email me at [email protected].

Page 2: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

Best Regards Meenu Ps: If you see a need for

Training for your engineers (pl. visit http://asic-vlsi.com/training.html for a list of offerings) Detailed research and an in-depth analysis for your specific market intelligence requirements, do let me know; we can value-add. Visit www.asic-vlsi.com for details or simply drop me an email

LOCAL ASIA-PACIFIC NEWS

Samsung to build chip plant in Xian Source: The Korea Herald – 2nd April 2012 It has picked China’s Xian to build its second overseas NAND Flash production plant. With initial funding of 2.3 billion won ($2 million) for construction, the firm plans to begin producing 10-nano NAND Flash at the plant starting in the latter half of next year. World’s largest display manufacturer, Samsung Display is launched Source: Business Wire – 2nd April 2012 Samsung Display Co., Ltd, announced that it has been officially launched as a new corporation, spun off from Samsung Electronics. The company, which recorded approximately 20 billion U.S. dollars in annual revenue in 2011 as Samsung Electronics’ LCD Business, became the world’s largest display manufacturer overnight, based on its 20,000 employees and five production facilities worldwide. Qualcomm establishes IC Design and R&D centre in Singapore Source: PRNewswire – 29th March 2012 Singapore has held a strategic importance to Qualcomm since 2000 when the company set up its chipset distribution centre in the country. In 2008, it established its first test development centre outside of the United States, enabling Singapore to become Qualcomm's development hub for the Asia Pacific region. India’s first telecom incubator to take off in Kerela in April Source: Telecom Tiger – 28th March 2012 India’s first public-private partnership incubator, Startup Village, is ready to take off from Kerala in the second week of April with a projected investment of Rs 100 crore and the aim of nurturing over 1,000 student start-ups. Get2Volume selected as a Singapore National Research Foundation incubator Source: ECN – 28th March 2012 This is under NRF’s Technology Incubation Scheme which was initiated to nurture early stage high-tech start-up companies in Singapore. Cypress outsources 7 back-end packaging lines to China

KEY TAKE-AWAY

From the Asian arena India’s 1st telecom incubation centre launched in Kerela, TSMC on a hiring spree, several expansions in China – Samsung’s plant in Xian, Cypress’s 7 backend packaging lines, Cadence’s R&D centre and Taiwan lifting cap on China investments in 5 key sectors Acquisitions Kyocera (Optrex), Broadcom (BroadLight), Tokyo Electron (Nexx), Flextronics (Stellar Microelectronics), AMD sheds stake in GF. JV on – ARM, Gemalto and G&D. JV off – Fujitsu and Toshiba from their mobile JV, NTT DoCoMo from LTE JV with NEC, Fujitsu, Panasonic and Samsung Rankings Semiconductor foundry rankings in 2011: TSMC tops with 48.8% market share, followed by UMC and GlobalFoundries.

Page 3: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

Source: Solid State Technology – 27th March 2012 The production will now take place at JCET's C3 factory in Jiangyin City, China. JCET (Jiangsu Changjiang Electronics Technology Co., Ltd.) is a Chinese packaging subcontractor, providing full turnkey packaging assembly and test services with a package portfolio of BGA, flip chip, wafer-level, lead frame IC and discrete packages. TSMC and Altera team on industry’s first 3D IC test vehicle Source: Solid State Technology – 22nd March 2012 The two companies announced the joint development of the world's first heterogeneous 3D IC test vehicle using TSMC's Chip-on-Wafer-on-Substrate (CoWoS) integration process. Cadence expands Shanghai office and R&D centre Source: Biz Journals – 21st March 2012 The EDA company has opened an expanded office in Shanghai, China, which includes more than 300 sales, R&D, and technical support employees Dow Chemical opens new R&D centre in Seoul Source: PV-Tech – 21st March 2012 The R&D centre will focus on technological advances in display technologies and semiconductor-related applications including lithography, organic light-emitting diodes (OLED), display materials and advanced chip packaging. Taiwan lifts cap on China investment in LCDs, chips Source: Reuters – 20th March 2012 Taiwan has raised investment ceilings for Chinese investors in five key sectors including liquid crystal displays (LCDs) and semiconductors, but stopped short of allowing mainland companies to hold controlling stakes. NEC shows off ultra thin battery Source: Richard Chirgwin, The Register – 18th March 2012

NEC has announced the development of an ORB (organic radical battery) which it says can be printed into circuit boards as thin as 0.3mm – making it suitable for applications like credit cards and bendable screens. It expects to have the batteries ready for production in 2013

SAFC Hiitech expands LED precursor production in Taiwan Source: Electroiq – 15th March 2012 SAFC Hitech, a member of the Sigma-Aldrich Group, opened a multi-million dollar (US) 270000sq.ft. expansion at its facility in Kaohsiung, Taiwan increasing capacity for high-quality Trimethylgallium (TMG), Triethylgallium (TEG) and Trimethylindium (TMI) precursor production serving high brightness light emitting diode (HB-LED) manufacturers.

Page 4: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

AMEC debuts TSV etch tool with Chinese installations Source: Electroiq – 14th March 2012 Several Primo TSV200E tools from AMEC are deployed for production at Q Tech and JCAP in China, supporting advanced packaging of semiconductors. AMEC expects orders soon from Taiwan and Singapore. MediaTek: Unknown OEMs may be next smartphone stars Source: EE Times – 13th March 2012 MediaTek president, Ching-Jiang Hsieh, has been forging tight links with China's handset makers. He believes they will be the source of the next big wave of smartphones. Samsung gears up for move into mobile payments with NFC phones Source: Dan Balaban, NFC Times – 12th March 2012 The initiative could pose a new challenge to major mobile operators, which plan to introduce their own NFC-mobile wallets based on SIM cards they issue. TSMC looking to hire about 2000 in first half of year Source: Kevin Chen, Taipei Times – 9th March 2012 The announcement also came as the world’s biggest contract chipmaker is seeing rising demand for wafers using its cutting-edge 28nm process technology, especially following AMD’s recent announcement that it would restructure its manufacturing relationship with GlobalFoundries in order to have some of its products made elsewhere. StarChip opens office in Shanghai to support smart card IC business Source: ECN Europe – 8th March 2012 StarChip is opening a new office in Shanghai, China, marking the start of a multi-phase plan to expand its operation and sales to China. The company acknowledges that one of the keys to global competitiveness and growth lies in the creation of a strong and flexible manufacturing network in China backed by StarChip local presence. Shining the spotlight on Malaysia’s LED industry Source: Pallavi Bhargava, EE Times – 8th March 2012 Malaysia is now an emerging exporter of LED modules with several European and American companies setting up their base in the country. TSMC triumvirate sets stage for Chang’s succession Source: Peter Clarke, EE Times – 5th March 2012 Senior vice presidents Shang-yi Chiang (R&D), Mark Liu (operations) and Che-Chia Wei (business development) are each being promoted to co-COO and reporting to Chang. All other divisions and personnel will report to these three.

Page 5: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

MStar, MediaTek dominate global TV chip market Source: Focus Taiwan – 3rd March 2012 The two Taiwanese companies' combined share of the global TV market exceeded 56 per cent last year as per iSuppli. Back to top

INDUSTRY HEADLINES

Orange makes major new NFC phone commitment Source: NFC Times – 22nd March 2012 Seeking to encourage service providers to introduce NFC applications, France Telecom-Orange group said it plans to roll out 3 million SIM-based NFC phones this year and 10 million in 2013. Imagination outstrips all other GPU IP suppliers Source: Colin Holland, EE Times – 22nd March 2012 The leading high volume suppliers of application processors are Apple, Texas Instruments, Qualcomm, and Samsung. Three of the four use Imagination Technologies’ GPU IP as well as several other smaller competitors. ST to drive into the automotive MEMS market Source: Peter Clarke, EE Times – 21st March 2012 Automotive is still 50 per cent of the market but mobile phone and consumer [use of MEMS] has expanded fast," said Carmelo Papa, senior executive vice president at STMicroelectronics. "In 2012 ST will make some progress in automotive." Intel unleashes Atom SoC for set top boxes Source: Xbitlabs – 20th March 2012 The Intel Atom CE5300 media processor (Berryville) sports two Atom cores with support for Hyper-Threading and virtualization, an advanced 3D/2D graphics engine, integrated power management, H.264 B-picture hardware encoder and so on. The chip is made using 32nm process technology. Cadence, TSMC, ARM call for more collaboration Source: Rick Merritt, EE Times – 13th March 2012 Cadence’s CEO Lip-Bu Tan cited Apple, Oracle, Google and Facebook as examples of companies engaged in “applications-driven system design,” spanning everything from silicon to software. “This will begin changing the landscape of semiconductor design,” said Tan

Page 6: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

Cadence offers IC design program for start-ups in Australia Source: Marketwire – 6th March 2012 The Cadence IC design program offers small and medium enterprises access to the Cadence suites of analog, custom, digital and PCB/package/board design software, as well as Internet training. The program is available through NICTA, Australia's Information and Communications Technology Research Centre of Excellence. Back to top

FINANCIAL WATCH

Semiconductor makers spend record amount on materials for second straight year Source: Solid State Technology – 2nd April 2012 The global semiconductor materials -- wafer fabrication and packaging materials -- market increased 7% in 2011 compared to 2010, with record revenues of $47.86 billion. This is the second record year for the sector in a row, following $44.85 billion spent in 2010. Semiconductor foundry market grew 5.1 per cent in 2011 - Gartner Source: Gartner.com – 30th March 2012 The worldwide semiconductor foundry market totaled $29.8 billion in 2011, a 5.1 percent increase from 2010. Communications, consumer and data processing continued to be the three key applications driving the foundry business. Hon Hai will become Sharp’s largest shareholder Source: CENS.com – 28th March 2012 Hon Hai Group announced entering an alliance with Sharp by obtaining 10.95% stake at a cost of 66.9 billion Japanese yen in Sharp, thereby becoming the latter’s largest shareholder. Meanwhile, Terry Guo, chairman of Hon Hai, will also buy into the 10th-generation FPD (flat panel display) plant of Sharp as an individual investo.r Intel’s semiconductor market share surges to more than 10 years high in 2011 Source: Tech Powerup – 27th March 2012 According to IHS, Intel in 2011 increased its overall semiconductor market share to 15.6 per cent, up 2.5 percentage points from 13.1 per cent in 2010. Over the last five years, Intel’s share of the market ranged from 11.9 per cent to 13.9 per cent Powertech to sell Rexchip shares to Kingston Source: The China Post – 27th March 2012 Powertech Technology will sell all of its holdings of the shares of Rexchip Electronics to its largest shareholder, Kingston Technology. Powertech provides semiconductor testing and packaging services for the cash-strapped Powerchip,

Page 7: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

which has agreed to use the Rexchip shares as payment for the service rendered. ST closes in on TI atop MEMS top 30 ranking Source: Peter Clarke, EE Times – 26th March 2012 STMicroelectronics and Texas Instruments were the leading manufacturers of MEMS components in 2011 in a market that grew 17 per cent to reach $10.2 billion, according to Yole Developpement. In contrast the semiconductor IC market grew 0.4 per cent in 2011 to a size of $299.5 billion. Micron posts third straight loss on weak memory chip prices Source: Bloomberg Business Week – 22nd March 2012 The second-quarter net loss was $224 million. A glut of memory-chip production has sent prices tumbling, making it harder for Micron and its competitors to stay profitable. Optoelectronics, sensors and discretes saw record year in 2011 Source: Solid State Technology – 22nd March 2012 Strong demand for MEMS based sensors, CMOS image sensors, LEDs, fibre-optic laser transmitters, and power transistors enabled the optoelectronic, sensor/actuator, and discrete (OSD) semiconductors market to grow by 8% in 2011, hitting a new record revenue of $57.4 billion, according to IC Insights. Capacity utilization rate rising at Taiwan’s LED makers Source: Taiwan Economic News – 21st March 2012 While Lextar Electronics estimates its production will run at full capacity by end of the second quarter at the earliest and Genesis Photonics has been inundated with orders this month, Everlight Electronics and Epistar say their capacity utilization rates are ramping up towards 100%. Semiconductor inventories coast at record levels in 2012 Source: Electroiq – 21st March 2012 Semiconductor days of inventory (DOI) rose 3.4%, hitting an 11-year high (since Q1 2001) of 84.1 DOI at chip suppliers in Q4 2011, but this will decline 0.5% in Q1 2012, with hopes that demand is improving, says IHS. Despite challenges, Renesas still dominant in MCUs Source: Dylan McGrath, EE Times - EDN – 21st March 2012 Renesas (Tokyo) logged microcontroller sales of $2.62 billion in 2011, down about 1 per cent from 2010. Its microcontroller market share slipped to 17.3 per cent in 2011 from 17.9 per cent in 2010, but remained significantly higher than second-ranked Freescale Semiconductor. Taiwan’s semiconductor industry down in 2011: DGBAS Source: Focus Taiwan – 20th March 2012

Page 8: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

The annual production value of Taiwan's semiconductor industry fell 11.3 per cent to NT$1.55 trillion (US$52.4 billion) in 2011 due to faltering global demand, according to the government's statistics bureau LCD TV shipment growth falters on weak demand Source: Kevin Chen, Taipei Times – 16th March 2012 With recent falls in the shipment of plasma TVs and cathode-ray tube TVs, DisplaySearch said that TV shipments fell worldwide by 0.3% last year. ASML was No. 1 in tool sales in 2011: VLSI Source: Dylan McGrath, EE Times – 13th March 2012 Applied Materials failed to lead semiconductor equipment vendors in sales in 2011 for the first in 20 years, as strong sales of lithography tools propelled Dutch lithography vendor ASML Holding into the top sport, according to market research firm VLSI Research. Semiconductor manufacturing equipment sales rose in 2011 Source: Electroiq – 13th March 2012 Worldwide sales of semiconductor manufacturing equipment totalled $43.53 billion in 2011, representing a year-over-year increase of 9%, shows the Worldwide Semiconductor Equipment Market Statistics (SEMS) Report from SEMI. TI, Altera hurt by wireless weakness Source: 4-traders.com – 8th March 2012 Both companies tempered their first-quarter outlooks, citing lower-than-expected sales to wireless customers. The projections, which included lower profit and revenue estimates from Texas Instruments and a narrower sales view from Altera, offered a rebuttal to the upbeat tone struck by some industry executives who had tentatively called for the chip sector's trough in recent months. LED market grew 9.8% to $12.5 billion in 2011 Source: Electroiq – 6th March 2012 The worldwide high-brightness LED market grew from $11.3 billion in 2010 to $12.5 billion in 2011, a growth rate of 9.8%, according to Strategies Unlimited. Demand for LED components in the lighting market grew 44%, from $1.2 billion to $1.8 billion. TSMC, Samsung foundries reconsidering 2012 capex on stronger 28nm demand Source: Electroiq – 5th March 2012 The wafer foundry ramp up shows no signs of ending, with TSMC and Samsung likely to raise capex in 2012 to accommodate 28nm demand. Coupled with improving gross domestic product (GDP) and Purchasing Managers Index (PMI) that could increase overall IC demand in 2H, wafer fab equipment orders could grow 5-10% in 2012.

Page 9: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

Panasonic Energy invests RM 1.84 billion for solar manufacturing plant in Malaysia Source: SEDA Malaysia – 3rd March 2012 Located at the Kulim Hi-Tech Park, the facility will undertake the integrated manufacturing of solar wafers, cells and modules with an estimated annual production capacity of 300 megawatt (MW). Back to top

MERGERS, ACQUISITIONS, JOINT VENTURES, SPIN OFFS AND PEOPLE

ARM, Gemalto and G&D form venture to secure mobile devices Source: Matt Brian, TNW – 3rd April 2012 The new company will form a “Trusted Execution Environment” (TEE), which will utilize existing technologies from the three founding companies with both Gemalto and G&D contributing their industry patents, operating systems and engineers to the new company. Fujitsu says sayonara to Toshiba in bid to take on Apple Source: Phil Muncaster, Networks – 3rd April 2012 Japanese computing giant Fujitsu has bought out Toshiba's share of the firms’ joint mobile venture to give it a clear crack at unseating Apple which only recently jumped into top spot in the land of the rising sun. NTT DoCoMo joint venture to build 4G LTE chips is killed Source: Matt Brian, TNW – 3rd April 2012 The Japanese cellular provider has announced that it has terminated an agreement concerning the establishment of a joint venture company to develop and sell semiconductor products for mobile devices, which the company signed with Fujitsu Limited, Fujitsu Semiconductor Limited, NEC Corporation, Panasonic Mobile Communications Co., Ltd. and Samsung Electronics Co., Ltd. in December 2011 Kyocera acquires Optrex to form Kyocera Display Corporation Source: ECN Magazine – 2nd April 2012 With the acquisition of Optrex and formation of Kyocera Display Corporation, Kyocera will expand its LCD product offerings and manufacturing capabilities for automotive and industrial applications. Broadcom buying BroadLight for about $195 million Source: Canadian Business – 21st March 2012 Broadcom is buying the privately held BroadLight for about $195 million in order to expand its broadband access portfolio and help deliver next-generation

Page 10: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

technologies. Tokyo Electron to buy Nexx Systems for $206 millions Source: Reuters – 16th March 2012 Japan’s Tokyo Electron will buy Massachusetts-based wafer processing equipment maker Nexx Systems for $206 million, to give it more firepower to build equipment for smaller and more powerful chips Spreadtrum and Micromax partner to expand handset business in India and emerging markets Source: Marketwatch – 14th March 2012 In connection with the agreement, Spreadtrum will invest US$10 million in Micromax and become one of Micromax's preferred mobile chipset suppliers. Flextronics inks deals to buy Stellar Microelectronics Source: RTT News – 12th March 2012 Flextronics noted that upon completion of the acquisition, it will increase its service offering to include microelectronic design and manufacturing services to support customers with unique U.S. manufacturing needs. Semtech acquires French semiconductor company Source: San Fernando Valley Business Journal – 8th March 2012 The acquisition of Cycleo SAS is Semtech’s second buyout this year. It bought Gennum in January in a $500m deal. Cycleo makes semiconductors used in smart metering and other industrial and consumer markets. AMD sheds its stake in GlobalFoundries Source: Agam Shah, IDG News – 6th March 2012 GlobalFoundries will be fully owned by Advanced Technology Investment Company, which is a part of the Abu Dhabi government's Mubadala Development investment arm. AMD initially retained GlobalFoundries as its exclusive chip supplier after the 2009 spinoff but the new deal provides the flexibility for AMD to seek other chip makers. Flip chip bumping, WLP partnership unites FCI and Nanium Source: PRNewswire – 6th March 2012 Flip chip bumping and wafer-level packaging (WLP) supplier FlipChip International (FCI) signed a set of license, sales, and marketing agreements with NANIUM S.A., semiconductor manufacturing, test and engineering services provider, for 300mm flip chip bumping and WLP. Back to top

Page 11: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

MARKET OUTLOOK

Applied Materials projects extended capital investment cycle driven by mobility trends Source: Reuters – 28th March 2012 Applied Materials forecast wafer fabrication equipment spending will exceed $30 billion for a record fourth consecutive year in 2013. The semiconductor industry to date has not experienced more than two successive years of spending at that level. LG Display to push industrial recovery Source: The Korea Times – 22nd March 2012 The situation is changing to favour the display-maker. LG Electronics’ smartphone business has improved since late last year, meaning more panel orders for LG Display. LG Electronics owns a 37.9 per cent stake of LG Display. LED lighting to illuminate power semiconductor sector Source: Solid State Technology – 16th March 2012 The LED lamp market is projected to drive over $1.5 billion of AC-DC and DC-DC controllers and low power MOSFETs in 2016. The expanding range of available lamps with varying electronics design, requirements and specifications are driving opportunities power semiconductor manufacturers. Chip market poised for “rebound” in 2012 Source: Sharon Gaudin, Computerworld – 13th March 2012 Gartner analysts say multiple market segments are showing growth this year. It reported that worldwide semiconductor revenue is expected to reach $316 billion this year, a 4% increase from 2011. IHS: iPad to dominate NAND demand for tablets through 2015 Source: 4-traders – 13th March 2012 The iPad in 2011 accounted for 78% of global gigabyte shipments of NAND flash for use in media tablets, down from 92% in 2010, when it had the market all to itself for most of the year. Despite the inroads of competitors this year, Apple will continue to dominate tablet NAND purchasing in 2012 with a 72% share of gigabyte shipments. Asia Pacific Telecom market to dominate contactless mobile payments Source: Telecom Lead, India – 9th March 2012 Asia Pacific is set to dominate contactless mobile payments, representing 41 per cent of the transactions in 2016. Remote mobile payments will account for nearly $226 billion in mobile payments in 2012. Media tablets join top 5 semiconductor end markets in 2012 Source: Electroiq – 9th March 2012

Page 12: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

Media tablets, a consumer electronics product sector experiencing "remarkably rapid ascension," will become the fourth-largest application for semiconductors globally by 2014, up from 35th in 2010 and 8th in 2011, and predicted 5th in 2012, according to the IHS iSuppli Ciena sees stronger second half on 4G deployments Source: Reuters – 7th March 2012 Ciena reported a much wider-than-expected quarterly loss, but said rapid build outs of 4G networks by telecom carriers would boost demand for the company's network equipment in the second half of the year. Printed electronics segment has potental to hit US$ 9.4 billion in 2012 Source: Sile Mc Mahon, PV-Tech – 7th March 2012 As per IDTechEx, the four chief markets that make up this proposed figure of US9.4 billion are OLED displays, CIGS based PV, Conductive inks and e-paper materials. Equipment spending growth flat in 2012, record fab equipment spending expected in 2013 Source: Semi – 6th March 2012 While the outlook for fab equipment spending in 2012 was negative two months ago, key spenders like Samsung increased spending to record levels. Samsung and Intel will keep their fab equipment spending level above $2 billion in 2012. Fab equipment spending is estimated at $38.85 billion for 2012 and a record $45.50 billion for 2013. Hynix to benefit from market consolidation Source: The Korea Herald – 7th March 2012 According to Daewoo Securities, Hynix Semiconductor, the world’s second-largest memory chipmaker, is likely to see its earnings improve in step with market consolidation and stronger DRAM prices. Back to top

VIEWS AND OPINIONS

Viewpoint: China could save ST-Ericsson Source: EE Times – 19th March 2012 Europe's largest chip company STMicroelectronics should persuade Ericsson that they sell off their mobile chip joint venture ST-Ericsson, but probably to some aspiring Chinese company. This seems to be the quickest and most profitable—or least loss-making—exit for the two parent companies from what has become a failed project.

Page 13: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

Back to top

STOCK WATCH

Source: NASDAQ

1 month chart for Intel, TSMC, TI, Broadcom, STM, Xilinx, KLA-Tencor, Qualcomm, LSI, UMC, Applied Materials, Teradyne, Toshiba

and Infineon

INTEL

TSMC

TI

BROADCOM

STM

XILINX

KLA-TENCOR

QUALCOMM

LSI

UMC

APPLIED MATERIALS

TERADYNE

TOSHIBA

INFINEON

Back to top

Page 14: Mergers, Acquisition, Joint Ventures, Financial Watch · Your monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian

If you see a need for detailed research and an in-depth analysis for your specific market intelligence requirements, we can help.

Call us at +65 98629814 or email at [email protected]

For sponsorship and advertising opportunities in this e-newsletter, email at [email protected]

VLSI Consultancy Providing techno-commercial value-addition to the semiconductor industry 17 Jalan Tenggiri, Singapore 428263 Tel: +65 98629814, Email: [email protected] Web: www.asic-vlsi.com, Blog: www.asic-vlsi.com/blog LinkedIn: http://sg.linkedin.com/in/meenusarin Twitter: @meenusarin, Facebook: VLSI Consultancy Registration No. 53023009K

SUBSCRIBE/FORWARD TO A COLLEAGUE

Please tell your colleagues about Semiconductor Biz Insight, your 5 minute monthly e-link Digest brought to you by VLSI Consultancy -

forward this email to a colleague so that they can Subscribe today

UNSUBSCRIBE

If you no longer wish to receive Semiconductor Biz Insight, please reply to this email with UNSUBSCRIBE in the Subject line.

DISCLAIMER

The Semiconductor Biz Insight is compiled by VLSI Consultancy. The newsletter includes a compilation of news-stories that appeared on the

internet in leading company and news sites. We provide the links for your ease and urge and encourage you to read the original story at the

respective website following the URL/Link of the news-item. All information provided in this document is of a general nature and is not

provided with any warranty as to its suitability to the circumstances of each individual business. Readers and users are entirely and

individually responsible for taking appropriate action with regard to the setting up of such services and for the consequences of such

actions.