metal–nanocarbon contacts - rice universityjaspertan.web.rice.edu/nano_paper.pdf ·...

17
This content has been downloaded from IOPscience. Please scroll down to see the full text. Download details: IP Address: 129.210.115.14 This content was downloaded on 23/11/2014 at 22:53 Please note that terms and conditions apply. Metal–nanocarbon contacts View the table of contents for this issue, or go to the journal homepage for more 2014 Semicond. Sci. Technol. 29 054006 (http://iopscience.iop.org/0268-1242/29/5/054006) Home Search Collections Journals About Contact us My IOPscience

Upload: others

Post on 06-Aug-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

This content has been downloaded from IOPscience. Please scroll down to see the full text.

Download details:

IP Address: 129.210.115.14

This content was downloaded on 23/11/2014 at 22:53

Please note that terms and conditions apply.

Metal–nanocarbon contacts

View the table of contents for this issue, or go to the journal homepage for more

2014 Semicond. Sci. Technol. 29 054006

(http://iopscience.iop.org/0268-1242/29/5/054006)

Home Search Collections Journals About Contact us My IOPscience

Page 2: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semiconductor Science and Technology

Semicond. Sci. Technol. 29 (2014) 054006 (16pp) doi:10.1088/0268-1242/29/5/054006

Metal–nanocarbon contactsPatrick Wilhite1, Anshul A Vyas1, Jason Tan1, Jasper Tan1,Toshishige Yamada1,3, Phillip Wang2, Jeongwon Park2 and Cary Y Yang1

1 Center for Nanostructures, Santa Clara University, Santa Clara, CA, USA2 Applied Materials Inc., Santa Clara, CA, USA3 Electrical Engineering, University of California, Santa Cruz, CA, USA

E-mail: [email protected]

Received 13 November 2013, revised 28 December 2013Accepted for publication 13 January 2014Published 1 April 2014

AbstractTo realize nanocarbons in general and carbon nanotube (CNT) in particular as on-chipinterconnect materials, the contact resistance stemming from the metal–CNT interface must bewell understood and minimized. Understanding the complex mechanisms at the interface canlead to effective contact resistance reduction. In this study, we compile existing publishedresults and understanding for two metal–CNT contact geometries, sidewall or side contact andend contact, and address key performance characteristics which lead to low contact resistance.Side contacts typically result in contact resistances >1 k�, whereas end contacts, such as thatfor as-grown vertically aligned CNTs on a metal underlayer, can be substantially lower. Thelower contact resistance for the latter is due largely to strong bonding between edge carbonatoms with atoms on the metal surface, while carrier transport across a side-contacted interfacevia tunneling is generally associated with high contact resistance. Analyses of high-resolutionimages of interface nanostructures for various metal–CNT structures, along with theirmeasured electrical characteristics, provide the necessary knowledge for continuousimprovements of techniques to reduce contact resistance. Such contact engineering approachis described for both side and end-contacted structures.

Keywords: nanotube, contact, resistance, interface, metal

(Some figures may appear in colour only in the online journal)

1. Introduction

Carbon-based nanostructures (or nanocarbons) such as carbonnanotubes (CNTs) [1–6], carbon nanofibers (CNFs) [7–9],and graphene [10–13] are candidate materials for next-generation semiconductor devices and integrated circuits (IC)due to their tolerance to electromigration under high currentsand excellent electrical, thermal, and mechanical properties[14–24]. Nanocarbons show increasing promise to replacecopper (Cu) for on-chip interconnects and through-silicon-vias in three-dimensional chips [25–26], largely because oftheir excellent controllability for directional growth and highcurrent capacity. The key performance-limiting factor formetallic single-wall carbon nanotubes (m-SWCNTs), multi-wall carbon nanotubes (MWCNT, generally metallic), andCNFs remains the high contact resistance originating fromthe interface with metal electrodes [27]. While not uniqueto nanocarbons, the effect of contact resistance is enhancedas devices shrink [28], and is particularly problematic in thenanoscale [29].

In this paper, we review recent studies on metal–nanocarbon contacts in what is commonly known as localinterconnects. Unlike global interconnects, the lengths of localinterconnects, fabricated as part of the front-end IC technology,generally scale with on-chip dimensions. And the local andglobal interconnects together constitute the critical limitingfactor in chip performance. Process considerations regardingintegration of nanocarbons as interconnect materials havebeen addressed in the latest ITRS Roadmap [24] and byothers [14, 16]. Despite their high quantum resistance, CNTand graphene are considered potential local interconnects inthe first metal layer, where SWCNTs, double-wall CNTs,and graphene nanoribbons (GNRs) could perform on paror better than Cu, while MWCNTs show comparableperformance for lengths larger than 0.5 μm [16, 24].For global interconnects, virtually any nanocarbon has thepotential to outperform Cu [14, 16, 24]. In 3D integrationschemes, vertically aligned CNTs and horizontal graphenelayers may be combined for interconnect architectures madesolely from nanocarbons [30, 31], and hybrid Cu/CNT

0268-1242/14/054006+16$33.00 1 © 2014 IOP Publishing Ltd Printed in the UK

Page 3: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

systems have also been proposed [32]. While our present studyfocuses on the electrical transport across the metal–nanocarboninterface and its consequences on contact resistance inlocal interconnects, the same understanding is expectedto be applicable to global interconnects as well. In mostcases discussed here, the extracted contact resistance fornanocarbon test devices tends to be the dominant componentof the measured total resistance. Contact resistance betweengraphene and metal has also proven to be problematic forrealizing high-performance FET devices, diminishing thebenefits of graphene’s high carrier mobility and posinga severe bottleneck for device functionalization [33–35].Despite challenges in optimizing metal–nanocarbon contactresistance and realizing that nanocarbons possess the highestcurrent capacities among all known materials, we believe thatsuitable contact engineering based on clear understandingof the metal–nanocarbon interface will eventually leadto device resistances acceptable to specific applications.Thus, understanding the physical origin of metal–nanocarboncontact resistance and reducing it as much as needed areessential for functionalizing these materials in applicationswhere high electrical conduction is critical.

In most cases, as in other heterostructures, high contactresistance between metal and nanocarbon originates fromcarrier tunneling across the interface due to work-functiondifference between the two materials [36, 37]. It can alsoresult from defects (including impurities) at and/or near theinterface [36, 38] which introduce physical and chemicalchanges in either or both contacting materials. The tunnelingmechanism is similar to that for a Schottky barrier betweenmetal and semiconductor, such as in semiconducting SWCNT[39]. Although the resistivity of the nanocarbon itself isalso an important factor for a given application, achievingthe optimum resistivity value does not necessarily yield thedesirable device performance unless the contact resistance isproperly controlled and minimized.

Theoretically, when ideal contacts are made, the resistanceat the interface is governed by the quantum limit of R0 =h/2e2 per conduction channel, assuming transparent contacts.This limit is material-independent and is based on theassumption of quantum point contacts. Accounting for twoconduction channels per CNT shell, this resistance becomesR0/2 = 6.45 k�. However, this ideal coupling between the twoconduction channels of a graphene cylinder (i.e., SWCNT)to the electrode surface may not always be possible due toCoulomb blockade [40] or other suppression mechanisms[41]. Nevertheless, ab initio calculations predicted contactresistivities �24.2 k� nm2 for a side-contacted singlegraphene layer with various metals, with Ti yielding the lowestvalue [42]. This result suggests that a nanoscale metal–CNTcontact area such as 20 nm × 20 nm could yield total deviceresistance dominated by the intrinsic CNT properties ratherthan contact resistance. More recently, high-quality contactsto graphene with palladium have been reported, togetherwith an elaborate transport model that determines contactresistance [43]. Carrier transport through the interface is notonly dependent on the coupling strength between graphene andmetal, but also depends on the number of conduction modes

in the graphene under the metal [43]. Others have suggestedthat there are no inherent physical limitations for metal–CNTinterfaces to be roadblocks in functionalizing CNT devices[14].

CNFs, despite their many CNT-like features and electricalcharacteristics, are often overlooked for potential interconnectapplications where CNTs are considered a leading candidateto replace copper. Moreover, the differences between CNTsand CNFs are not always easy to discern even from high-resolution imaging, and the distinction appears infrequentlyin the literature [8, 9, 19]. In the next two sections, wherethe interface nanostructures are discussed in conjunctionwith contact geometries, the differences and similaritiesin nanostructure and electrical behavior between CNT andCNF will become apparent. Due to this inconsequential andunnecessary distinction, CNF will be grouped together withCNT in the ensuing narrative, unless the discussion specificallycalls for such distinction.

Test devices consisting of a CNT bridging an electrodepair generally show very high as-fabricated resistance,typically in the range of a few tens of k� or more, andnonlinear current–voltage (I–V) characteristics, due largelyto the formation of tunneling barriers as well as interfacialdefects [37]. Such non-ohmic behavior has also been observedfor vertical CNT via interconnects test structures [9, 44–46].Generally, contact resistance can be improved by currentstressing and/or metallization of the CNT contacts. Inparticular, current stressing results in linear I–V behavior andreduction in contact resistance down to a few k� or less.However, such improvements are not sufficient for contactresistance reduction and process reproducibility. Metallizationtechniques such as electron-beam-induced deposition (EBID)or ion-beam-induced deposition (IBID) provide significantperformance enhancement for CNT devices resulting fromimproved metal–nanocarbon interfaces, and increase in thecontact area between CNT and electrodes. Nevertheless,obtaining low-resistance side-contacted CNT devices stillremains a daunting challenge. While EBID and IBIDtechniques have been used by the scientific community forsome time, Bachtold et al [47] recognized that amorphouscarbon deposition at the contacts yielded a drastic reductionin CNT contact resistance. This simple technique consistsof illuminating the electron beam in a scanning electronmicroscope (SEM) over the contact area to allow thegrowth of a carbon film from the impurities in the SEMchamber [47]. EBID and IBID techniques have been usedextensively to investigate metal–CNT contacts in studies ofCNT interconnects [19, 47–50].

For interconnect via applications, high-density CNTgrowth is used to increase the number of conduction channelswithin the via cavity, which in turn yields a lower totalvia resistance. The as-grown metal–nanocarbon interface invertically aligned CNTs (end contact) is expected to resultin a relatively low contact resistance compared with thatformed at the deposited metal electrode on the CNT sidewall(side contact). This difference in contact geometry leads tocontrasting carrier transport across metal–CNT interfaces,as unsaturated carbon bonds at the base of the CNT can

2

Page 4: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a) (c) (d)

(b)

Figure 1. Schematic representation of two different metal-CNT contact configurations, (a) end contact and (b) side contact. And end-contactconfiguration exists for vertically grown CNTs. Reprinted with permission from the authors of [91]. Copyright 2010 American ChemicalSociety. SEM micrographs of CNT bundles suspended between two electrodes with (c) end contacts, and (d) a hybrid wrap-aroundconfiguration (side and end contacts). Reprinted with permission from the authors of [51]. Copyright 2013, AIP Publishing LLC.

easily latch onto the metal surface atoms, resulting in lowercontact resistance for end-contacted structures [42, 51, 52].This difference is illustrated in figure 1. However, unlike thehorizontal side-contacted configuration, for a vertically grownend-contacted CNT, it is more challenging to separate the CNTand contact resistances from the measured total resistancedue to difficulties in performing four-point probing (4PP).Nevertheless, contact resistance was successfully extractedfor vertically aligned CNTs with various lengths and similardiameters [44, 53]. Another important consideration for avia structure lies in the control of the deposited top metalelectrode, where the metal–nanocarbon interface is expectedto behave differently from the as-grown interface. Reliablelow-resistance patterned vias with each containing denselypacked CNTs have proven to be an additional challenge indevice functionalization [54].

In the following sections, research on side-contactedand end-contacted metal–CNT interfaces is reviewed. Theemphasis of this paper is on the fundamental understandingobtained from experimental and modeling studies on thestructure/electrical property relationships that lead to contactengineering techniques to reduce the metal–CNT contactresistance.

2. Side-contacted structures

A typical side-contacted structure is a CNT horizontallybridging two metal electrodes, shown schematically infigure 1(b), which is the focus of this section. One of thesimplest and widely used fabrication methods consists ofsuspending a purified mixture of CNTs in an alcohol solution,which is then sonicated to de-bundle the CNTs, and thendrop-casted on pre-patterned electrodes. Typical as-fabricatedresistance values range from 104 to 109 �. Our experimentsshow that the initial I–V behavior tends to be highly nonlinearand asymmetric, and these effects are accentuated for deviceswith higher resistance. These initial resistances drop to the

k� range after stressing with a current of 105–106 A cm−2.Since no appreciable degradations are observed in the CNTnanostructure using high-resolution electron microscopy, thisdrastic reduction in the total resistance is attributed to decreasein the contact resistance induced by localized Joule heatingat the interface [14, 55]. A single-junction semi-empiricaltunneling model supports this explanation, where an interfacialgap between CNF (also applicable to CNT) and metal, Auin this case, is reduced by current stressing [37]. Whenthe gap separation shrinks, the I–V behavior becomes linearas the device resistance decreases and approaches ohmic,as illustrated in figure 2. While serving as a convenientmodeling parameter, the existence of an interfacial gap islikely due to contact asperities and/or defects such as trappedadsorbates or residual impurities from device fabrication.The transport across the gap is similar to that through aSchottky barrier at a metal–semiconducting SWCNT contact[39]. Whereas for metal–semiconductor contact, tunneling isthe direct result of inherent materials properties, namely, work-function difference, tunneling across the metal–CNT interfaceis most likely due to a combination of factors as stated above.

The considerations leading to modeling with an interfacialgap between a side-contacted graphene sheet and metalswere formulated using density functional theory (DFT) andGreen’s function method [42]. In order to calculate contactresistances, the interaction energy for such metal–graphenecontact was computed for various metals to determine theseparation between adjacent layers, as shown in figure 3.From these calculations, Ti exhibits the strongest bonding withgraphene with a separation slightly above 2.1 A, comparedwith the interplanar spacing of ∼3.5 A for graphite. Thesuitability of the metals was assessed in ascending order ofcontact resistance, Ti, Pd, Pt, Cu, and Au, with Ti yielding thesmallest separation leading to the smallest contact resistance.Additional modeling for a metallic SWCNT (7,7) resultedin a contact resistance of just 6.9 k� nm−1 with Ti, due inpart to a much smaller separation induced by the sidewall

3

Page 5: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a) (b)(e)

(c)

(d)

Figure 2. (a) An energy-band model for Au-CNF (assuming CNT band parameters) contact with a tunneling gap of spacing z and voltage Vbetween them, qφ is work function, ζ is Fermi energy measured from the bottom of the Au valence band, and EF is Fermi level position.�ζ = ζFAu − ζFCNF is the difference between Au and CNF Fermi energies. The energy-band diagram is shown for (b) V = 0, (c) V = 0, and(d) V > 0. (e) Measured I–V before and after multiple current stressing cycles, with current-stressing conditions shown. Solid circles aremodeling results at 300 K, fitted to measured I–V data, resulting in z = 7.3, 5.9, and 5.0 A. The inset shows improvement in I–V linearityupon current stressing, with solid line indicating initial behavior. Reprinted with permission from the authors of [37]. Copyright 2010, AIPPublishing LLC.

(a) (b) (c)

Figure 3. DFT-optimized positions for a graphene surface on (a) Ti and (b) Pd. (c) Interaction energy versus electrode separation between agraphene sheet and various metals. Ti shows the strongest binding which can lead to low contact resistance. Reprinted with permission from[42]. Copyright 2007, American Chemical Society.

curvature. These computed contact resistances are remarkablylow compared to others reported in the literature. For example,using the semi-empirical tunneling model [37] for Au–CNTinterface with a contact area of 0.125 μm2 (from SEM) andfitting the computed results to experimental I–V curves yieldeda interfacial gap of 5 A and an estimated contact resistance of∼10 k�. Using the DFT model [42] for the same contactarea would yield an Au–graphene contact resistance of justa few hundred ohms, for a gap of 3.5 A. In comparingthese results, one must keep in mind that the DFT modelassumes monocrystalline structures in deducing the optimumgap separation, whereas in the semi-empirical model, thegap separation is a parameter used to fit the measured data.Regardless of the true physics of this interfacial gap, it isnonetheless a useful parameter for contact metal selection inside-contacted CNT structures.

Another important consideration for side-contacted CNTsis its ambient reactivity. Considering a monocrystallinesp2 graphitic structure with no dangling bonds, CNTs arehighly susceptible to their environment due to the non-localized π -electrons which results in van der Waals bondingout of the graphene plane [56–59]. This weak bondingfrom electrostatic interactions between the CNT sidewall orgraphene and the adsorbates nonetheless changes its density ofstates (DOS) [58, 60–62], and has measureable impact on theconductivity of CNTs [59, 63]. This local interaction resultingfrom π–d orbital coupling has been correlated to an increase inthe DOS of graphene which caused a drop in contact resistivity[64]. Further, adsorbates from the atmosphere such as H2O, O2,CO2, and hydrocarbons, or from suspension solutions suchas those used in drop-casting, can be trapped on the surfaceleading to the formation of a detrimental interfacial layer

4

Page 6: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(c) (d)

(a) (b)

Figure 4. Configurations for 4PP measurments. (a) Directly probed drop-casted CNT on SiO2 with EBID-W deposit on each contact.(b) CNT across pre-pattered pads each with EBID-W deposit. (c) Behavior upon voltage applied between outermost electrodes inconfiguration (a) under constant current of 100 μA. An abrupt drop at 37 s shows a significant resistance decrease attributed to the contactresistance reduction. (d) I–V characteristics for 4PP voltage between the inner electrodes show constant resistance. Similar results areobtained for configuration (b).

between the CNT sidewall and the metal electrodes, resultingin high contact resistance. It is likely that Joule heating bycurrent stressing or contact improvement by rapid thermalannealing (RTA) in inert environments can lead to desorptionof trapped chemical species [49, 63, 65], but their effect oncontact resistance has not been fully assessed.

4PP measurements of CNTs during current stressing serveas an effective verification that the total device resistancereduction is due to changes at or near the metal–CNT interface[66, 67]. Two types of 4PP measurements have been employedby our group. A nanoprober consisting of four piezo-actuatedfingers is used inside an SEM to perform in situ measurements.Each finger has a tungsten tip with radii �50 nm that allows(1) direct probing of a drop-casted CNT and (2) probing ofa patterned structure with deposited CNT contacts. Directprobing is hindered by the difficulty in making stable ohmiccontact on the CNT surface due to radial deformations whenpressure is applied. Additionally, drop-casting CNTs on pre-patterned electrodes rarely results in stable ohmic contact,which is required for the high-impedance voltage probes. Tocircumvent this problem, tungsten (W) was deposited on thecontact areas using EBID to ensure an ohmic behavior fordirect probing, as shown in figures 4(a) and (b). I–V curvesfrom current stressing with 2PP and 4PP show that stressingbetween the outermost electrodes reduces the resistancebetween these contacts, while the resistance between theinner electrodes stays constant in either configuration up to400 μA (figures 4(c) and (d)), when the CNT breaks down.The results clearly indicate that current stressing affects onlythe contacts, but not the CNT. It was reported that resistances ofCNT devices with low contact resistance (0.5–5 k�) obtained

from either 2PP or 4PP measurements have little temperaturedependence [67]. On the other hand, those with high contactresistances show significant decrease in device resistancewith increasing temperature from 2PP measurements, whileresistances from 4PP measurements shows little temperaturedependence [67]. This is indicative of thermal activation ofcarriers across a non-ohmic (tunneling) junction at the metal–CNT interface [49], which is consistent with device resistancereduction by Joule heating due to contact improvement.

Another consideration is the metal–CNT contact area,since it lends to some degree of controllability in engineeringthe interface. A larger contact area clearly leads to a lowercontact resistance. Based on calculations using DFT, it wassuggested that weak metal–CNT hybridization with a largecontact length could yield an optimum contact [68]. 2PPmeasurements on very long CNTs were used to determinean effective contact length (proportional to contact area) atwhich the resistance reached a minimum [38, 69], indicatinga minimum contact length above which the total resistanceis contact-area independent, as shown in figure 5. A semi-empirical model based on contact geometry was then used toextrapolate the resistivity of the CNTs and contact resistivityusing Ti/Au electrodes [38, 69].

Apart from current stressing, a widely used contactengineering approach utilizes metal deposition by EBID orIBID. Such techniques can be applied as the sole means tofabricate the contact electrode, or to improve the metal–CNTinterface of an existing device such as a drop-casted one.Generally, photolithography and electron beam lithographyare used to form metal contacts [70]. These techniques providegood contacts for CNT transport measurements, but they

5

Page 7: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a)

(b)

(c)

Figure 5. SEM images and data obtained from a typical MWCNT sample. (a) Image of MWCNT with two Ag thin film contacts before anyFIB cuts were made. The Ag contacts covering both ends of the MWCNT are evident. (b) Same MWCNT after nine FIB cuts werecompleted. (c) Resistance versus contact length obtained after each cut. Resistance includes both the contact resistance and the MWCNTresistance of the 4 μm section of the MWCNT covered by Ag. Solid line is the best fit to the data which yield CNT resistivityρCNT = 4.70 k� μm and contact resistivity per unit length ρC = 1.6 k� μm. Reprinted with permission from the authors of [38]. Copyright2008, AIP Publishing LLC.

(a) (b)

Figure 6. (a) Bright-field TEM cross-sectional view of CNT–Au–W electrode contact obtained by IBID-W deposition, with inset showing aschematic of the contact region and the ultra-thin slice from which the image was obtained. Voids at the intersection of all three materials arepresent as a result of the CNT shadow during W deposition. (b) High-resolution image of the IBID-W contact shows a clean interface withCNT.

require long sample preparation times. Alternatively, contactscan be formed by IBID using a focused ion beam (FIB)[19] and by EBID with an electron beam from a SEM [49].Although neither technique leads to a scalable solution forintegrating CNTs on-chip, they offer a versatile means forassessing the viability of these devices for next-generationinterconnect applications.

Numerous metals can be deposited with IBID or EBIDas long as a suitable source gas is available [71]. Recentresults have suggested that graphitized C could yield the lowestcontact resistance for interfacing with CNT interconnects[50, 72]. Chai et al [72] used electron beam evaporation toform metal contacts to a CNT using a technique reportedearlier [73], yielding a lower resistance. Metal EBID has

been reported since 1960 [74], and has received muchattention in recent years [75–82] for various applicationssuch as etching and deposition [77], nanowire fabrication[78], and preparing tips for field emission [81] and atomicforce microscope probes [82]. IBID-W is well known andfrequently used for similar applications, and has been usedextensively by our and other groups for making ohmic contactswith CNTs [19, 83–86]. IBID-W is generally more effectivein reducing the contact resistance, but EBID-W producessimilar contact resistance reductions, which can be furtherimproved by current stressing. The W-CNT interface fora typical IBID-W deposited contact is shown in figure 6.Recent high-frequency two-port measurements on CNT testdevices revealed a significant frequency-dependent capacitive

6

Page 8: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a) (b)

Figure 7. Resistance of two-terminal CNF test devices versus stress current (a) without W and (b) with IBID-W deposited on CNF/Auelectrode contacts. Reprinted with permission from the authors of [19]. Copyright 2008, AIP Publishing LLC.

component in the large contact impedance for drop-castedcontacts. Upon contact metallization with separately IBID-Pt and IBID-W and further current stressing, the contactimpedance decreased drastically and became frequency-independent as well as virtually resistive, with a negligiblereactive component [85, 86].

It should be noted that unlike IBID-W, the EBID-Wdeposits are likely to undergo changes in its crystallinity aswell as chemical composition upon current stressing, whichcan contribute to further contact resistance reduction [71, 87].Similarly, the relatively lower (and constant) resistance fordevices with IBID-W deposited contacts is attributed to thehigher degree of crystallinity as well as purity in the as-deposited W. Despite the higher purity of IBID-W deposit,it contains a significant amount of Ga which is undesirable forchip processing, and the IBID process does not seem to offerother significant advantages over EBID. Figure 7 shows thetotal resistance of a horizontal CNF interconnect test deviceversus applied stress current. The benefits of depositing Won the contacts are evident, especially for the case of IBID-Wwhich show low resistance that remains invariant under currentstressing, suggesting that the contact resistance is minimized[19]. While these results are particular to W, the technique isapplicable to other metals, and the final resistance value maybe lower for metals with matching work functions to that ofCNT and higher wettability [36].

Despite progress made in contact engineering to improveside-contacted metal–CNT interfaces, further improvementsare critically needed for CNTs to be incorporated in functionaldevices. Table 1 summarizes the results reported in theliterature for side-contacted CNT structures. As reported bynumerous groups including ours, the better way to makemetal–CNT contacts is to bind the metal surface atoms to theunsaturated C-bonds at the edge of the graphene wall, namely,making end contacts [50]. This configuration is discussedin the next section in conjunction with delineation betweenthe as-grown metal–CNT interface and that formed by metaldeposition.

3. End-contacted structures

For vertically aligned CNTs, it is natural to make end contactsat the as-grown interface with the metal underlayer, yieldinglower contact resistance than that for side-contacted CNTs.Figure 8 shows a series of cross-sectional TEM images ofmetal–CNT (CNF in this case) interfaces grown on a Tiunderlayer using plasma-enhanced chemical vapor deposition(PECVD). The interface regions display little evidence ofimpurities, and clearly show the graphitic planes nearly normalto the metal surface. From these images, it appears that thegraphitic planes make direct contact to the Ti surface atoms,providing the necessary bonding to facilitate carrier transportand resulting in low contact resistance. While formationof carbides at this interface have been reported [88–90],it is unclear if this occurs for all PECVD-grown CNTs.Generally when the wettability between the CNT and the metalunderlayer is high, such as that for Ti or Cr, which correlateswith strong bonding between carbon and metal surface atoms(see figure 3(c)), it is likely that metal carbide may form at theinterface. A comparison of side-contacted and end-contactedCNTs with interfacial tungsten carbide formed by electronbeam irradiation was made, which showed significantly morereduction in resistance for the end-contacted device [90].Similar results have been observed [50] or predicted [91],if contact areas are taken into account. Very recently, Wanget al [92] reported a contact geometry in which only the 1Dedge of a graphene layer was metallized. This has noticeableimpact on graphene performance, drastically reducing contactresistance and improving carrier mobility [92]. In the case ofSWCNTs [52], the interface with metal occurs with one singlegraphene shell and may be insensitive to contact geometry ifthe side-contacted area is sufficiently large. In the case of end-contacted vertically aligned MWCNTs [53], if the as-grownand/or top contact were poor, then the total contact resistancewould be similar to that for side contact with a larger contactarea. In general, end-contacted geometries are advantageousover side contacts because of the absence of an interfacial layeror gap across which carrier tunneling occurs, as described inthe previous section.

7

Page 9: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

Table 1. Summary of reported results for side-contacted CNT structures, where RT, RCNT, ρCNT, and RC are total resistance, CNT resistance,CNT resistivity, and contact resistance, respectively.

Reference Year Structure Electrodes RT (k�) RCNT (k�) ρCNT (k� μm) RC (k�) Notes

[50] 2012 MWCNT Au/Graphite 26.5 EBID-C with J heating, singleshell contact. Contact graphitized

[84] 2012 MWCNT Au/W 1–10 >50 k� μm Value for MWCNT—MWCNTAu/W/CNT contact. For MWCNT with Au,

RC > 100 k� μm[104] 2011 MWCNT TiN/CNT 25–80 metal–CNT–CNT–metal contact.[104] 2011 MWCNT TiN 50–220 50 metal –CNT—metal[90] 2010 MWCNT W 10.2 Two-terminal side-contact

MWCNT to W electrode, e-beaminduced joule heating at contactto form W-Carbide

[52] 2009 SWCNT Pt 50–80 No difference between sidecontact and end contact.

[73] 2009 SWCNT Pt 4.8 × 101– >25 Individual SWNTs. Annealing4.6 × 103 in inert atmospheres drastically

reduces RC and graphitizescontacts with Pt.

[19] 2008 CNF Au 6.0–1 × 106 Contact resistance reductionimproved by stress currents

[19] 2008 CNF Au/W 1.0–10 Contact resistance improvedby IBID-W, invariant to stresscurrents

[69] 2008 MWCNT Ti/Au 0.33–1.48 1–5 k� μm Semi-empirical estimates[55] 2007 SWCNT Pt 826–152 RC reduced by Joule heating

through current stressing[66] 2006 MWCNT Pt/Au ∼70 ∼70 3–4 4PP measurement[66] 2006 SWCNT Pt/Au ∼100 ∼100 5 4PP measurement

bundle[70] 2004 CNF Ti/Au 3.2 × 10−2– ∼ 1 k� range 4PP measurement

4.2 × 10−2

[98] 2003 MWCNT Au, Pd 2.5 × 103– CNT with Au and Pd20 × 103 electrodes. 4PP measurements

[98] 2003 MWCNT Co ∼20–100 CNT with Co electrodes.4PP measurements

[98] 2003 MWCNT Au/Ni ∼20–200 Ni deposited on CNT contactswith Au. 4PP measurements

[98] 2003 MWCNT Pd/Ni ∼20–80 Ni deposited on CNT contactswith Pd. 4PP measurements

[98] 2003 MWCNT Co/Ni ∼20–120 Ni deposited on CNT contactswith Co. 4PP measurements

[27] 2003 MWCNT Ti/Au 3.0 × 101– Early work on side-contacted8.8 × 103 MWCNT

[83] 2001 MWCNT W 2.4 1.7 0.7 MWCNT with IBID-W (4PP)current stressing >109 A cm−2.Assert W contacts ∼100 �

[67] 2000 MWCNT Ti/Au ∼10–20 0.5–50 Drastic reduction of RC afterRTA. 4PP measurements

[105] 1999 SWCNT Ti/Au 250–16.5 Individual SWCNT temperature-dependent two-terminalresistance measurements

[47] 1998 MWCNT Au. Au/C 0.35–2.6 4–30 First paper of drastic RC reductionby carbon deposition at contacts

The top contact of an as-grown CNT is likely to behavedifferently from the as-grown or base contact. As shown infigure 8, there is a Ni catalyst particle embedded in each CNTtip, a characteristic of the so-called tip growth common formost nanotubes and nanowires. Without further processing, theinterface between such CNT tip and metal can be problematicfor certain applications where compatibility of catalyst withfabrication process may prove deleterious. Upon suitablepolishing and removal of the catalyst particle at the tip [93], the

top edge of each CNT is likely to consist of unsaturated carbonbonds, making it susceptible to react with the environment andto contamination. Thus proper procedures must be performedto produce a top metal–CNT interface that would not addsignificantly to the overall contact resistance. The merit ofremoving the catalyst tip to improve contact resistance dependson the methods and materials used to pattern the top electrode.In particular, if the top electrode material has better wettingcharacteristics to catalyst than CNT, leaving the catalyst intact

8

Page 10: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a) (b)

(c) (d)

(e)

(g) (h)

(f )

Figure 8. Comparison between two similar catalyst/underlayer metal structures deposited by magnetron sputtering with (a) <10−6 torr basepressure, 100W DC and (b) <10−8 torr base pressure, 100 W RF. The resulting CNFs grown at the same time for each sample havesignificant different structures with (c) cup-shape CNF morphology and (d) bamboo-shape CNF morphology. Interfacial images show cleancontacts (e) and ( f ). (g) and (h) Interfaces showing graphitic interplanner spacing, and graphene planes nearly normal to the underlayermetal surface.

may result in decreased contact resistance. On the other hand, ifremoving the catalyst particle can improve the top electrode–CNT contact resistance compared with that formed directlyon the catalyst particle, surface pre-treatment using plasma[51, 70] and post-treatments such as annealing [44, 50, 55, 67,73] should be considered.

Despite the many known facts about CNTs grown usingCVD and PECVD [94, 95], interface nanostructure versuselectrical property relationships have not been studied insufficient depth that can lead to consistent control andreduction of contact resistance. It has been reported that metals

with high wettability (Ti, Cr, and Fe) could provide the lowestcontact resistances, whereas when the wettability is low, thecontact resistance is strongly correlated to the metal–CNTwork-function difference [36]. Since low contact resistanceis a necessity for high performance for CNT interconnects,parameters such as wettability and work function are moreimportant than the resistivity of the contacting metal. To studythis, dense CNT vias were grown on a Ti underlayer, andthen patterned with a variety of different metals, as shownin figure 9 [36]. While work-function matching correlates tolower contact resistance, metals with outer d-orbital vacancies

9

Page 11: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a) (b)

Figure 9. (a) Metal-CNT contact resistance versus metal work function. (b) Contact resistance versus work function behaviors for metalswith work functions between 4.2 and 5.7 eV highlights the relationship between unoccupied d-orbitals and contact resistance. CNT workfunction range is shaded. Lower resistance correlates with work-function matching and higher number of unoccupied d-orbitals, but higherwettabilities do not necessarily follow from small work function difference. Reprinted with permission from the authors of [36]. Copyright2009, AIP Publishing LLC.

(a) (b)

Figure 10. (a) SEM image of fabricated CNT vias. (b) Scanning spreading resistance map for fabricated CNT vias. Reprinted from [100].Copyright 2011, with permission from Elsevier.

achieve the lowest resistance and they are known to havehigher wettabilities [96, 97]. The authors also reported thatmetal–CNT junctions with poor wettability result in barrierformation where conduction is governed by tunneling, hencethe role of work-function difference becomes dominant. Theeffect of d-orbital vacancies has been observed for Co–CNTcontacts, which yielded much lower measured total resistancethan Au or Pd ones, as Co is a transition metal with threeunoccupied d-orbitals [98].

In a vertical configuration, where each individual CNT orCNT array is usually embedded in an insulator for electricalprobing, 4PP measurements would require an elaborate teststructure, which is not only challenging to design andfabricate but could also change the inherent materials andinterface characteristics of the test device. Thus, two-terminalmeasurements are generally used in conjunction with atransmission line model to extract resistance using scanningprobe [45, 99, 100] and fixed-probe techniques [44, 99].Scanning probe techniques such as one using an atomic forcemicroscope (AFM) with a biased tip, allow direct probingof individual CNTs, as shown in figure 10 [100]. However,because the tip is actively scanning during each measurement,it is usually performed at low fixed voltages and low currents.While the probe can be affixed over an individual CNT foran I–V scan, it precludes the ability to use sufficiently highcurrent density to reduce the contact resistance between the

CNT and the AFM tip, and typically results in high measuredresistances [45, 46, 100]. When the measurement is performedin static mode, there is uncertainty in probe positioning, as thecontact between the CNT and the probe can change withoutany feedback to the user. In scanning mode (fixed bias),there is uncertainty whether sufficient pressure is applied toproduce good ohmic contact. On the other hand, fixed-probetechniques, such as one using a wafer probe station with anoptical microscope, have too low a spatial resolution to land aprobe over a single CNT. Thus, resistance of the entire CNTarray or bundle is usually measured using such techniques,as given in the summary of reported results for end-contactedstructures in table 2. A via with densely-packed CNTs that ispatterned with a sufficiently large pitch (via-to-via separation)such that a probe can land on a single via device, can lenditself well to this technique. In this case, measurements of thetotal via resistance may be the only metric that is meaningfulfor device integration, and focus is placed on fabricationand integration of CNT vias into the overall process flow[53, 54, 99, 101]. Using this approach, two-terminalmeasurements of 160 nm CNT vias yielded a total resistancevalue of just 34 � per via with a CNT packing density of3 × 1011 cm−2, as shown in figure 11 [54]. In this case, theinterstitial spacing among CNTs inside each via was filled withspin-on glass. The encapsulation material has been found toplay an important role in electrical conduction in CNT vias,

10

Page 12: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Sem

icond.Sci.Technol.29

(2014)054006

PW

ilhiteetal

Table 2. Summary of reported results for end-contacted CNT structures, where RT, RCNT, ρCNT, and RC are total resistance, CNT resistance, CNT resistivity, and contact resistance, respectively.

Electrodes Via dimensions CNT Count orReference Year Structure (Bottom/Top) (W × H) nm density (# or cm−2) RT (k�) ρCNT (k� μm) RC (k�) Notes

Present CNF Ti/W 1 1.7 × 10−3–2.4 × 10−3 0.570–0.230 Film quality affects resistivity andwork contact resistance. Extrapolated

by variable height measurements[51] 2013 CNT bundle Pd/Au × 2 2.7 0.29/contact End-bonded[51] 2013 CNT bundle Pd/Au × 2 1.8 1.8/contact Wrap-around[51] 2013 CNT bundle Cr/Al × 2 3.5 0.29/contact End-bonded[51] 2013 CNT bundle Pd/Au × 2 2.4 0.29/contact Wrap-araound[51] 2013 CNT bundle Cr/Al × 2 3.2 0.29/contact End-bonded[51] 2013 CNT bundle Pd/Au × 2 1.6 0.29/contact Wrap-around[50] 2012 MWCNT (Au/graphite)/ 0.116 EBID-C with J heating,

(Au/graphite) multiple shell contact.Contact graphitized

[53] 2011 MWCNT via TiAu/TiN 300 × (250–550) ∼100 ∼4–8 <1.39 × 10−3 1.6 per via, Variable height extrapolation116 per tube(avg.)

[99] 2011 MWCNT forest CoSi2/AFM tip 1 140–20 Two-terminal C-AFM[101] 2011 MWCNT Via TiAu/TiN 80 × 250 3.50 × 1011 cm−2 0.493 –0.293 Via resistance decreased from k�

after thermal annealing[100] 2011 MWCNT Via Poly Si/AFM tip 1.5 × 1012 >G� Scanning spreading resistance

shows color representation ofindividual resistance

[44] 2010 CNF Ti/W 1 4.6 × 10−3 4.2 Extrapolated by variable heightmeasurements

[44] 2010 CNF Cr/W 1 1.2 × 10−2 5.0 Extrapolated by variable heightmeasurements

[90] 2010 MWCNT W-C/W-C 1 0.710 Two-terminal end-contactMWCNT to W electrode, e-beaminduced joule heating at contactto form W-Carbide

[46] 2010 CNF Ti/W 1 1.8 Fe-catalyzed CNF on TiExtrapolated by variable heightmeasurements

[46] 2010 CNF Cr/W 1 3.8 Fe-catalyzed CNF on CrExtrapolated by variable heightmeasurements

[36] 2009 m- and Ti/(Pt, Pd, Ni, 200 × ∼150 1–35 for top Contact resistance estimateds-CNT array Au, Cu, Cr, Fe, electrode over a large average. Figure 9.

Ti, Nb, Al, Ag,Ga, Mn or Hf)

[45] 2009 CNF Ti/Pt (AFM tip) 1 7.3 × 10−3 6.4 Two-terminal C-AFM[54] 2008 MWCNT Via (Cu/TaN/Ta/ 160 × 120 3 × 1011 0.063 –0.034 Low temperature growth for

TiN)/TiCu CMOS process compatibility

11

Page 13: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a)

(b)

Figure 11. 160 nm-diameter CNT via after growth and before polish and electrode patterning. (b) Resistance measurements for CNT viagrown at 400 and 450 ◦C. The difference in resistance was attributed to difference in CNT quality for the two growth temperatures.Copyright 2008, IEEE. Reprinted with permission from the authors of [54].

where Al2O3 deposited by atomic layer deposition was foundto increase the via resistance by a factor of three comparedwith SiO2 deposited by thermal decomposition of TEOS[99, 102]. It is worthwhile to note that encapsulation with Cuin a metal–CNT composite via could yield lower resistancevalues while retaining the high current capacity of CNT [103].Table 2 summarizes reported results for end-contacted CNTstructures.

A nanomanipulating prober installed inside an electronmicroscope is suitable for performing in situ two-terminalmeasurements on individual CNTs. Contact resistanceextraction for individual vertically aligned CNTs with variousheights (or lengths) and similar diameters has been performedusing a statistical linear regression technique [44]. In this case,the measured total device resistance is assumed to vary withonly the CNT length, as given below:

RTotal = RC + RCNT = RC + 4ρ

πD2CNT

LCNT. (1)

RC represents the total contact resistance (including topand base contacts), ρ is the CNT resistivity, and LCNT andDCNT are the measured CNT length and diameter, respectively.This method is based on limiting the CNT diameter valuesto a narrow window, thus validating the constant-diameterassumption, and on the further assumption that the contactresistance for each CNT in the array is invariant for a givendiameter value. By measuring CNTs with varying lengths, theresistivity and contact resistance were extracted. Additionally,each CNT was current-stressed to reduce the contact resistancebetween the CNT and the probe, since these devices displaysimilar nonlinear I–V characteristics as side-contacted CNTsupon initially landing the probe. For a similar configurationusing W tips as electrodes, Joule heating through high-energyelectron radiation at the interface between the W tip and asingle CNT resulted in tungsten carbide formation and a totalresistance of 710 � [90].

The complex relationships among the underlayer metal,CNT catalyst, and CNT growth conditions are far from beingwell understood, but they nonetheless play a critical role indetermining the metal–CNT contact resistance, as well as theCNT array density [46]. For high CNT density, low wettability

between the catalyst and the underlayer metal was suggested,at least in cases where catalyst patterning is performed withfilm depositions prior to growth [46], whereas for low contactresistance, high wettability between the resulting CNT and theunderlayer metal was proposed [36]. Thus to obtain higherCNT array density and hence lower contact resistance, thecatalyst/underlayer metal as well as the CNT/underlayermetal wettabilities must be considered. Further, processcontrols that impact the quality (crystallinity and purity) of thedeposited underlayer metal and catalyst prior to CNT growthhave not been systematically studied and can also impactthe contact resistance, as suggested by the interface imagesshown in figure 8. Both structures were grown simultaneouslyunder identical conditions, and have similar Ni catalyst filmthicknesses on a relatively thick Ti underlayers. However, theresulting Ti/Ni interfaces from different deposition conditionsyielded dissimilar CNT structures and contact resistances.In both cases, the metals were deposited without breakingvacuum, although the base pressure for depositing the structurein figure 8(a) was 10−6 and 10−9 torr for the other. Thesame technique described earlier [44] was used to extract thecontact resistance using equation (1), yielding results shownin figure 12. The contact resistance is fairly low in eithercase, but it is necessary to take into account the measurementconfiguration and examine further the extracted value, whichconsists of the following components:

RC ≡ Rbundle + Rm + RCNT/m + Rp/CNT. (2)

Upon extracting the resistance contributions from theCNT bundle (Rbundle) serving as one electrode and theunderlayer metal (Rm), the remaining or true contact resistance[RCNT/m (as-grown contact) + Rp/CNT (probe–CNT contact)]has values of ∼570 � for the device corresponding tothe structure in figure 8(a) and ∼230 � for the one infigure 8(b). Decoupling the two components in the true contactresistance is not possible for our measurement configuration.We surmise that the as-grown interface (Ti-CNT) remainsrelatively invariant during current stressing, whereas thecontact between the CNT and the W-probe is successivelyimproved by increasing stress current, possibly leading tocarbide formation as mentioned earlier [90].

12

Page 14: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

(a) (b)

Figure 12. Extraction of resistivity and contact resistance for (a) CNTs grown on substrate shown in figure 8(a), and (b) those shown infigure 8(b).

4. Summary and conclusions

Before seriously considering CNT as a candidate forincorporation into IC technology, there remains significantconcern whether high-performance CNT interconnects canbe realized in a research environment. The primary issuecontinues to be high contact resistance arising from themetal–CNT interface. Contact engineering is progressingand results in sub-k� contact resistance values, which stillneed to decrease considerably before device functionalization.Contact resistance can be drastically reduced by Joule heating(current stressing, RTA, or electron beam irradiation) andthrough metallization of the contacts using selection criteriagoverned by the wettability between the metal and CNTand work-function difference. Methods for probing andextracting contact resistances can be performed even forvertical structures where 4PP is difficult if not impossible. End-contacted vertical structures typically result in lower contactresistance due in large part to strong bonding between edgecarbon and surface metal atoms. And it is likely that the as-grown interface between a CNT and the underlayer metalcan yield a very low contact resistance under the best growthconditions, such as catalyst and underlayer metal depositionswithout ambient adsorbates trapped at the interfaces. In avertical configuration with a clean as-grown interface, theelectrical conduction bottleneck is likely to be the as-depositedelectrode or electrical probe. Although the contact resistancecan be reduced by Joule heating in either contact geometry,it is worthwhile to perform surface pre-cleaning to removeadsorbates prior to metal depositions. With further progressmade in CNT growth and contact engineering, it is entirelyconceivable that the end-contacted CNT structure will soonyield a total via resistance comparable to that of Cu in themost advanced IC technology node.

References

[1] Dresselhaus M S, Dresselhaus G and Eklund P C 1996 Scienceof Fullerenes and Carbon Nanotubes: Their Properties andApplications (New York: Academic)

Dresselhaus M S, Dresselhaus G and Avouris P 2001 CarbonNanotubes: Synthesis, Structure, Properties, andApplications (Berlin: Springer)

[2] Ijima S 1991 Helical microtubules of graphitic carbon Nature354 56–58

[3] Dekker C 1999 Carbon nanotubes as molecular quantum wiresPhys. Today 52 22–28

[4] Kreupl F, Graham A P, Duesberg G S, Steinhogl W, Liebau M,Unger E and Honlein W 2002 Carbon nanotubes ininterconnect applications Microelectron. Eng. 64 399–408

[5] Li J, Ye Q, Cassell A, Ng H T, Stevens R, Han Jand Meyyappan M 2003 Bottom-up approach for carbonnanotube interconnects Appl. Phys. Lett. 82 2491–3

[6] Nihei M, Kawabata A, Kondo D, Horibe M, Sato Sand Awano Y 2005 Electrical properties of carbon nanotubebundles for future via interconnects Japan. J. Appl. Phys.44 1626

[7] Zhang L, Austin D, Merkulov V I, Meleshko A V, Klein K L,Guillorn M A, Lowndes D H and Simpson M L 2004Four-probe charge transport measurements on individualvertically aligned carbon nanofibers Appl. Phys. Lett.84 3972–4

[8] Melechko A V, Merkulov V I, McKnight T E, Guillorn M A,Klein K L, Lowndes D H and Simpson M L 2005 Verticallyaligned carbon nanofibers and related structures: controlledsynthesis and directed assembly J. Appl. Phys. 97 041301

[9] Ngo Q, Yamada T, Suzuki M, Ominami Y, Cassell A M, Jun L,Meyyappan M and Yang C Y 2007 Structural and electricalcharacterization of carbon nanofibers for interconnect viaapplications IEEE Trans. Nanotechnol. 6 688–95

[10] Geim A K and Novoselov K S 2007 The rise of grapheneNature Mater. 6 183–91

[11] Moon J S et al 2009 Epitaxial-graphene RF field-effecttransistors on Si-face 6H-SiC substrates IEEE ElectronDevice Lett. 30 650–2

[12] Bae S et al 2010 Roll-to-roll production of 30-inch graphenefilms for transparent electrodes Nature Nanotechnol.5 574–8

[13] Moon J S, Curtis D, Bui S, Marshall T, Wheeler D, Valles I,Kim S, Wang E, Weng X and Fanton M 2010 Top-gatedgraphene field-effect transistors using graphene on Si (111)wafers IEEE Electron Device Lett. 31 1193–5

[14] Naeemi A and Meindl J D 2009 Carbon nanotubeinterconnects Annu. Rev. Mater. Res. 39 255–75

[15] Pop E, Mann D, Cao J, Wang Q, Goodson K and Dai H 2005Phys. Rev. Lett. 95 155505

[16] Li H, Xu C and Banerjee K 2010 Carbon nanomaterials: theideal interconnect technology for next-generation ICs IEEEDes. Test Comput. 27 20–31

[17] De Volder M F L, Tawfick S H, Baughman R H and Hart A J2013 Carbon nanotubes: present and future commercialapplications Science 339 535–9

[18] Kitsuki H, Yamada T, Fabris D, Jameson J R, Wilhite P,Suzuki M and Yang C Y 2008 Length dependence ofcurrent-induced breakdown in carbon nanofiberinterconnects Appl. Phys. Lett. 92 173110

13

Page 15: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

[19] Saito T, Yamada T, Fabris D, Kitsuki H, Wilhite P, Suzuki Mand Yang C Y 2008 Improved contact for thermal andelectrical transport in carbon nanofiber interconnects Appl.Phys. Lett. 93 102108

[20] Yamada T, Saito T, Fabris D and Yang C Y 2009Electrothermal analysis of breakdown in carbon nanofiberinterconnects IEEE Electron Device Lett. 30 469–71

[21] Suzuki M, Yamada T and Yang C Y 2007 Monte Carlosimulation of scanning electron microscopy bright contrastimages of suspended carbon nanofibers Appl. Phys. Lett.90 083111

[22] Yamada T, Madriz F R and Yang C Y 2009 Inductance inone-dimensional nanostructures IEEE Trans. ElectronDevices 56 1834–9

[23] Yamada T, Yabutani H, Saito T and Yang C Y 2010Temperature dependence of carbon nanofiber resistance”Nanotechnology 21 265707

[24] ITRS 2011 International technology roadmap forsemiconductors www.itrs.net/Links/2012ITRS/Home2012.htm (with 2012 updates)

[25] Wang T, Jeppson K, Olofsson N, Campbell E E and Liu J 2009Through silicon vias filled with planarized carbon nanotubebundles Nanotechnology 20 485203

[26] Knickerbocker J U et al 2006 3-D silicon integration andsilicon packaging technology using silicon through-viasIEEE J. Solid-State Circuits 41 1718–25

[27] Wakaya F, Katayama K and Gamo K 2003 Contact resistanceof multiwall carbon nanotubes Microelectron. Eng.67 853–7

[28] Berger H H 1972 Models for contacts to planar devicesSolid-State Electron. 15 145–58

[29] Lundstrom M and Ren Z 2002 Essential physics of carriertransport in nanoscale MOSFETs IEEE Trans. ElectronDevices 49 133–41

[30] Kondo D, Sato S and Awano Y 2008 Self-organization ofnovel carbon composite structure: graphene multi-layerscombined perpendicularly with aligned carbon nanotubesAppl. Phys. Express 1 074003

[31] Nihei M, Kondo D, Kawabata A, Sato S, Shioya H, Sakaue M,Iwai T, Ohfuti M and Awanao Y 2005 Low-resistancemulti-walled carbon nanotube vias with parallel channelconduction of inner shells Proc. IEEE Int. InterconnectTechnology Conf. pp 234–6

[32] Naeemi A and Meindl J D 2008 Design and performancemodeling for single-walled carbon nanotubes as local,semiglobal, and global interconnects in gigascale integratedsystems IEEE Trans. Electron Devices 54 26–37

[33] Nagashio K, Nishimura T, Kita K and Toriumi A 2009Metal/graphene contact as a performance killer ofultra-high mobility graphene analysis of intrinsic mobilityand contact resistance IEDM’09: IEEE Int. ElectronDevices Meeting pp 1–4

[34] Venugopal A, Colombo L and Vogel E M 2010 Contactresistance in few and multilayer graphene devices Appl.Phys. Lett. 96 013512

[35] Smith J T, Franklin A D, Farmer D Band Dimitrakopoulos C D 2013 Reducing contact resistancein graphene devices through contact area patterning ACSNano 7 3661–7

[36] Lim S C, Jang J H, Bae D J, Han G H, Lee S, Yeo I Sand Lee Y H 2009 Contact resistance between metal andcarbon nanotube interconnects: effect of work function andwettability Appl. Phys. Lett. 95 264103

[37] Yamada T, Saito T, Suzuki M, Wilhite P, Sun X,Akhavantafti N, Fabris D and Yang C Y 2010 Tunnelingbetween carbon nanofiber and gold electrodes J. Appl. Phys.107 044304

[38] Lan C, Zakharov D N and Reifenberger R G 2008 Determiningthe optimal contact length for a metal/multiwalled carbonnanotube interconnect Appl. Phys. Lett. 92 213112

[39] Svensson J and Campbell E E 2011 Schottky barriers incarbon nanotube-metal contacts J. Appl. Phys. 110 111101

[40] Palacios J J, Perez-Jimenez A J, Louis E, SanFabian Eand Verges J A 2003 First-principles phase-coherenttransport in metallic nanotubes with realistic contacts Phys.Rev. Lett. 90 106801

[41] Kong J, Yenilmez E, Tombler T W, Kim W and Dai H 2001Quantum interference and ballistic transmission innanotube electron waveguides Phys. Rev. Lett. 87 106801

[42] Matsuda Y, Deng W Q and Goddard W A 2007 Contactresistance properties between nanotubes and various metalsfrom quantum mechanics J. Phys. Chem. C 111 11113–6

[43] Xia F, Perebeinos V, Lin Y-M, Wu Y and Avouris P 2011 Theorigins and limits of metal-graphene junction resistanceNature Nanotechnol. 6 179–84

[44] Li K, Wu R, Wilhite P, Khera V, Krishnan S, Sun Xand Yang C Y 2010 Extraction of contact resistance incarbon nanofiber via interconnects with varying lengthsAppl. Phys. Lett. 97 253109

[45] Wu W, Krishnan S, Yamada T, Sun X, Wilhite P, Wu R, Li Kand Yang CY 2009 Contact resistance in carbonnanostructure via interconnects Appl. Phys. Lett. 94 163113

[46] Sun X, Li K, Wu R, Wilhite P, Saito T, Gao J and Yang C Y2010 The effect of catalysts and underlayer metals on theproperties of PECVD-grown carbon nanostructuresNanotechnology 21 045201

[47] Bachtold A, Henny M, Terrier C, Strunk C, Schonenberger C,Salvetat J P, Bonard J M and Forro L 1998 Contactingcarbon nanotubes selectively with low-ohmic contacts forfour-probe electric measurements Appl. Phys. Lett.73 274–6

[48] Brintlinger T, Fuhrer M S, Melngailis J, Utke I, Bret T,Perentes A, Hoffmann P, Abourida M and Doppelt P 2005Electrodes for carbon nanotube devices by focused electronbeam induced deposition of gold J. Vac. Sci. Technol. B23 3174–7

[49] Maeda S, Wilhite P, Kanzaki N, Yamada T and Yang C Y2011 Change in carbon nanofiber resistance from ambientto vacuum AIP Adv. 1 022102

[50] Kim S, Kulkarni D, Rykaczewski K, Henry M, Tsukruk Vand Fedorov A 2012 Fabrication of an ultra-low-resistanceohmic contact to MWCNT-metal interconnect usinggraphitic carbon by electron beam induced deposition(EBID) IEEE Trans. Nanotechnol. 11 1223–30

[51] Chiodarelli N, Fournier A and Dijon J 2013 Impact of thecontact’s geometry on the line resistivity of carbonnanotubes bundles for applications as horizontalinterconnects Appl. Phys. Lett. 103 053115

[52] Song X, Han X, Fu Q, Xu J, Wang N and Yu D P 2009Electrical transport measurements of the side-contacts andembedded-end-contacts of platinum leads on the samesingle-walled carbon nanotube Nanotechnology 20 195202

[53] Chiodarelli N et al 2009 Measuring the electrical resistivityand contact resistance of vertical carbon nanotube bundlesfor application as interconnects Nanotechnology 22 085302

[54] Nihei M, Kawabata A, Sato S, Nozue T, Hyakushima T,Norimatsu M, Murakami T, Kondo D, Ohfuti Mand Awano Y 2008 Carbon nanotube via interconnects withlarge current carrying capacity ICSICT’08: Int. Conf. onSolid-State and Integrated-Circuit Technology pp 541–3

[55] Dong L, Youkey S, Bush J, Jiao J, Dubin V Mand Chebiam R V 2007 Effects of local Joule heating on thereduction of contact resistance between carbon nanotubesand metal electrodes J. Appl. Phys. 101 024320

14

Page 16: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

[56] Hertel T, Walkup R E and Avouris P 1998 Deformation ofcarbon nanotubes by surface van der Waals forces Phys.Rev. B 58 13870

[57] Knupfer M 2001 Electronic properties of carbonnanostructures Surf. Sci. Rep. 42 1–74

[58] Zhao J, Buldum A, Han J and Lu J P 2002 Gas moleculeadsorption in carbon nanotubes and nanotube bundlesNanotechnology 13 195–200

[59] Sumanaskera G U, Adu C K W, Fang S and Eklund P C 2000Effects of gas adsorption and collisions on electricaltransport in single-walled carbon nanotubes Phys. Rev. Lett.85 1096–9

[60] Leenaerts O, Partoens B and Peeters F M 2008 Adsorption ofH2O, NH3, CO, NO2 and NO on graphene: a first-principlesstudy Phys. Rev. B 77 125416

[61] Pati R, Zhang Y, Nayak S K and Ajayan P M 2002 Effect ofH2O adsorption on electron transport in a carbon nanotubeAppl. Phys. Lett. 81 2638–40

[62] Blackburn J L, Barnes T M, Beard M C, Kim Y H,Tenent R C, McDonald T J, To B, Coutts TJ and Heben M J2008 Transparent conductive single-walled carbon nanotubenetworks with precisely tunable ratios of semiconductingand metallic nanotubes ACS Nano 2 1266–74

[63] Zahab A, Spina L, Poncharal P and Marliere C 2000Water-vapor effect on the electrical conductivity of asingle-walled carbon nanotube mat Phys. Rev. B62 10000–3

[64] Ifuku R, Nagashio K, Nishimura T and Toriumi A 2013 Thedensity of states of graphene underneath a metal electrodeand its correlation with contact resistivity Appl. Phys. Lett.103 033514

[65] Collins PG, Bradley K, Ishigami M and Zettl A 2000 Extremeoxygen sensitivity of electronic properties of carbonnanotubes Science 287 1801–4

[66] Kanbara T, Takenobu T, Takahashi T, Iwasa Y, Tsukagoshi K,Aoyagi Y and Kataura H 2006 Contact resistancemodulation in carbon nanotube devices investigated byfour-probe experiments Appl. Phys. Lett. 88 053118

[67] Lee J O, Park C, Kim J J, Kim J, Park J W and Yoo K H 2000Formation of low-resistance ohmic contacts between carbonnanotube and metal electrodes by a rapid thermal annealingmethod J. Phys. D: Appl. Phys. 33 1953–6

[68] Nemec N, Tomanek D and Cuniberti G 2006 Contactdependence of carrier injection in carbon nanotubes: an abinitio study Phys. Rev. Lett. 96 076802

[69] Lan C, Srisungsitthisunti P, Amama P B, Fisher T S, Xu Xand Reifenberger R G 2008 Measurement of metal/carbonnanotube contact resistance by adjusting contact lengthusing laser ablation Nanotechnology 19 125703

[70] Zhang L, Austin D, Merkulov V I, Meleshko A V, Klein K L,Guillorn M A, Lowndes D H and Simpson M L 2004Four-probe charge transport measurements on individualvertically aligned carbon nanofibers Appl. Phys. Lett.84 3972–4

[71] Botman A, Mulders J J L and Hagen C W 2009 Creating purenanostructures from electron-beam-induced depositionusing purification techniques: a technology perspectiveNanotechnology 20 372001

[72] Chai Y, Hazeghi A, Takei K, Chen H Y, Chan P C, Javey Aand Wong H-S P 2012 Low-resistance electrical contact tocarbon nanotubes with graphitic interfacial layer IEEETrans. Electron Devices 59 12–19

[73] Kane A A, Sheps T, Branigan E T, Apkarian V A,Cheng M H, Hemminger J C, Hunt S R and Collins P G2009 Graphitic electrical contacts to metallic single-walledcarbon nanotubes using Pt electrodes Nano Lett. 9 3586–91

[74] Christy R W 1960 Formation of thin polymer films by electronbombardment J. Appl. Phys. 31 1680–3

[75] Choi Y R, Rack P D, Frost B and Joy D C 2007 Effect ofelectron beam-induced deposition and etching under biasScanning 29 171–6

[76] Rack P D, Fowlkes J D and Randolph S J 2007 In situ probingof the growth and morphology in electron-beam-induceddeposited nanostructures Nanotechnology 18 465602

[77] Randolph S J, Fowlkes J D and Rack P D 2006 Focused,nanoscale electron-beam-induced deposition and etchingCrit. Rev. Solid State Mater. Sci. 31 55–89

[78] Brintlinger T, Fuhrer M S, Melngailis J, Utke I, Bret T,Perentes A, Hoffmann P, Abourida P and Doppelt P 2005Electrodes for carbon nanotube devices by focused electronbeam induced deposition of gold J. Vac. Sci. Technol. B23 3174–7

[79] Mølhave K, Madsen D N, Dohn S and Bøggild P 2004Constructing, connecting and soldering nanostructures byenvironmental electron beam deposition Nanotechnology15 1047–53

[80] Utke I, Hoffmann P and Melngailis J 2008 Gas-assistedfocused electron beam and ion beam processing andfabrication J. Vac. Sci. Technol. B 26 1197–276

[81] Koops H W P, Schossler C, Kaya A and Weber M 1996Conductive dots, wires, and supertips for field electronemitters produced by electron-beam induced deposition onsamples having increased temperature J. Vac. Sci. Technol.B 14 4105–9

[82] Lau Y M, Chee P C, Thong J T L and Ng V 2002 Propertiesand applications of cobalt-based material produced byelectron-beam-induced deposition J. Vac. Sci. Technol. A20 1295–302

[83] Wei B Q, Vajtai R and Ajayan P M 2001 Reliability andcurrent carrying capacity of carbon nanotubes Appl. Phys.Lett. 79 1172–4

[84] An L and Friedrich C R 2012 Measurement of contactresistance of multiwall carbon nanotubes by electricalcontact using a focused ion beam Nucl. Instrum. MethodsPhys. Res. B 272 169–72

[85] Madriz F, Yamada T, Sun X, Nickel J G and Yang C Y 2010Frequency-independent RC circuit model forone-dimensional carbon nanostructures IEEE ElectronDevice Lett. 31 263–5

[86] Vyas A A, Madriz F, Kanzaki N, Wilhite P, Sun X, Yamada Tand Yang C Y 2014 Carbon nanofiber interconnect RFcharacteristics improvement with deposited tungstencontacts J. Nanosci. Nanotechnol. 14 2683–6

[87] Komuro M, Hiroshima H and Takechi A 1998 Miniaturetunnel junction by electron-beam-induced depositionNanotechnology 9 104–7

[88] Ominami Y, Ngo Q, Suzuki M, Austin A J, Yang C Y,Cassell A M and Li J 2006 Interface characteristics ofvertically aligned carbon nanofibers for interconnectapplications Appl. Phys. Lett. 89 263114

[89] Martel R, Derycke V, Lavoie C, Appenzeller J, Chan K K,Tersoff J and Avouris P 2001 Ambipolar electrical transportin semiconducting single-wall carbon nanotubes Phys. Rev.Lett. 87 256805

[90] Wang M S, Golberg D and Bando Y 2010 Superstronglow-resistant carbon nanotube–carbide-metal nanocontactsAdv. Mater. 22 5350–5

[91] Matsuda Y, Deng W Q and Goddard W A 2010 Contactresistance for ‘end-contacted’ metal–graphene andmetal–nanotube interfaces from quantum mechanicsJ. Phys. Chem. C 114 17845–50

[92] Wang L et al 2013 One-dimensional electrical contact to atwo-dimensional material Science 342 614–7

[93] Ngo Q, Yamada T, Suzuki M, Ominami Y, Cassell A M, Li J,Meyyappan M and Yang C Y 2007 Structural and electricalcharacterization of carbon nanofibers for interconnect viaapplications IEEE Trans. Nanotechnol. 6 688–95

15

Page 17: Metal–nanocarbon contacts - Rice Universityjaspertan.web.rice.edu/nano_paper.pdf · 2014-11-23 · Metal–nanocarbon contacts View the table of contents for this issue, or go to

Semicond. Sci. Technol. 29 (2014) 054006 P Wilhite et al

[94] Meyyappan M, Delzeit L, Cassell A and Hash D 2003 Carbonnanotube growth by PECVD: a review Plasma Sources Sci.Technol. 12 205–16

[95] Cruden B A, Cassell A M, Ye Q and Meyyappan M 2003Reactor design considerations in the hot filament/directcurrent plasma synthesis of carbon nanofibers J. Appl. Phys.94 4070–8

[96] Zhang Y, Franklin N W, Chen R J and Dai H 2000 Metalcoating on suspended carbon nanotubes and its implicationto metal–tube interaction Chem. Phys. Lett. 331 35–41

[97] Lim S C, Choi H K, Jeong H J, Song Y I, Kim G Y, Jung K Tand Lee Y H 2006 A strategy for forming robust adhesionwith the substrate in a carbon-nanotube field-emission arrayCarbon 44 2809–15

[98] Liebau M, Unger E, Duesberg G S, Graham A P, Seidel R,Kreupl F and Hoenlein W 2003 Contact improvement ofcarbon nanotubes via electroless nickel deposition Appl.Phys. A 77 731–4

[99] Bayer B C et al 2011 In-situ study of growth of carbonnanotube forests on conductive CoSi2 support J. Appl. Phys.109 114314

[100] Fayolle M et al 2011 Innovative scheme for selective carbonnanotubes integration in via structures Microelectron. Eng.88 833–6

[101] Lee S, Lim J S and Baik S J 2011 Integration of carbonnanotube interconnects for full compatibility withsemiconductor technologies J. Electrothermal Soc.158 K193–6

[102] Chiodarelli N, van der Veen M H, Vereecke B, Cott D J,Groeseneken G, Vereecken P M, Huyghebaert Zand Tokei Z 2011 Carbon nanotube interconnects: electricalcharacterization of 150 nm CNT contacts with Cudamascene top contact IITC/MAM’11: IEEE Int.Interconnect Technology Conf. and 2011 Materials forAdvanced Metallization pp 1–3

[103] Subramaniam C, Yamada T, Kobashi K, Sekiguchi A,Futaba D N, Yumura M and Hata K 2013 One hundred foldincrease in current carrying capacity in a carbonnanotube-copper composite Nature Commun. 4 2202

[104] Santini C A, Volodin A, Van Haesendonck C, De Gendt S,Groeseneken G and Vereecken P M 2011 Carbonnanotube–carbon nanotube contacts as an alternativetowards low resistance horizontal interconnects Carbon49 4004–12

[105] Kong J, Zhou C, Morpurgo A, Soh H T, Quate C F, Marcus Cand Dai H 1999 Synthesis, integration, and electricalproperties of individual single-walled carbon nanotubesAppl. Phys. A 69 305–8

16