nitrides for visible light communications nitrides for visible light communications

102
semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.13 • Issue 2 • March/April 2018 www.semiconductor-today.com ISSN 1752-2935 (online) Microchip buying Microsemi•Cree buys Infineon RF Power unit Lumentum to acquire Oclaro•News from APEC 2018 Nitrides for visible light communications Nitrides for visible light communications

Upload: others

Post on 11-Sep-2021

15 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Nitrides for visible light communications Nitrides for visible light communications

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.13 • Issue 2 • March/April 2018 www.semiconductor-today.com

ISSN 1752-2935 (online)

Microchip buying Microsemi•Cree buys Infineon RF Power unitLumentum to acquire Oclaro•News from APEC 2018

Nitrides for visiblelight communicationsNitrides for visiblelight communications

Page 2: Nitrides for visible light communications Nitrides for visible light communications

Introducing Veeco’s new TurboDisc® EPIK700™ GaN MOCVD system As global consumption for LED general lighting accelerates, manufacturers need bigger, better MOCVD technology solutions that increase productivity and lower manufacturing costs. The EPIK700 MOCVD system combines Veeco’s award-winning TurboDisc reactor design with improved wafer uniformity, increased productivity and reduced operations expenses to enable a cost per wafer savings of up to 20 percent compared to previous systems. It also features a reactor with more than twice the capacity of previous generation reactors. This increased volume coupled with productivity advancements within the EPIK700 reactor, results in an unmatched 2.5x throughput advantage over previous reactors. Learn how Veeco’s TurboDisc EPIK700 GaN MOCVD system can improve your LED manufacturing process today. The advantage is not just big. It’s EPIK.

Contact us at www.veeco.com/EPIK700 to learn more.

Another breakthrough from Veeco. This time it’s EPIK.

Veeco’s New TurboDisc EPIK700 GaN MOCVD System

Faster LED Adoption

Lower Cost LEDs

Page 3: Nitrides for visible light communications Nitrides for visible light communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

3

contentsEditorial 4Markets News 6 Increase in MOCVD system installations to lead to 28.3% GaN LEDsurplus in 2019 •Micro-LED & mini-LED applications to account for11.4% of LED wafer volume by 2022 Microelectronics News 8GlobalFoundries’ new RF ecosystem program to speed time-to-marketfor wireless connectivity, radar and 5G Wide-bandgap electronics News 12Microchip acquiring Microsemi •Cree buys Infineon RF Power business•Cree to supply $100m of 150mm SiC wafers to Infineon •Qorvounveils highest-power GaN-on-SiC RF transistor •News from APEC •RJR opens new Phoenix manufacturing facility and HQ Materials and processing equipment News 34IQE acquiring Translucent’s cREO technology • IQE’s record 2017driven by adoption of VCSELs • Aixtron returns to annual profit •KLA-Tencor to acquire SPTS’ parent firm Orbotech • RochesterInstitute improves nano-structure fabrication LED News 46 Simple chemical surface treatment improves light extraction fromnanowire UV LEDs • VueReal raises funding for micro-LED displaytechnologies •Osram, Nichia expand nitride LED & laser IP cooperation Optoelectronics News 54 II-VI unveils 940nm edge-emitting DFB laser for 3D sensing •II-VI to acquire CoAdna for $85m Optical communications News 56 Lumentum to acquire Oclaro • CST Global proves feasibility ofuncooled, ridge-waveguide CWDM DFB lasers for 25Gbps • Infineraunveils first 2.4Tb/s optical engine • GlobalFoundries extends siliconphotonics roadmap • Molex invests in Elenion Photovoltaics News 72 Magnolia to develop cost-effective, thin-film GaAs-based solar cellsfor reliable portable power

Technology focus: Nitride LEDs 74Questioning carrier distributions in III-nitride LEDs

Technology focus: Nitride LEDs silicon 76Boosting InGaN LEDs on silicon with tensile stress

Technology focus: Visible light communications 78III–nitride prospects for VLC applications

Technology focus: Lasers 86Stacking III-nitride lasers with tunnel junction connections

Technology focus: Lasers 88Continuous-wave operation of semi-polar blue laser diodes

Technology focus: GaN diodes 90Tri-anode/slant-gate GaN Schottky barrier diode on silicon

Technology focus: Nitride transistors 92Vanadium dioxide enables III-nitride phase-transition field-effect transistor

Suppliers’ Directory 94

Event Calendar and Advertisers’ Index 100

Vol. 13 • Issue 2 • March/April 2018

p12SILTECTRA has validated the firstHEMT structure fabricated on a twinnedSiC wafer using its COLD SPLIT laser-based wafer thinning technology.

p36Veeco has completed installationof its 100th automated MBE system —a GEN10 for Silanna Semiconductor inAustralia.

p46KAUST has demonstrated atechnique using diluted potassiumhydroxide solution for reducing theloss of UV light at the surface ofnanostructures.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: III-V opto-electronic foundryCST Global says thatits 1490nm, 2.5Gb/sDFB lasers for Giga-bit passive opticalnetwork applicationshave been beta

sampled and are now available to orderfrom its standard product portfolio. p56

Page 4: Nitrides for visible light communications Nitrides for visible light communications

Mid-March’s annual Optical Networking and Communication Conference &Exhibition (OFC) in San Diego saw the usual plethora of developmentstargeting higher data rates (400Gbps) and greater integration of components— see pages 58–71 for a selection of news (with more to follow next issue).But this year’s event coincided with the much anticipated integration (of thebusiness variety) among Silicon Valley-based optical component makers,as Lumentum announced a deal to acquire Oclaro for $1.8bn (see page 70). Lumentum will gain Oclaro’s capabilities in indium phosphide lasers and

photonic integrated circuits as well as coherent components and modules,as it aims to strengthen its position for high-speed communications. This supplements Lumentum’s strong position in having not only 4”- but

also 6”-wafer gallium arsenide manufacturing lines for volume productionof vertical-cavity surface-emitting laser (VCSEL) arrays for 3D sensingapplications in consumer electronics (for Apple). This recently helped todrive 66.4% quarter-on-quarter growth in Lumentum’s revenue. Meanwhile, dominant optical component supplier Finisar has reported

quarterly revenue down 12.7% year-on-year, albeit flat quarter to quarter(see page 68). However, after starting to ship VCSEL arrays for 3D sensingfrom its 4” fab in Allen, Texas, only late the prior quarter, the firm is aimingto start production at a new 6”-wafer VCSEL fab in Sherman, Texas, insecond-half 2018. Due largely to VCSEL manufacturing ramping up in second-half 2017 for

mass-market consumer applications, epiwafer maker IQE more thandoubled its Photonics revenue in 2017, and is planning a large capacityexpansion, particularly targeting growing demand for VCSELs (see page 34).Likewise, metal-organic chemical vapor deposition (MOCVD) system makerAixtron is banking on demand for 3D sensing VCSEL manufacturing helpingto drive its return to sustainable annual profit in 2018 (see page 39). As well as VCSELs, Lumentum is also manufacturing edge-emitting lasers

for 3D sensing (enabling it to broaden its customer base). Likewise, II–VIInc has also just launched an edge-emitting laser for 3D sensing, made on6” GaAs wafers (see page 54). Meanwhile, in the microelectronics sector, Microchip Technology Inc of

Chandler, AZ, has agreed to acquire California-based Microsemi, whichmakes chips using silicon, GaAs, silicon carbide and gallium nitridetechnology, for $8.35bn (page 14). Also, North Carolina-based Cree has acquired the largely US-based RF Power

business of Germany’s Infineon for €345m, aiming to strengthen itsWolfspeed business’ position in RF GaN-on-SiC technologies (targetingfaster 4G networks and the transition to 5G) — page 18. This follows Creein February 2017 terminating a deal to sell its Wolfspeed Power & RF divisionto Infineon for $850m, after failing to meet national security concerns ofthe Committee on Foreign Investment in the United States (CFIUS).Ironically, Wolfspeed has since been Cree’s best performing sector, withquarterly revenue growing 30% year-on-year (compared with 11% forLED Products and helping to counteract a 31% drop in Lighting Productrevenue), rising to 19% of total revenue, with gross margin of over 48%(versus 25.3% for LED Products and just 15.9% for Lighting Products).Cree has also agreed a $100m deal to supply Wolfspeed 150mm SiC wafersto Infineon, exemplifying the other big growth trend at the moment (apartfrom 3D sensors) — power electronics. Mark Telford, Editor

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement SalesDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain: news (funding, personnel, facilities,

technology, applications and markets); feature articles (technology, markets,

regional profiles); conference reports; event calendar and event previews; suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2018 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Acquisitions and growth trends

Page 5: Nitrides for visible light communications Nitrides for visible light communications
Page 6: Nitrides for visible light communications Nitrides for visible light communications

In the last two years, the surplus ingallium nitride (GaN)-based LEDwafers and die has been quitesmall, says market research firmIHS Markit. Metal-organic chemicalvapor deposition (MOCVD) systemcapacity utilizations have been highbut, in an environment of uncertaindemand and falling prices, manu-facturers were reluctant to makefurther investments, notes Jamie Fox(principal analyst, LEDs & Lighting). However, in response to growing

demand, Chinese manufacturersare once again taking advantage of government subsidies. China’sFocus Lighting and Shenzhen MTChave announced MOCVD expansionplans in recent months. MeanwhileSanan, Osram Opto, HC Semitekand others are also expanding in2018. After assessing planned purchases

by LED makers, IHS Markit projectsthat, in 2018, 330 MOCVD reactorchambers will be installed world-wide for producing gallium nitride(GaN)-based LEDs. At the peak inMOCVD shipment in 2010, 754reactor chambers were shippedbut, allowing for the greater production capacity of today’s more modern reactors, the actualwafer and die area capacity addedin 2018 will be similar to that peakyear. So, after MOCVD reactorchamber installations led to a GaNLED surplus of 7.4% in 2017, thissurplus will grow to 15.8% in 2018and 28.3% in 2019 (with an averagecapacity utilization of 78% in 2019). IHS Markit’s forecast takes account

of the available GaN LED supply —MOCVD system makers AMEC inChina and Veeco in the USA willlikely be working at full capacity tomeet the expected higher demandin the coming years. MOCVD ship-

ments in 2018 may even be limitedby the available supply of systems,rather than demand. China gaining market share China’s production capacity for LEDwafers and die increased dramati-cally between 2010 and 2018. Thecountry has transformed itself froma small player in the LED market tobecome the country with more production capacity than the rest ofthe world combined. This planned growth by Chinese

companies was more than anattempt to meet demand; insteadthe goal was to increase the coun-try’s market share, says IHS Markit.In fact, China’s San’an is nowclearly ahead of Taiwan’s Epistar asthe global leader in wafer and dieproduction capacity. Mid-power LEDs in lighting,

automotive headlights and signageare among the areas that did wellin 2017, and they will continue togrow in 2018 — even allowing forthe fact that some portion of theannouncedorders mightbe canceledor deferredinto the fol-lowing year,says IHSMarkit. Theexpectedover-capacitywill have abigger effecton somemarkets,includinglighting, andless on automotiveand othermarkets,where the

newer entrants are not qualifiedand the barrier to entry is muchhigher. In a world of $0.01 2835-packaged

mid-power LEDs for general lightingin Asia, LED vendors from othercountries are realizing that theycannot compete with China’s subsi-dies and low costs. Vendors outsideChina are therefore now typicallyfocusing on other LED categories forgrowth and profitability, includinghigh power instead of low power,automotive instead of lighting,ultraviolet instead of visible, andlight engines instead of packagedLEDs. For example, South Korean LED

maker LG Innotek’s revenue fell infourth-quarter 2017, and the firmannounced that it will instead focuson higher-end products and ultra-violet LEDs. This is a direct responseto the growth of Chinese capacity.Other companies have followed asimilar strategy, but they havebeen able to avoid revenue declinesby focusing more on the high-endmarket, along with automotive andsignage, in addition to lighting. Another dynamic is that most

non-Chinese companies have notexpanded their capacity in recentyears. In fact, many of them havenot invested in MOCVD at all, whichmeans that capacity may evendecline over time, as older systemsgo offline. These companies insteadbuy die from China and sell themas packaged LEDs or light engines.In some cases, they outsource toChina their entire production ofpackaged LEDs. The current trendof expanding production capacity —along with further expansions inChina — likely means that thistrend will continue, says IHS Markit. www.ihsmarkit.com

Increase in MOCVD system installations to lead to 28.3% GaN LED surplus in 2019 China capacity expansions for mid-power lighting LEDs driving othersto focus on high-power, automotive, UV and light engine sectors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

6

news

The expectedover-capacitywill have abigger effect onsome markets,includinglighting, andless on automotive andother markets,where thenewer entrantsare not qualifiedand the barrierto entry is much higher

Page 7: Nitrides for visible light communications Nitrides for visible light communications

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

7

While conventional LEDs are usedfor backlighting, micro-LED andmini-LED technology has been usedfor self-emitting in displays of consumer electronics. Since eachLED represents a pixel (increasingthe number of LED wafers used),wafers for applications using micro-LEDs and mini-LEDs willaccount for 11.4% of the total LEDwafers used worldwide by 2022,forecasts market research firmLEDinside (a division of TrendForce).These applications will become a keydriver of market demand, it adds. The volume of 4-inch equivalent

LED wafers was about 37 million in2017, up 30% year-on-year,according to research directorRoger Chu. Although the rise oforganic light-emitting diodes(OLEDs) will affect the number ofLEDs used in backlighting of mobilephones and large-size panels, thenumber of LED wafers used forgeneral lighting and automotivelighting continues to grow. Coupledwith the rapid growth of fine-pitchdisplay applications, the number of LED wafers used has increaseddramatically. However, as Chinese LED makers

continue to expand their capacitiessignificantly, overall industry capacitymay grow much faster thandemand in the future. Vendorstherefore need to rely on newapplications to boost demand, sotechnical progress in micro-LEDsand mini-LEDs will play a key role,believes LEDinside. In terms of LED market revenue,

LED prices will decline further dueto the substantial capacity expan-sion of Chinese manufacturers,notes the market research firm.However, LED penetration continuesto increase, driven by general lighting and automotive lightingapplications. Coupled with the newapplications of micro-LEDs and

mini-LEDs,it is fore-casted that LED marketrevenue willgrow at acompoundannualgrowth rate (CAGR) of 7% from$17.16bn in 2017 to $25.5bn in2022. Deployment of micro-LED &mini-LED technology worldwideMicro-LEDs are considered to rep-resent a new-generation displaytechnology due to its properties ofhigh resolution, high brightness,power saving, and rapid responsetime etc. Companies worldwidehave been actively involved indeveloping micro-LED products,and keyplayersincludeApple,Samsung,LG, Sony,Facebookand Google,as well asChinesefirms like

San’an Optoelectronics, HC Semi-Tek, Changelight, Leyard and MTCetc.Taiwanese companies are also

active in micro-LED development.The Industrial Technology ResearchInstitute (ITRI) is establishing amicro-LED demo product line, whichis expected to deliver products tovirtual reality (VR) product makersfrom third-quarter 2018. Othermajor companies such as Epistar,AU Optronics, Innolux, PlayNitrideand Macroblock etc have all activelyjoined in the development ofrelated technologies. As micro-LED technology still

faces technical bottlenecks, transitional mini-LED products arebeing favored by manufacturers,including chip makers like Epistar,Lextar, San’an Optoelectronics andHC SemiTek, packaging companieslike Everlight, Advanced Optoelec-tronic Technology, Harvatek andSeoul Semiconductor, IC designerslike Macroblock, Raydium andJasper Display, panel makers likeAU Optronics and Innolux, and digital display makers like Leyard,notes LEDinside. www.ledinside.com

Micro-LED & mini-LED applications to accountfor 11.4% of LED wafer volume by 2022 LED makers need new applications as China’s LED capacity expansionaccelerates price declines

LED wafer volume forecast for applications using micro-LED and mini-LEDsby 2022.

LED marketrevenue will growat a compoundannual growthrate of 7% from$17.16bn in 2017to $25.5bn in2022

The volume of 4-inch equivalentLED wafers wasabout 37 millionin 2017, up 30%year-on-year

Page 8: Nitrides for visible light communications Nitrides for visible light communications

Fairview Microwave Inc of Lewisville,TX, USA, which provides on-demandRF and microwave components,has released a new line of E-band and W-band millimeter-wavesingle-pole single-throw (SPST) andsingle pole double-throw (SPDT)PIN diode waveguide switchesoffering an ultra-broadband frequency range with fast switchingperformance. Suitable for telecoms, test instru-

mentation, R&D programs andradar front ends in applications thatinvolve general switching, receiverprotection, pulse modulation andantenna beam switching, the fournew PIN diode waveguide switches

feature fully integrated WR10 andWR12 waveguide ports that sup-port a UG387/U flange and cover E-band (60–90GHz) and W-band(75–110GHz) frequencies. The designs use gallium arsenide

(GaAs) beam-lead diodes and low-loss Fin-line technology, yielding4dB typical insertion loss, 25dB ofisolation and fast switching speedof <300ns. Fully integrated TTLdriver circuitry with an SMA con-nector control port provides ease ofuse. All models require a dual volt-age bias of +5/–5Vdc and have amaximum rated CW input powerlevel of 0.5dBm. Rugged MIL-gradecompact package configurations

integrate both switch and controlpackaging and offer maximum performance and reliability over afull temperature range of –55°C to+85°C.“These waveguide switches usu-

ally command long lead-times, butFairview has four different modelsavailable off-the-shelf and ready fordelivery,” says product managerTim Galla. Fairview’s new E- and W-band PIN diode waveguide switchesare in stock and ready for immedi-ate shipment with no minimumorder quantity. www.fairviewmicrowave.com/rf-products/e-and-w-band-waveguide-pin-diode-switches.html

Fairview launches E- & W-band PIN diode waveguide switches

At the Mobile World Congress(MWC2018) in Barcelona, Spain(26 February – 1 March), SkyworksSolutions Inc of Woburn, MA, USA(which makes analog and mixed-signal semiconductors) launchedSkyOne LiTE, a highly integratedfront-end solution for LTE mobiledevices. Building on the firm’s proven

SkyLiTE architecture, the new plat-form incorporates power amplifiers,proprietary duplexers and innovativeswitching technology to supportcomplex carrier aggregation combinations in a much reducedform factor. The systems alsoinclude a diversity receive front-endmodule and high linearity antennaswitches to further improve func-tionality. The SkyOne LiTE family issaid to decrease development timefor smartphone manufacturers. With the increasing demand for

feature-rich mobile phones utilizingfull-screen displays, dual camerasand seamless multi-tasking capabilities, handset OEMs acrossthe mobile ecosystem are facedwith balancing the constraints of RF operation and industrial design,says Skyworks. To address this

need, SkyOne LiTE delivers what isclaimed to be best-in-class transmitand receive performance whilereducing the footprint by more than 20%. With two variants, thepin-to-pin solution supports 10 bandsfor North America and 12 bands forEurope and Asia Pacific. The SkyOne LiTE family supports

carrier aggregation with switchhexaplexer functionality whiledelivering higher power, lowerinsertion loss and reduced currentconsumption. The platform includesthe following options: SKY78185-11/21 — low-bandfront-end modules with2G/3G/4G power amplifiers (PAs)for LTE applications that includefour duplexers and are designedfor use in all regions; SKY78187-11 — a mid- andhigh-band front-end module with3G/4G PAs for LTE applicationsthat integrates five duplexers andone TDD filter and is designed forNorth America; SKY78188-11 — a mid- andhigh-band front-end module with3G/4G PAs for LTE applicationsthat uses four duplexers and fourTDD filters and is designed for

Europe and China; SKY96500-11 — LTE diversityreceive module with a MIPI RFfront-end interface; and SKY13698-694LF — double-pole,double-throw antenna swap switch. “Skyworks’ newest connectivity

solutions are enabling next-genera-tion, value-oriented smartphoneswith the most advanced wirelessarchitectures,” says Reza Kasnavi,VP & general manager, Open MarketPlatforms for Skyworks. “SkyOneLiTE embodies our close collabor-ation with partners and customersto significantly push the technologyenvelope and simplify the designprocess with fully integrated modules.” According to a 2017 report from

Accenture, developing markets areexpected to account for 75% of allsmartphone sales in 2018 and willbe the engine for overall marketgrowth. This reflects consumers’growing demand for upgradedhandsets that include enhancedentertainment and media features,productivity and gaming experiences. www.mobileworldcongress.comwww.skyworksinc.com/Products_SkyOne_LiTE.aspx

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

8

Skyworks launches SkyOne LiTE front-end for LTE mobile devices

Page 9: Nitrides for visible light communications Nitrides for visible light communications

At the GSMA’s Mobile World Congress(MWC2018) in Barcelona, Spain(26 February – 1 March), SkyworksSolutions Inc of Woburn, MA, USA(which makes analog and mixed-signal semiconductors) launched itsfirst family of products from itsSky5 platform enabling 5G connec-tivity. The wireless engines, which

include highly integrated, high-performance transmit/receivefront-end solutions as well as diversity receive (DRx) modules,are designed specifically for newspectrum in the sub-6GHz range,are baseband agnostic and compli-ant with 3GPP standards. Futureproducts will include modules that address eLAA and C-V2X applications in the same frequencyranges. To meet early demand for sub-

6GHz 5G new radio (NR) usagecases, Skyworks is leveraging itstechnology portfolio to meetrequirements for low, mid, high andultra-high cellular frequency bands.Through its SkyBlue enabling tech-nology, these modules also providewhat is claimed to be industry-leading power efficiency. All Sky5solutions will support new 5Gwaveforms and spectrum in addi-tion to enhanced carrier aggrega-tion and dual connectivity (4G/5G)while delivering high levels ofintegration and performance. “Skyworks’ innovative Sky5

architectures are accelerating thedeployment of 5G wireless commu-nications,” says Joel King, VP &general manager of AdvancedMobile Solutions. “We recognizethat 5G requires significantly morepowerful and complex connectivitysolutions and are excited to employour systems expertise, operationalscale and solid customer partner-ships to resolve these challenges.” According to Ericsson’s June 2017

Mobility Report, 15% of the world's

population is expected to be cov-ered by 5G in 2022. North Americais projected to be first in adoptionrates for 5G, with a quarter of allmobile subscriptions in the regionto be on 5G by 2022. The GSMA reports that 60% of

the world’s population is alreadycovered by 4G networks and more than 2.5 billion individuals areconnected to 3G or 4G networksand services. LTE-Advanced networks will form the foundationfor rapid rollout of 5G, providingboth networks and platforms to further digitize economies and societies. All Sky5 solutions offer MIPI

interface and are highly flexible,with customizable architectures tooptimize performance, footprint andpower efficiency. Initial productsinclude: SKY78250 — a 5G NR poweramplifier module with integratedfiltering and dual-path low-noiseamplifiers (LNA) supporting N77,N78, N79 and B42, B43, B48bands. This device also usesSkyBlue technology and integratesa dual antenna output. SKY97005 — a 5G NR diversityreceive module with integratedfiltering and dual-path LNAs supporting N77, N78, N79 andB42, B43, B48 bands. This devicealso incorporates a dual antennainterface. SKY85762 — an eLAA front-endmodule with a dual-mode poweramplifier and simultaneousLAA/Wi-Fi receive functionality(for release in late 2018). SKY85761 — a cellularVehicular-to-Everything (C-V2X)front-end module with Class 2power and integrated gain control(for release in late 2018). www.mobileworldcongress.com www.skyworksinc.com/Sky5 www.skyworksinc.com/Products_5G.aspx

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

9

Skyworks unveils suite of Sky5 solutions for 5G wirelesscommunications

Page 10: Nitrides for visible light communications Nitrides for visible light communications

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

10

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

Anokiwave says that, at theGSMA’s Mobile World Congress(MWC 2018) in Barcelona Spain(26 February – 1 March), its 5G ICspowered more than 10 customer5G arrays at all three mmW 5Gbands. In addition, more than 10Anokiwave Active Antenna Innova-tor Kits, driven by the firm’s 5GICs, were featured at several part-ner booths. As part of its strategy to enable

mmW 5G systems by industrializ-ing planar active antennas with silicon core ICs, Anokiwave hasintroduced silicon ICs at each ofthe major mmW bands — 26GHz, 28GHz and 39GHz. The

AWMF-0108 IC (launched in 2016)operates at 26.5–29.5GHz, theAWMF-0123/5 ICs (launched in2017) operate at 37.1–40GHz, andthe AWMF-0135 (launched in 2017)operates at 24.25–27.5GHz. Each of the quad core ICs featuresembedded functions for remotetelemetry and low-latency steering. To demonstrate the performance

achievable using low-power silicon integration and efficientantenna layout and design, Anokiwave and its partner BallAerospace have introduced a familyof Active Antenna Innovator Kits atthe frequencies 26GHz and 28GHz.The 64-element and 256-element

active antennas show how 5G coverage can be rolled out by network operators using the mmWbands, with low power footprintand high energy efficiency, whilemeeting key operating specificationsfor data rate, latency, coverageand reliability. “As 5G wireless network deploy-

ments are expected worldwide asearly as 2018, Anokiwave standsready to equip service providersand OEMs with innovative ICs inlarge volumes for all major 5GmmW bands,” says CEO RobertDonahue. www.mobileworldcongress.com www.anokiwave.com/mwc2018

Anokiwave highlights strategy to enable roll-out of millimeter-wave 5G systems at Mobile World Congress

Anokiwave Inc of San Diego, CA,USA — which provides highly integ-rated silicon core chips and III-Vfront-end integrated circuits formillimeter-wave (mmW) marketsand active antenna-based solutions— has launched the 28GHz AWMF-0157 as the second product in anew family of second-generation5G silicon quad core ICs enabling3GPP-compliant base stations (partof Anokiwave’s on-going strategy toenable the commercialization of 5GmmW systems with silicon ICs). Like the 37.1–40.0GHz AWMF-0156,

the new AWMF-0157 (which oper-ates at 26.5–29.5GHz) supports

four radiating elements, andincludes gain and phase controlsfor analog RF beam steering.Anokiwave’s patent-pending IPblocks implemented in silicon tech-nology enable low-cost hybridbeam forming with high energyefficiency and low-latency beamsteering. “As the push to roll out 5G networks

by the end of the year is proceedingat full speed, Anokiwave is executingon an aggressive strategy to deliver mmW 5G solutions withindustry-first ICs at 26GHz, 28GHzand 39GHz,” says chief architectDavid Corman. “The new family of

ICs that enable 3GPP compliance isan essential step that allows networkoperators to roll out 5G coverage inearnest,” he adds. The AWMF-0157 is a highly

integrated silicon IC packaged in awafer-level chip-scale package(WLCSP), fitting within the typical5.3mm lattice spacing at 28GHz. For ease of adoption of the tech-

nology and capabilities, Anokiwaveoffers evaluation kits that includeboards with the IC, USB-SPI inter-face module with drivers, and allrequired cables. Pilot productiondeliveries are available in May.www.anokiwave.com

Anokiwave launches second IC in new family supporting3GPP-compliant millimeter-wave 5G equipment

Page 11: Nitrides for visible light communications Nitrides for visible light communications

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

11

GlobalFoundries of Santa Clara, CA,USA (one of the world’s largestsemiconductor foundries, withoperations in Singapore, Germanyand the USA) has announced thenew ecosystem partner programRFWave, designed to simplify RFdesign and help customers reducetime-to-market for a new era ofwireless devices and networks. The last few years has seen

increasing demand for connecteddevices and systems that willrequire innovations in radio tech-nologies to support the new modesof operation and higher capabilities,notes the firm. The RFWave PartnerProgram builds on GlobalFoundries’5G vision and roadmap (announcedlast October), with a focus on its RF solutions such as fully depletedsilicon-on-insulator (FD-SOI), RF CMOS(bulk & advanced CMOS nodes), RFSOI and silicon germanium (SiGe)technologies. The program aims toprovide a low-risk, cost-effectivepath for designers seeking to buildhighly optimized RF solutions forwireless applications such as Internet of Things (IoT) across various wireless connectivity andcellular standards, standalone ortransceiver-integrated 5G front-endmodules, millimeter-wave backhaul,automotive radar, small-cell andfixed-wireless and satellite broadband.RFWave enables customers to build

RF solutions as well as packaging andtest solutions. Initial partners havecommitted a set of key offerings tothe program, including: electronic design automation(EDA) tools that complementindustry-leading design flows byadding specific modules to easilyleverage features of GlobalFoundries’RF technology platforms; a comprehensive library ofdesign elements (IP), includingfoundation IP, interfaces and

complex IP to enable foundrycustomers to start their designsusing pre-validated IP elements; resources (design consultation,services), trained and globallydistributed, for partners to gaineasy access to support in develop-ing solutions using GlobalFoundries’RF technologies“An explosion of digital information

is expected to drive an enormousamount of growth in the comingyears, and our customers arealready preparing for a future ofseamless, reliable ultrahigh-data-rate wireless connectivityeverywhere,” says Bami Bastani,senior VP of the RF business unit.“GlobalFoundries’ RFWave programtakes industry collaboration to anew level, enabling our customersto build differentiated, highly integrated RF-tailored solutionsthat are designed to accelerate thenext wave of technology.” The RFWave Partner Program

creates an open framework to allow selected partners to integratetheir products or services into avalidated, plug-and-play catalog of design solutions. This level ofintegration allows customers tocreate high-performance designswhile minimizing development coststhrough access to a broad set ofofferings, specific to RF technology.The partner ecosystem aims toposition members and customersto take advantage of ubiquitousconnectivity and the broad adoptionof GlobalFoundries’ RF technologyplatforms.Initial members of the RFWave

Partner Program are asicNorth,Cadence, CoreHW, CWS, KeysightTechnologies, Spectral Design andWEASIC, which have already initiated work to deliver highly optimized RF solutions.www.globalfoundries.com

GlobalFoundries’ new RF ecosystemprogram to speed time-to-market forwireless connectivity, radar and 5G RFWave Partner Program targets fasterproduct deployment on RF technology platforms

Page 12: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

12

SILTECTRA GmbH of Dresden, Germany says that it has validatedthe first high-electron-mobilitytransistor (HEMT) structure fabri-cated on a twinned silicon carbide(SiC) wafer processed using its proprietary COLD SPLIT laser-basedwafer thinning technology. As a laser-based wafer-thinning

technique for substrate materialslike silicon carbide, gallium nitride,silicon and sapphire, COLD SPLIToutperforms traditional grindingmethods by thinning wafers to100μm and below in minutes, withvirtually no material loss, claimsSILTECTRA. Now, due to a novel‘twinning’ adaptation, the firm hasdemonstrated that COLD SPLIT canreclaim substrate material generated(and previously wasted) duringbackside grinding, and create asecond fully optimizable bonuswafer in the process. SILTECTRA reckons that the

development promises substantialbenefits for manufacturers of SiC-based ICs for power electronics andRF applications. The firm reckonsthat the solution’s combined advan-tages — which include fewerprocess steps, potentially lowerequipment costs, and efficient useof substrate material — couldreduce total device productioncosts by as much as 30%. SILTECTRA validated the process

by producing a GaN-on-SiC HEMTpower device on a split-off (twinned)wafer at its new facility in Dresden.The HEMT showed results that were said to be superior to a non-COLD-SPLIT-enabled HEMT whenmeasured for CMP characterization,as well as GaN epitaxy, metal layerand gate layer outcomes. New substrate materials demand

manufacturing cost reductions Until now, the traditional method

to thin wafers to less than 20% ofthe original thickness was grinding,

involving the use of expensive dia-mond grinding wheels. While valuedas a reliable solution for silicon,certain challenges make it difficultfor grinding to achieve the extremelevel of thinness required for SiC-based devices, says SILTECTRA.Unlike silicon, which is relativelysoft, SiC is very hard (second onlyto diamond), which makes cuttingand grinding arduous and expensive.Also, grinding is not a fast process,and the cost of consumables for thegrinding wheels can be substantial.Finally, grinding generates materialloss, and the process lowers overallyield, further driving up cost.SILTECTRA hence engineered

COLD SPLIT as a faster, higher-yield,lower-cost alternative to grinding forsubstrates like SiC. The techniqueemploys a chemical-physical processthat uses thermal stress to generatea force that splits the material withprecision along the desired plane.Thinningis henceaccom-plished inminutesinsteadof anhour fortradi-tionalgrinding

tools, and cuts material loss by asmuch as 90%, it is reckoned. The twinning development

extends COLD SPLIT’s capabilities.The adaptation provides a simpleway for integrated device manufac-turers (IDMs) to avoid expensivekerf loss when slicing ingots orboules into wafers, says SILTECTRA,effectively replacing backsidegrinding processes while producingan identical wafer primed for a sec-ond device run. “We were confidentthat we could not only produce afaster and cheaper thinning solutionfor substrates like SiC, but that wecould double the value for customersby extending COLD SPLIT’s reachto create a twin wafer from materialpreviously lost during backsidegrinding,” says SILTECTRA’s CEO Dr Harald Binder. Binder notes that the twinning

development was achieved aheadof schedule on the company’s technology roadmap. IDMs are now evaluating the technology.SILTECTRA is qualifying the processon customers’ SiC material at itsnewly extended facility in Dresden,while preparing to apply the COLD SPLIT technique to additionalsubstrate materials. The firm alsoprovides wafering and thinningservices at the same location. www.SILTECTRA.com

SILTECTRA validates twinned SiC wafer producedusing COLD SPLIT laser-based wafer thinning technique GaN-on-SiC HEMT power device produced on split-off wafer at firm’s new facility in Dresden

SILTECTRA’s Dresden HQ where COLD SPLIT twinning process was developed.

COLD SPLIT canreclaim substratematerial generatedduring backsidegrinding, andcreate a secondfully optimizablebonus wafer

Page 13: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics — APEC 2018

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

13

ON Semiconductor of Phoenix, AZ,USA — which supplies power man-agement, analog, sensors, logic,timing, connectivity, discrete, system-on-chip (SoC) and customdevices — has extended its siliconcarbide (SiC) diode portfolio byintroducing its newest family of650V Schottkys. The diodes’ SiCtechnology is said to provide higherswitching capabilities with lowerpower losses and easy parallelingof devices. The new family of 650V SiC diodes

includes surface-mount andthrough-hole packages rangingfrom 6A to 50A. All of the diodesprovide zero reverse recovery, low forward voltage, temperature-independent current stability, high surge capacity, and positivetemperature coefficient. The new diodes are targeted at

engineers designing power factorcorrection (PFC) and boost convert-ers for applications including solar photovoltaic (PV) inverters,electric vehicle/hybrid electric vehicle(EV/HEV) chargers, telecom powerand data-center power supplies whilefacing challenges to deliver smallerfootprints at higher efficiencies. The 650V devices offer the com-

bined system benefits of higherefficiency, higher power density,smaller footprints and enhancedreliability. They exhibit a reducedpower loss due to the inherent lowforward voltage (VF) and no reverserecovery charge of SiC diodes, andhence improved efficiency. Thefaster recovery of SiC diodes allowsfor higher switching speeds andtherefore reduces the size of magnetics and other passive com-ponents, enabling greater powerdensity and smaller overall circuitdesigns. In addition, they can withstand higher surge currentsand deliver stability over their–55°C to +175°C operating tem-perature range.

ON Semiconductor’s SiC Schottkydiodes feature a patented termina-tion structure that is said to rein-force reliability and enhancestability and ruggedness. Addition-ally, they offer what is claimed tobe higher avalanche energy, theindustry’s highest unclampedinductive switching (UIS) capabilityand lowest leakage currents. “ON Semiconductor’s new 650V

family of SiC diodes complementthe company’s existing 1200V SiCdevices, bringing a broader productrange to our customers,” saysSimon Keeton, senior VP & generalmanager of ON Semiconductor’sMOSFET business unit. “Utilizingthe unique characteristics of wide-bandgap materials, SiC technologyoffers tangible benefits over silicon,and their robust construction provides a dependable solution inapplications in challenging environ-ments,” he adds. “Customers willbenefit from simplified, better-per-forming, smaller-footprint designsas a result of these new devices.”

The 650VSiC diodedevices areoffered inDPAK, TO-220 andTO-247packages,priced at$1.30–14.39per unit in1000 unitquantities.

At the Applied Power ElectronicsConference (APEC 2018) in San Antonio, Texas (4–8 March),ON Semiconductor gave a livedemonstration of its SiC MOSFETsand diodes, showing how the firm’slatest simulation modelling tech-niques can accurately match real-life device operation. www.onsemi.com/PowerSolutions/parametrics.do

ON Semiconductor extends silicon carbide diode portfolio by adding 650V Schottkys

The SiC Schottkydiodes feature a patentedterminationstructure that issaid to reinforcereliability andenhancestability andruggedness

Page 14: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

14

Microcontroller, mixed-signal, ana-log and Flash-IP solution providerMicrochip Technology Inc of Chandler,AZ, USA has agreed to acquireMicrosemi Corp of Aliso Viejo, CA,USA (which makes chips for aero-space & defense, communications,data-center and industrial markets,using silicon, gallium arsenide, silicon carbide and gallium nitridetechnology) for $68.78 per share(representing a total equity value of$8.35bn, and an enterprise value of$10.15bn, after accounting forMicrosemi’s cash and investments,net of debt, on its balance sheet atthe end of 2017).“Even as we execute a very

successful Microchip 2.0 strategy thatis enabling organic revenue growthin the mid to high single digits,Microchip continues to view accre-tive acquisitions as a key strategyto deliver incremental growth andstockholder value,” says Microchip’schairman & CEO Steve Sanghi.

“The Microsemi acquisition is thelatest chapter of this strategy andwill add further operational andcustomer scale to Microchip,” he adds.“Microchip and Microsemi have a

strong tradition of delivering inno-vative solutions to demanding cus-tomers and markets, thus creatinghighly valued and long-lasting revenue streams,” says Microchip’spresident & chief operating officerGanesh Moorthy. “Joining forcesand combining our complementaryproduct portfolios and end-marketexposure will offer our customers aricher set of solution options toenable innovative and competitiveproducts for the markets theyserve,” he believes.“This transaction represents a

compelling opportunity forMicrosemi stockholders, employeesand customers by combining theleading embedded control marketposition of Microchip Technologywith the world class power, secu-

rity, reliability and performancesolutions from Microsemi,” statesMicrosemi’s chairman & CEO James J. Peterson. The transaction is expected to be

immediately accretive to Microchip’snon-GAAP earnings per share.Microchip expects $300m in synergies in the third year after thetransaction’s close. The firm plansto finance the acquisition with$1.6bn of cash from the combinedcompany balance sheets, $3bnfrom Microchip’s existing line of credit,about $5bn in new debt and $0.6bn of a cash bridge loan.The board of directors of each firm

has unanimously approved theacquisition. Subject to approval by Microsemi

stockholders, customary regulatoryapprovals and other closing condi-tions, the transaction is expected toclose in second-quarter 2018.www.microchip.com www.microsemi.com

Microchip acquiring Microsemi for $8.35bn Complementary analog and mixed-signal product lines expand Microchip’s solutions for data-center, communications, defense and aerospace markets

In collaboration with MicrosemiCorp of Aliso Viejo, CA, USA, Analog Devices Inc of Norwood,MA, USA (which provides mixed-signal ICs for cable access) hasintroduced a high-power evaluationboard for half-bridge silicon carbide(SiC) power modules with up to1200V and 50A at 200kHz switch-ing frequency. The isolated board isengineered to improve design relia-bility while also reducing the needto create additional prototypes —saving time, lowering costs anddecreasing time to market forpower conversion and energy stor-age customers, it is claimed. Analog Devices and Microsemi areshowcasing the board at the

Applied Power Electronics Confer-ence & Exposition (APEC 2018) inSan Antonio, Texas (4–8 March). The new board can be used as the

building block of more complextopologies, such as full-bridge ormulti-level converters, for completebench debugging of customer solu-tions. It can also function as a finalevaluation platform or in converter-like configuration for full test andevaluation of Analog Devices’ADuM4135 isolated-gate driverwith iCoupler digital isolation tech-nology and LT3999 DC–DC driver ina high-power system. Priced at $495, the EV-

MS4135PL1Z-UI high-power evalu-ation board enables Microsemi’s SiC

power modules to provide benefitssuch as a common test bench,higher power density for reducedsize and cost, and isolated and conductive substrate and minimumparasitic capacitance for higher effi-ciency, performance, and thermalmanagement. These attributesmake the board suitable for appli-cations including electric vehicle(EV) charging, hybrid EV (HEV)/EVonboard charging, DC–DC convert-ers, switched mode power supply(SMPS), high-power motor controland aviation actuation systems,plasma/semi cap equipment, lasersand welding, MRI and x-rays. www.microsemi.com/existing-parts/parts/112754

Analog Devices introduces high-power and high-voltageisolated-gate driver board for Microsemi power modules

Page 15: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics— APEC 2018

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

15

Microsemi Corp of Aliso Viejo, CA,USA (which makes chips for aero-space & defense, communications,data-center and industrial markets)has announced sampling availabilityof the 40mΩ MSC040SMA120B, thefirst product in its next-generation1200V silicon carbide (SiC) MOSFETrange. The firm has also launchedits complementary 1200V SiCSchottky barrier diodes (SBDs),further expanding its growing port-folios of SiC discretes and modules. The new SiC MOSFET family is

highly avalanche-rated, demon-strating the devices’ ruggedness forindustrial, automotive and com-mercial aviation power applications,and offers a high short-circuit with-stand rating for robust operation.Additional members of the productfamily will be released in the com-ing months, including commerciallyand AEC-Q101-qualified 700V and1200V SiC MOSFETs to address awide range of power applicationsthat can leverage Microsemi’s newSiC SBDs. The new SiC MOSFET product

family provides more efficientswitching and high reliability, particularly in comparison to silicondiodes, silicon MOSFETs and insulated-gate bipolar transistor(IGBT) solutions, says Leon Gross,VP & business unit manager forMicrosemi's Power Discretes andModules business unit. “Customersfocused on developing cost-effec-tive power electronics solutions forrugged environments can selecttheir ideal solutions from thesenext-generation offerings, with the

ability to scale to their specific SiCMOSFET needs.” The next-generation SiC MOSFETs

and new SiC SBDs are designedwith high repetitive unclampedinductive switching (UIS) capabilityat rated current, with no degradationor failures. The new SiC MOSFETsmaintain high UIS capability at10–15J/cm2 and robust short circuitprotection at 3–5μs. The firm’s SiCSBDs are designed with balancedsurge current, forward voltage,thermal resistance and thermalcapacitance ratings at low reversecurrent for lower switching loss. In addition, its SiC MOSFET and SiC SBD die can be paired togetherfor use in modules. Microsemi says that its new SiC

MOSFETs and SBDs are suitable fora wide range of applications in theindustrial and automotive markets,and that its SiC MOSFETs can also beused in switch-mode power supply(SMPS) and motor control appli-cations in the medical, aerospace,defense and data-center markets.Examples include hybrid electricvehicle (HEV)/EV charging, conduc-tive/inductive onboard charging(OBC), DC–DC converters, EV powertrain/tractional control,switch-mode power supply, photo-voltaic (PV) inverters, motor controland actuation for aviation. According to research and consult-

ing firm IndustryARC, wide-bandgapsemiconductor technologies, namelySiC-based devices, are likely toshift from the development to commercial phase due to growth inpower electronics applications to

enhance power conversion efficiencyand minimize power losses. Theadvance in power conversion pavesthe way for SiC-based devices in EV charging, which helps to reducebattery charging cycles as well thehigh cost of battery packs. Integ-ration of SiC devices in on-boardcharging and DC-to-DC power con-version systems enables higherswitching frequency and lowerlosses. IndustryARC expects theSiC market in EV charging to see agrowth rate of 33% until 2024. Microsemi says its SiC MOSFETs

offer 10 times lower failure-in-time(FIT) rate than comparable siliconIGBTs at rated voltages with regardto neutron susceptibility. The firm’sSiC SBDs complement the robust-ness of its SiC MOSFETs, with UISratings 20% higher than competitorparts tested, it is reckoned. Thefirm claims that its SiC productsoffer other advantages includingimproved system efficiency, with25–50% power output increases forthe same physical dimensions, effi-ciency at higher switching frequen-cies over IGBTs, reduced systemsize and weight, operating stabilityover temperature (+175°C) andsignificant cooling cost savings. The MSC040SMA120B is sampling

now, and the complementary SiCSBDs are available in full production. The devices and corresponding

SiC gate driver solutions wereshowcased at the Applied PowerElectronics Conference (APEC 2018)in San Antonio, Texas (4–8 March). www.apec-conf.org www.microsemi.com/sicmosfets

Microsemi samples new 1200V SiC MOSFETs andSiC SBDs for industrial and automotive markets

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

Page 16: Nitrides for visible light communications Nitrides for visible light communications

2018 CS ManTech Austin, Texas, 7–10 May

This year’s CS ManTech is in final preparation for the event at the Hyatt Regency Austin on 7–10 May.

Registration is open for the workshop, conference and exhibits.

Visit: www.csmantech.org

News: Wide-bandgap electronics — APEC 2018

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

16

Littelfuse Inc of Chicago, IL, USA,which provides circuit protectiontechnologies (including fuses, semi-conductors, polymers, ceramics,relays and sensors), and MonolithSemiconductor Inc of Round Rock, TX,USA, a developer of silicon carbide(SiC) technology in which Littelfuseholds a controlling interest, haveadded two 1200V SiC n-channel,enhancement-mode MOSFETs totheir expanding first-generationportfolio of power semiconductordevices. The new SiC MOSFETs arethe latest products of a strategicpartnership that Littelfuse formedwith Monolith in 2015 to developpower semiconductors for industrialand automotive markets. Announced in Littelfuse booth at

the Applied Power Electronics Con-ference & Exposition (APEC 2018)in San Antonio, Texas (4–8 March),the LSIC1MO120E0120 andLSIC1MO120E0160 SiC MOSFETsoffer ultra-low on-resistance (RDS(ON))levels of just 120mΩ and 160mΩ,respectively. The SiC MOSFETs aredesigned for use as power semi-conductor switches in a wide rangeof power conversion systems, out-performing their silicon MOSFETcounterparts substantially in terms

of blocking voltage, specific-onresistance, and junction capaci-tances. They also offer a combi-nation of high operating voltagesand ultra-fast switching that tradi-tional power transistor solutionssuch as silicon IGBTs with similarcurrent ratings and packages can-not match, says Littelfuse.Typical applications include:

electric vehicles, industrial machin-ery, renewable energy (e.g. solarinverters), medical equipment,switch-mode power supplies (SMPS),uninterruptible power supplies (UPSs),motor drives, high-voltage DC/DCconverters, and induction heating.

“These new SiC MOSFETs providepower converter designers with astate-of-the-art alternative to tradi-tional silicon-based transistors,” saysMichael Ketterer, product marketingmanager for Power Semiconductorsat Littelfuse. “Their inherent materialcharacteristics and ultra-fastswitching capabilities offer a varietyof design optimization opportunitiesincluding increased power density,higher efficiency, and the potentialfor lower bill-of-material costs.” The new 1200V SiC MOSFETs are

said to offer the following benefits: A reduction in passive filter com-ponents at the system level supportsincreased power density, for adesign that’s optimized for use inhigh-frequency, high-efficiencyapplications. Extremely low gate charge andoutput capacitance, combined withultra-low on-resistance, allows forminimal power dissipation, higherefficiency and a reduction in thesize and sophistication of the coolingtechniques required. The LSIC1MO120E0120 and

LSIC1MO120E0160 SiC MOSFETsare available in TO-247-3L packagesin tubes in quantities of 450. www.monolithsemi.com

Littelfuse launches 1200V SiC MOSFETs with 120mΩΩ and 160mΩΩ on-resistance at APEC

Littelfuse’s new LSIC1MO120E0120silicon carbide MOSFET.

Page 17: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics — APEC 2018

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

17

Littelfuse Inc of Chicago, IL, USA,which provides circuit protectiontechnologies (including fuses, semi-conductors, polymers, ceramics,relays and sensors), says that IXYS Corp of Milpitas, CA, USA andLeiden, The Netherlands (which itacquired in January) and MonolithSemiconductor Inc of Round Rock,TX, USA, a developer of silicon car-bide (SiC) technology in which Littelfuse holds a controlling interest, exhibited their growingpower semiconductor portfolio inthe Littelfuse booth at the Applied Power Electronics Confer-ence & Exposition (APEC 2018) inSan Antonio, Texas (4–8 March). The portfolio expansion is the

result of the IXYS acquisition andthe latest additions to the SiCmetal-oxide-semiconductor field-effect transistor (MOSFET)and diode product line from Monolith. This year’s APEC confer-ence activities also include a panel discussion, in-booth demon-strations, and industry session presentations. A broader power semiconductorportfolio Littelfuse and IXYS made their firstjoint public appearance at APEC totalk about plans to market whathas now become one of the indus-try’s broadest power semiconductorproduct portfolios, it is reckoned.The IXYS acquisition adds newtechnologies for the manufacturingof power modules, high-temperature/low-loss discretes, medium- andhigh-power thyristors, fast recov-ery diodes and rectifiers, industrial

IGBTs, MOSFETs, driver and controlICs, and optical and solid-staterelays. These complement existingLittelfuse technologies, whichinclude low-power thyristors, ignition IGBTs, and SiC Schottkydiodes and MOSFETs. The IXYSacquisition significantly broadensthe Littelfuse power semiconductorportfolio and power electronicsapplications expertise, and allowsserving the full range of powersemiconductor needs. “During the last few years, IXYS

and Littelfuse have been expandingtheir product platforms to pursuemany of the same markets,” saysCorey Deyalsingh, director, Power Semiconductors at Littelfuse.“The IXYS acquisition gives Littelfuse customers a single sourcefor power semiconductors, so nomatter what the application is, Littelfuse can support it with thedelivery and application supportcustomers need on a global scale,”he adds. “The product technologyreach means we can offer customersunbiased advice on the best solutions for their applications.Together, we provide power elec-tronics design engineers theadvanced application expertisethey need to innovate at the veryhighest levels while complying withchanging global standards.” In-booth demonstrations In-booth demonstrations of Littelfuse technologies included theDynamic Characterization Platformfor characterizing SiC device switch-ing behavior and the Gate DriveEvaluation Platform for studying the

optimal device driving conditionsfor specific applications. In addi-tion, Texas Instruments is exhibit-ing its 10kW, 1kV, 3-phase, 3-levelSiC-based Grid Tie Inverter Refer-ence Design for a transformer-lesssolar string inverter with 99% peak efficiency, industry-leadingpower density, and 50kHz switchingusing SiC from Littelfuse–Monolith. Expert panel discussion Littelfuse sponsored an expertpanel discussion on ‘The Path toPredictable, High-Volume, High-Yield Manufacturing of SiC Devices’,describing making the transitionfrom 3-inch and 4-inch SiC wafersto 6-inch SiC wafers and develop-ing design and process techniquesthat are compatible with processesin a silicon CMOS fab. Speakers included Monolith’s

CEO Sujit Banerjee, Andy Wilson,business unit manager at X-FAB SiC Foundry, and Vladimir Blasko,senior fellow at United TechnologiesResearch Center. Industry session presentationsDuring the APEC conference, Monolith gave three industry sessionpresentations: ‘Unleash SiC MOSFETs—Extractthe Best Performance’; ‘Are Antiparallel Diodes Neededfor SiC MOSFETs?’; ‘Scalable Platform for In-CircuitReliability Testing of SiC MOSFETsand Diodes Emulating Real-lifeVoltage and Current Stresses’. www.apec-conf.org www.littelfuse.com www.monolithsemi.com www.ixys.com

Littelfuse exhibits expanding power semiconductorportfolio at APEC 2018

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

Page 18: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

18

Cree Inc of Durham, NC, USA hasacquired the Radio Frequency (RF)Power business of Infineon Tech-nologies AG of Munich, Germanyfor about €345m. The transactionexpands the Cree Wolfspeed busi-ness unit’s wireless market oppor-tunity, while Infineon continues tofocus on key growth areas such aselectro-mobility, autonomous driv-ing, renewables and technologiesfor a connected world. “The acquisition strengthens

Wolfspeed’s leadership position inRF GaN-on-SiC technologies, aswell as provides access to addi-tional markets, customers andpackaging expertise,” says Cree’sCEO Gregg Lowe. “This is a key element of Cree’s growth strategyand positions Wolfspeed to enablefaster 4G networks and the revolu-tionary transition to 5G,” he adds. “Cree is a strong new owner for

this portion of our RF business,”comments Infineon’s CEO ReinhardPloss. “We will be able to focus ourresources more effectively on Infineon’s strategic growth areasand will retain a strong technologyportfolio for the wireless market.” Infineon and Cree have a long-

standing history of collaborationand shared business interests. The

acquired Infineon RF Power teamand capabilities will complementWolfspeed’s existing expertise withadditional technology, design,packaging, manufacturing and cus-tomer support. The business offerstransistors and monolithic microwaveintegrated circuits (MMICs) forwireless infrastructure RF poweramplifiers based on both LDMOSsilicon and gallium nitride on siliconcarbide (GaN-on-SiC) technologies.The transaction includes: the main facility in Morgan Hill,CA, USA, which includes back-endpackaging & test operations forLDMOS and GaN-on-SiC, as well asthe intellectual property (IP) andtechnology portfolio; established customer relation-ships with leading manufacturers ofwireless infrastructure equipment,including field support personnel onsite; about 260 staff in the US loc-ations at Morgan Hill and Chandler,AZ, as well as in Finland, Sweden,China and South Korea; and a transition service agreement toensure business continuity and asmooth transition under which Infi-neon will perform substantially allbusiness operations for about thenext 90 days.

The transaction does not includethe Infineon Chip Card & Security(CCS) operations in Morgan Hillthat will remain at the site and con-tinue to operate as part of Infineon.Infineon will support the transac-

tion with a long-term supply agree-ment for LDMOS wafers and relatedcomponents out of its fab inRegensburg, Germany, and will alsosupply assembly & test services outof its facility in Melaka, Malaysia.Cree funded the €345m acquisi-

tion from cash and borrowings onits revolving line of credit. The Infineon RF Power business willbecome part of Cree’s Wolfspeedoperating segment and is targetedto increase annual revenues byabout $115m in the first 12 monthspost-acquisition. Also, the acquisitionis targeted to be accretive to Cree’snon-GAAP earnings per share in itsfirst full quarter of operations withCree (fiscal fourth-quarter 2018,ending 24 June). Targeted non-GAAPearnings per share exclude expensesrelated to the amortization ofacquired intangibles, stock basedcompensation expense and one-time acquisition related expenses. www.infineon.com www.cree.comwww.wolfspeed.com

Cree acquires Infineon RF Power business for €345m LDMOS and GaN-on-SiC transistor and MMIC operation involves 260 staff in USA as well as Finland, Sweden, China and South Korea

Cree Inc of Durham, NC, USA hassigned a strategic long-termagreement (valued at well over$100m) to produce and supply itsWolfspeed 150mm silicon carbide(SiC) wafers to Infineon Technolo-gies AG of Munich, Germany. The supply of 150mm SiC wafers

will enable Infineon to broaden its product offering to addresshigh-growth markets, such asphotovoltaic inverters, electro-mobility, robotics, charging infrastructure, industrial power

supplies, traction and variablespeed drives. Since Infineon hasalready converted all its SiC manufacturing lines to 150mm SiC wafers, the agreement coversonly this wafer diameter. “We have known Cree for a long

time as a strong and reliable part-ner with an excellent industry reputation,” comments Infineon’sCEO Reinhard Ploss. “Based on the secured long-term supply ofSiC wafers, we strengthen our strategic growth areas in auto-

motive and industrial power control,”he adds. “Infineon is a longstanding,

valuable commercial partner withan excellent reputation,” saysCree’s CEO Gregg Lowe. “Thisagreement validates the quality ofCree’s SiC wafer technology andour capacity expansion, as well asthe accelerated adoption of SiC-based solutions that are critical toenabling faster, smaller, lighter andmore powerful electronic systems,”he adds.

Cree signs $100m deal to supply 150mm SiC wafers to InfineonInfineon targets strategic growth areas in automotive and industrial power control

Page 19: Nitrides for visible light communications Nitrides for visible light communications

or contact us: [email protected]

Distribution & Control Department

For sales, product & distributor information, please visit http://www.americas.fujielectric.com/componentsdistribution-control

Compact! Ground Fault Protection Built in One Unit for Space Saving Designs

SameFootprint!MCCBs&ELCBsInterchangeablewithoutPanelModifications

18mm Per Pole Width(2P & 3P), IEC 35mm DIN Rail Mounting

Higher Capacity SCCR ⇒ 18kA@ 240VAC UL489

The New Generation of Low Voltage Earth Leakage Circuit Breakers

Fuji Electric Lambda SeriesNew, Compact, Powerful

Page 20: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

20

Qorvo Inc of Greensboro, NC, USA(which provides core technologiesand RF solutions for mobile, infra-structure and defense applications)has launched what it claims is thehighest-power gallium nitride onsilicon carbide (GaN-on-SiC) RF transistor (with engineeringsamples available now). Operating at frequencies of

1.0–1.1GHz with a power of 1.8kW at 65V, the input-matchedQPD1025 delivers the signalintegrity and extended reachessential for L-band avionics andIdentification Friend or Foe (IFF)applications, says the firm.

Linear gain is 22.5dB and typicalpower-added efficiency (PAE, for3dB) is 77.2% at 1.0GHz load pull. Supplied in 4-lead NI-1230 (ear-

less) package, the new QPD1025transistor offers “comparablepulsed power and duty-cycle performance to silicon LDMOS andsilicon bipolar devices, but with amarked improvement in efficiency,”comments Asif Anwar, executivedirector of market research firmStrategy Analytics’ Strategic Tech-nologies Practice. “Qorvo furtherachieves this high power and effi-ciency without introducing exoticmaterials such as diamond into the

process flow for thermal manage-ment, ensuring a solution that iscost effective,” he adds. “This new high-power transistor

will save customers time andmoney by eliminating the difficultexercise of combining amplifiers tocreate multi-kilowatt solutions,”says Roger Hall, general manager,Qorvo High Power Solutions. “The QPD1025 has significantlybetter drain efficiency and beatsLDMOS by nearly 15 percentagepoints of efficiency, which is signifi-cant in IFF and avionics appli-cations,” he claims. www.qorvo.com/products/p/QPD1025

Monolithic microwave integratedcircuit developer Custom MMIC ofWestford, MA, USA says that itsnew CMD276C4, CMD277C4 andCMD278C4 gallium nitride (GaN)MMIC low-noise amplifiers (LNAs)deliver high-linearity performancewith output third-order interceptpoint (IP3) of +32dBm while offering high input power handlingof 5W. The high input power handling

feature enables system designersto avoid limiters and other protec-tion networks, while still achievingextremely low noise figure over the

operating bandwidth. The CMD276C4 is a 2.6–4GHz

(S-band) LNA delivering greaterthan 14dB of gain with a corre-sponding P1dB (output power at1dB compression point) of +25.5dBmand a noise figure of 1.2dB.

The CMD277C4 is a 5–7GHz (C-band) LNA with 20dB of gain,P1dB of +26.5dBm and a noise fig-ure of 1.2 dB.The CMD278C4 is a broadband

8–12GHz (X-band) LNA with 15dBof gain, P1dB of +28dBm and anoise figure of 1.8dB. Housed in a leadless 4mm x 4mm

QFN package, the new MMIC LNAsare suited to radar and electronicwarfare (EW) applications wherehigh performance and high inputpower survivability are required. www.custommmic.com/low-noise-amplifiers

Integra Technologies Inc of El Segundo,CA, USA (which designs and makeshigh-power RF and microwavetransistors and power amplifiers)has launched a fully matched high-power gallium nitride on silicon carbide (GaN-on-SiC) high-electron-mobility transistor(HEMT) operating over a frequencyrange of 5.2–5.9GHz. Designed for pulsed C-band radar

system designs that require imme-diate full power and high gain, theIGT5259L50 is fully matched to 50Ωand supplies 50W of peak pulsedoutput power at 50V drain bias.Gain is 14dB and efficiency is 43%under 1ms/15% pulse conditions. Housed in a RoHS-compatible

metal/ceramic flange-mount package with gold metallizationmeasuring 0.800” (20.32mm) wide

and 0.400” (10.16mm) in length,the IGT5259L50 provides what isclaimed to be excellent thermal dissipation. Internal assembly is performed

with a chip and wire approach. TheIGT5259L50 is 100% high-powerRF tested in a 50Ω RF test fixtureand meets all specifications of MIL-STD-750D. www.integratech.com

Qorvo unveils highest-power GaN-on-SiC RF transistor

Custom MMIC launches GaN MMIC LNAs with outputIP3 of +32dBm and high input power handling of 5W

Integra introduces fully matched high-power GaN-on-SiC transistor offering 50W at 5–6GHz

Page 21: Nitrides for visible light communications Nitrides for visible light communications

At the Mobile World Congress(MWC 2018) in Barcelona Spain(26 February – 1 March), MACOMTechnology Solutions Inc of Lowell,MA, USA launched the MAGM seriesof gallium nitride on silicon (GaN-on-Si)-based MMIC power amplifiers(PAs) optimized for massive MIMOantenna systems targeting 5Gwireless basestation infrastructure. Providing wideband performance

simultaneously covering bands 42and 43 with flat power and superiorpower efficiency compared withlegacy LDMOS silicon technology,the new MAGM PA Series is said todeliver GaN performance atLDMOS-like cost structures atscaled volume production levels infully integrated MMIC packaging forsimplified, cost-effective 5G base-station manufacturing. MAGM Series MMIC PAs are tai-

lored specifically for mainstream5G base-station architectures,

meeting the power density andthermal requirements of 64-elementmassive MIMO antenna arrays,with a pathway to exceeding theperformance of LDMOS technology,at scaled volume level productioncost structures and supply capacitiesthat cannot be achieved with competing GaN-on-SiC technology,it is claimed. Designed with MACOM’s proprietary

wideband circuit topology, the PAsmeet the stringent 5G TDD linearityrequirement using off-the-shelf dig-ital-pre-distortion (DPD) systems. Compared with earlier-generation

multichip-format GaN-on-Si modules,costs are further lowered through areduction in packaging and designcomplexity. Leveraging this performance and

cost with the capacity-scale manu-facturing afforded by MACOM’spartnership with STMicroelectronicsof Geneva, Switzerland, MACOM

expects its GaN-on-Si solutions toshorten customers’ time to market.“MACOM’s new MAGM Series PAs

combine the unique performanceand cost benefits inherent to GaN-on-Si technology with theMMIC packaging efficiencies neededfor commercial volume-scale 5Gbase-station manufacturing anddeployment,” says Amer Droubi,director product marketing. “Our continuous innovation in thisdomain sets a strong foundation fornext-generation multi-functionGaN-on-Si MMICs targeted toenable fully integrated front-endmodules for massive MIMO 5Gbasestation architectures.” The first product in the MAGM

Series (MAGM-103436-040AOP) issampling to qualified customersnow, with production availabilityscheduled for second-half 2018. www.mobileworldcongress.com www.macom.com/gan

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

21

MACOM launches MAGM series of GaN-on-silicon MMIC power amplifiers for massive MIMO 5G

At the Electronic Design InnovationConference (EDI CON China 2018)at the China National ConventionCenter in Beijing (20–22 March),MACOM Technology Solutions Incof Lowell, MA, USA (which makessemiconductors, components andsubassemblies for RF, microwave,millimeter-wave and lightwaveapplications) showcased its RFportfolio of MMICs, diodes andGaN-on-silicon devices, as follows: MATK-102425-300: RF EnergyToolkit live demonstration;

RF Plasma ‘Torch’ live demo; new silicon-based solutions forhigh-performance narrowbandvoltage-controlled oscillator(VCO) portfolio’; complete portfolio of wirelessaccess front-end componentsand modules for 4G and 5Gapplications; compact Tx and Rx front-endmodules (FEMs) for 5G M-MIMOapplications; and high-power PIN diode switches. Also, MACOM representatives

were present at the followingworkshops and panels: 5G Massive MIMO Panel ByMicrowave Journal — AnthonyFischetti; AM/PM Distortion of GaN HEMTWorkshop — Mengsu Yang; GaN Technology Panel SessionBy Microwave Journal — MichaelZiehl; The Solid State RF Energy —Inroads to the Industrial MarketPanel– Mark Murphy. www.ediconchina.com

MACOM showcases RF portfolio of MMICs, diodes and GaN-on-silicon devices at EDICON

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

Page 22: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

22

Transphorm Inc of Goleta, nearSanta Barbara, CA, USA — whichdesigns and manufactures JEDEC-and AEC-Q101-qualified high-voltage (HV) gallium nitride (GaN)field-effect transistors (FETs) forhigh-voltage power conversionapplications — has released theTDTTP3300-RD, the first complete3.3kW continuous conduction mode(CCM) bridgeless totem-pole powerfactor correction (PFC) referencedesign for high-voltage GaN powersystems. The technical blueprint is used to

develop AC-to-DC applicationsincluding high-end front-end PFCsfor merchant power supplies(servers, gaming, crypto mining,and similar multi-kW high-density

applications), on-board chargersfor plug-in hybrid (PHEV) and bat-tery electric vehicles (BEV), andbroad industrial power supplies. The reference design delivers

99% efficiency using Transphorm’sthird-generation 650V GaN FETtechnology (TP65H050WS — 50mΩon-resistance) in an industry-pre-ferred, robust TO-247 power pack-age. The TDTTP3300-RD includes all

the resources required to developproducts quickly without the needfor deep GaN design or DSPfirmware coding expertise, includ-ing a test report, hardware designguide, firmware design guide (withdownloadable firmware), designschematics and Gerber files, and

bill of materials (BOM). “As demonstrated by customer

end-products, Transphorm’s highQ+R (quality and reliability)devices are proven to deliver whathigh-voltage GaN has always prom-ised. Increased power density, efficiency and performance withreduced system cost,” says PhilipZuk, VP of technical marketing.“Now, we are helping designersquickly capitalize on those benefitsby eliminating design knowledgegaps,” he adds. “With our 3.3kWreference design, we are armingthe industry with a roadmap thatwas several years in the making.” www.apec-conf.orgwww.transphormusa.com/reference-design/tdttp3300-rd

Transphorm releases 3.3kW high-voltage bridgeless totem-pole PFC GaN reference design

Expanding on its gallium nitridepower portfolio, Texas Instruments(TI) has launched two high-speedGaN field-effect transistor (FET)drivers to create more efficient,higher-performing designs inspeed-critical applications such aslight detection and ranging (LiDAR)and 5G radio-frequency (RF) enve-lope tracking. The LMG1020 andLMG1210 can deliver switching fre-quencies of 50MHz while improvingefficiency and enabling five timessmaller solution sizes previouslynot possible with silicon MOSFETs. With what is claimed to be an

industry-best drive speed as well asa minimum pulse width of 1ns, theLMG1020 60MHz low-side GaNdriver enables high-accuracy lasersin industrial LiDAR applications. Thesmall wafer-level chip-scale (WCSP)package of just 0.8mm by 1.2mmhelps to minimize gate-loop para-sitics and losses, further boostingefficiency. The LMG1210 is a 50MHz half-

bridge driver designed for GaN FETs

up to 200V. The device’s adjustabledead time control feature isdesigned to improve efficiency byas much as 5% in high-speedDC/DC converters, motor drives,Class-D audio amplifiers as well asother power-conversion applications.Designers can achieve high system-noise immunity with what is said tobe the industry’s highest common-mode transient immunity (CMTI) ofmore than 300V/ns. Features of the LMG1020 and

LMG1210 include: High speed: The two devices’ultra-fast propagation delay(2.5ns for the LMG1020 and 10nsfor the LMG1210) enables powersolutions that are 50 times fasterthan with silicon drivers.Additionally, the LMG1020 iscapable of delivering high-power1ns laser pulses, enabling long-range LiDAR applications. High efficiency: Both devicesenable high-efficiency designs.The LMG1210 offers a low switch-node capacitance of 1pF and user-

adjustable dead time control toimprove efficiency by up to 5%. Power density: The integratedfeature of dead time control inthe LMG1210 allows for reducedcomponent count and higher efficiency, enabling designers toreduce power-supply size by asmuch as 80%. The increasedpower density of the LMG1020enables the highest resolution inLiDAR in the industry’s smallestpackage. The LMG1020 and LMG1210 are

the latest additions to the what isclaimed to be industry’s largest GaNpower portfolio, ranging from 200Vdrivers to 80V and 600V powerstages. With over 7 million hours ofGaN process reliability testing, TI isaddressing the need for proven and ready-to-use solutions throughreliable GaN products, bringingdecades of silicon manufacturingexpertise and device-developmenttalent to GaN technology.www.ti.com/power-management/gan/overview.html

TI expands GaN power portfolio with smallest and fastest GaN FET drivers

Page 23: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

23

Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA —which makes enhancement-modegallium nitride on silicon (eGaN)power field-effect transistors (FETs)for power management applications— has launched the EPC2112 andEPC2115 enhancement-modemonolithic GaN power transistorwith integrated driver products. The EPC2112 is a 200V, 40mΩ

eGaN FET plus integrated gatedriver. In comparison, the EPC2115is an integrated circuit with dual150V, 70mΩ eGaN FETs plus gatedrivers. Both products are capableof operating up to 7MHz and areavailable in low-inductance,extremely small (2.9mm x 1.1mm)ball-grid array (BGA) surface-mountpassivated die. The monolithic ICs can enable

designers to improve efficiency,

save space and lower costs com-pared with silicon-based solutions.The ultra-low capacitance and zero reverse recovery of eGaN FETsenable efficient operation in manytopologies, says EPC. In both products, the integrated

driver is specifically matched to the eGaN device to yield optimalperformance under various operat-ing conditions. Performance is further enhanced due to the small,low-inductance footprint. Monolithicintegration eliminates interconnectinductances for higher efficiency athigh frequency. This is especiallyimportant for high-frequency applications, such as resonantwireless power, and high-frequencyDC–DC conversion. As design examples for the new ICs,

two differential class-E amplifierdevelopment boards are available

for order. The EPC9089 is an AirFuel Alliance-compatible class 4(33W) and uses the EPC2112. The EPC9088 is a class 3 (16W)amplifier using the EPC2115. TheEPC2112 is also featured in thenew EPC9131 demonstration boardfor a 300kHz SEPIC converter low-voltage DC–DC application. The EPC2112 monolithic integ-

rated gate driver and GaN FET ispriced at $3.29 each and theEPC2115 integrated gate driverwith dual GaN FETs at $3.44 each,both for 1000-unit quantities. The EPC9088 and EPC9089

development boards are $158.13and $159.13, respectively. TheEPC9131 is priced at $215.62 All these products are available for

order from EPC’s distributor Digi-KeyCorp. www.epc-co.com

EPC launches eGaN ICs combining gate drivers withhigh-frequency GaN FETs for improved efficiency

EPC has launched two new GaNpower modules for DC–DC conver-sion, increasing efficiency acrossthe 48V to point-of-load powerarchitecture. The EPC9205 is ahigh-power density PCB-basedpower module for 48V–12V conversion while the EPC9204addresses 20V–point-of-load con-version with an ultra-thin-profilePCB-based power module. The EPC9205 is an 80V, 10A PCB-

based power module featuring the100V EPC2045 eGaN FET for plug& play evaluation of the high per-formance gained with GaN powertransistors. The module exceeds1400W/in3 per cubic inch in a48V–12V application, and occupiesless than one-tenth of a cubic inchof board space. Applicationsinclude the high-performanceservers needed for demandingcomputing applications such asmulti-user gaming systems,autonomous cars, artificial intelli-

gence, and cryptocurrency mining. The EPC9204 is a 20V, 10A PCB-

based power module featuring the30V EPC2111 eGaN IC capable ofoperating up to 10MHz. This high-frequency capability reduces thesize of the passive components,resulting in an ultra-low profile ofjust 1.2mm from the PCB board.Applications benefitting includepoint-of-load power conversion forservers, thin-form-factor mobiledevices, and USB-C. “As expectations for increasingly

power-hungry appli-cations expand while theconflicting desire forequipment to be smalland lightweight persists,reducing size anddecreasing power con-sumption is critical,” saysCEO & co-founder AlexLidow. “The efficienciesachieved by the EPC9204and EPC9205 small form

factors show how GaN-basedpower devices, available now, aredriving the next generation ofcomputing.” The EPC9204 and EPC9205

development boards are priced at$131.25 each and are availablefrom distributor Digi-Key Corp. For reference and ease of use,Quick Start Guides (containingset-up procedures, circuit dia-grams, performance curves, andbills of material) are provided onEPC’s website.

EPC9204 & 9205 GaN power modules deliver over 1400W/in3 for48V–12V DC–DC and up to 10MHz for point-of-load power conversion

Page 24: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

24

GaN Systems has announcedavailability of its GS61008P-EVBHF5MHz buck converter evaluationboard, which uses GaN Systems’100V enhancement-mode (E-mode)GaN transistor and the PE29101integrated high-speed driver ofpSemi of San Diego, CA, USA. Theoutputs of the pSemi driver canprovide switching transition speedsin the sub-nanosecond range. The evaluation board allows the

benefit of higher switching speeds,

enabling smaller peripheral com-ponents in a variety of applicationsincluding DC–DC conversion,AC–DC conversion, wireless powercharging, and LiDAR. “GaN has a robust ecosystem of

quality partners like pSemi,” saysPeter Di Maso, director, productline management at GaN Systems.“Working with pSemi, we’ve beenable bring faster switching, higherfrequencies and higher-power-density solutions to customers.

These benefits come together toreduce power losses, size, weightand system costs.” GaN E-HEMTs exhibit much

higher efficiencies than MOSFETsand exceed performance in termsof switching speed, parasiticcapacitance, switching loss, andthermal characteristics, says GaNSystems. The evaluation boardhighlights the high-frequency,high-speed, and high-efficiencyperformance of the firm’s products.

GaN Systems makes available 5MHz buck converter evaluation board

Teledyne e2v inc of Milpitas, CA,USA (which provides solutions,sub-systems and components tothe space, transportation, defenseand industrial markets) is launchinga complete gallium nitride (GaN)power solution based on technol-ogy from pSemi Corp of San Diego,CA, USA — a fabless provider ofradio-frequency integrated circuits(RFICs) based on silicon-on-insula-tor (SOI) — and GaN Systems Incof Ottawa, Ontario, Canada (a fab-less developer of GaN-based powerswitching semiconductors forpower conversion and control appli-cations). The solution features GaNfield-effect transistors (FETs) andwhat is claimed to be the first rad-tolerant, half-bridge power driverfor GaN high-reliability applications.The technology was demonstratedin the Teledyne Defense Electronicsbooth at Satellite 2018 in Washing-ton DC (12–15 March). After being used in power conver-

sion in other industries, GaNdevices are now available as radia-tion tolerant, space-qualifieddevices. The release of the GaNFETs and the industry’s fastest half-bridge GaN driver provide theefficiency, size, and power-densitybenefits required in satellite sys-tems’ critical power applications.

The device prototypes are nowavailable for purchase. Teledyne’s ceramic TDG100E15

100V 15A FET and TDG100E30100V 30A FET both utilize GaN Sys-tems’ patented Island Technology,which is a scalable, vertical charge-dissipating system thatgives the power transistor ultra-lowthermal losses, high power density,no-charge storage, and very highswitching speeds. The use of industry standard SMD 0.5 ceramicpackaging allows very high-frequency switching, excellent thermal characteristics, and areduced time-to-market. The second part of the GaN solution

is what is claimed to be the indus-try’s fastest half-bridge GaN driver,based on pSemi’s UltraCMOS tech-nology. The Teledyne TD9910125MHz GaN driver features aruggedized design and is qualifiedfor operation in harsh environments,including space. It contains bothhigh-side and low-side GaN driverscapable of sourcing 1A and sinking2A of current. In addition, it isdesigned to work with the very lowlatency and high switching speedsrequired for GaN system-basedTeledyne parts. The TD99101 is theonly driver capable of extractingthe highest performance and speed

benefits of Teledyne’s TDG100EGaN FETs. To facilitate implementation of

GaN technology from Teledyne, the TD99101-x00 evaluation kit is available, featuring both theTD99101 GaN driver andTDG100E15 100V, 15A GaN FET.The evaluation kit operates at frequencies up to 13MHz andallows customer to evaluate Teledyne’s GaN parts quickly. Manufactured on a MIL-PRF-

38535 Class V-like flow, bothdevices are radiation tolerant, suit-able for space applications, andhave ceramic-packaged prototypesavailable now. “Teledyne e2v has a proud

heritage of space products, and weare excited to bring the unprece-dented efficiency of GaN power toour customers,” says the firm’s VP ofbusiness development Mont Taylor.“The wide range of capabilities ofthese devices enable design engi-neers to create highly efficientpower supply and motor controlapplications which can function inradiation environments.” http://2018.satshow.com www.gansystems.com www.psemi.com www.e2v.com/products/semiconductors/power-solutions

Teledyne e2v, pSemi and GaN Systems unveilfastest hi-reliability GaN power solution, featuring GaN FET and half-bridge driver

Page 25: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics — APEC 2018

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

25

At APEC 2018, GaN Systemsunveiled what it claims is the high-est-current and most power effi-cient 100V GaN power transistor. The GS-010-120-1-T 100V, 120A,

5mΩ GaN enhancement-modehigh-electron-mobility transistor(E-HEMT) is 1.3x the current rat-ing of GaN Systems’ own 90A partand 2.4–4.6x the current rating ofother high-current GaN in theindustry, it is reckoned. The GS-010-120-1-T is an E-modeGaN-on-silicon power transistorthat leverages all of the die designand packaging advantages deliv-ered by GaN Systems. The transistor is suitable for the

growing 48V applications in theautomotive, industrial and renew-able energy industries whichrequire power systems with highpower levels in smaller-size formfactors. GaN Systems says thatbringing products like the GS-010-120-1-T to market results in real-izations such as longer-rangeelectrical vehicles, lower-operat-

ing-cost renewable energy equip-ment, and smaller, highly integ-rated industrial power equipment. In addition, the new transistor

enables greater design flexibilityand affords options for immediatespecification changes. It is foot-print-compatible with GaN Systems’GS61008T 100V, 90A GaN E-HEMT,enabling customers to add furtherpower by substituting the GS-010-120-1-T without changing theirboard. Increasing the current

capability in the same sizepackage allows an effec-tive increase in power of33% for the same systemvolume. “Our technology roadmap

is positioned to deliver tothe growing need of best-in-class GaN technologysolutions in 100V as wellas 650V applications,”says Larry Spaziani, VPsales & marketing. “Thenew 100V, 120A GaN E-HEMT — along with our

recently announced 650V, 120AGaN E-HEMT — are among a significant number of recent high-performance GaN transistors andsolutions we have introduced.” The firm’s intention is to “continu-ously provide products designed to exceed power system efficiencyand reliability requirements intoday’s most demanding appli-cations”. www.gansystems.com/gan-transistors/gs61008t

GaN Systems launches 100V/120A GaN power transistor

At the Applied Power ElectronicsConference & Exposition (APEC2018) in San Antonio, TX, USA(4–8 March), GaN Systems Inc ofOttawa, Ontario, Canada (a fablessdeveloper of gallium nitride-basedpower switching semiconductorsfor power conversion and controlapplications) launched what itclaims is the most powerful line ofGaN transistors. With power levels continuing to

rise (creating the need for higheroperating current), the advantagesof gallium nitride can be applied tomuch higher power levels in theautomotive, industrial and renew-able energy industries, the firmnotes. With twice the current capability

of GaN Systems’ highest-rated-cur-rent part, the new GS-065-120-1-D120A, 650V GaN enhancement-mode high-electron-mobility tran-

sistor (E-HEMT) effectively allows adoubling of power processing forthe same volume, increasing thepower density of 20–500kW powerconversion systems, includingautomotive traction inverters, veryhigh-power on-board chargers(OBC), large-scale energy storagesystems, and industrial motordrives. Sold as a die to customers build-

ing modules, the GS-065-120-1-Dis reckoned to be the lowest-RDS(on),highest-current 650V GaN HEMT inthe power semiconductor industry.

Modules are an important form fac-tor in high-power electronics, con-stituting up to 40% of the marketbased on form factor. Customerscan use the new die product in half-bridge, full-bridge and six-packmodule topologies to createenhanced, high-power designs.“This is the most pivotal GaN

product on the market to be optimized for modules and is compatible with both embeddedand traditional module technology,”says CEO Jim Witham. “As anextension of our flagship product, it encompasses all the benefits ofGaN technology and our approachto GaN power transistors — ease ofuse, high power density, and highefficiency — enabling power sys-tems that are smaller in size andlower cost with unprecedentedpower levels.” www.gansystems.com/apec2018

GaN Systems launches highest-current GaN power transistor

Page 26: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

26

HRL Laboratories LLC of Malibu, CA,USA has received an award fromthe US Defense Advanced ResearchProject Agency (DARPA) to developthe next generation of gallium nitride(GaN) transistors with muchimproved linearity and noise figureat reduced power consumption foruse in electronic devices that man-age the electromagnetic spectrumfrom radio communications to radar. With the Dynamic Range-enhanced

Electronics and Materials (DREaM)program, DARPA is seeking newdesigns and materials for radio-frequency transistors with break-through dynamic range in millimeter-

wave systems. HRL’s role is todevelop ultra-linear GaN transistorsworking in mm-wave frequenciesthat enable transmission andreception without distortion acrossthe spectrum. The transistors willenable secure ultra-wideband com-munications with higher data rates,while reducing their draw on theprime power source of their eventualplatforms, such as ships or aircraft. “For this project we will research

novel materials and devices todevelop ultra-linear GaN transistorswith manufacturability,” saysJeong-sun Moon, HRL Labs’ principalinvestigator on the DREaM program.

Joining Moon on the HRL Labs teamare Joel Wong and Andrea Corrion.“Our goal is to break the historicgap of the 10dB rule of thumb insemiconductor transistor’s linearityfigure-of-merit — which is linearitydivided by DC power consumption— by 100 times,” adds Moon.“Spectrally pure linear amplificationrequires prime power consumption,so the power saving enabled by thisimprovement will be huge, whilemeeting the demand of moderncommunications for wider bandwidthsand higher data rates, including 5G wireless communication.” www.hrl.com

HRL to develop ultra-linear GaN transistors for mm-wave devices as part of DARPA’s DREaM program

Security and aerospace companyLockheed Martin of Bethesda, MD,USA has completed a site acceptancetest of a TPS-77 Multi-Role Radar(TPS-77 MRR), marking on-timedelivery of the first of three radarsto the Ministry of Defense of theRepublic of Latvia, and a step for-ward in strengthening Latvia’snational defense. As with current production TPS-77s

and other next-generation LockheedMartin radars, the TPS-77 MRRuses gallium nitride (GaN) technol-ogy in its design. By using GaN, theradar’s high-power amplifiers con-sume much less power, ultimatelyincreasing reliability, lowering life-cycle costs and extending theuseful life of the radar. The TPS-77 MRR improves the

Latvian Air Force’s airspace defenseby increasing its low-level flightsurveillance and identification capa-bilities, leading to enhanced earlywarning and situation awarenessthat can enable its armed forces tomake more informed and efficientdecisions in response to modernday threats. The latest milestone is the most

recent event in a 16-year partner-ship of radar development andtraining between Latvia and Lock-heed Martin, including the 2015contract for the three TPS-77 MRRs. The TPS-77 MRR is the latest ver-

sion in Lockheed Martin’s productline of surveillance radars and wasdeveloped in response to the evolv-ing needs of armed forces on thebattlefield. The radar’s multi-rolesingle-scan technology allows oper-ators to select multiple missions forthe radar at a single time, such aslong-range or medium-range low-level flight surveillance. As theradar rotates through each 360°scan, the system automaticallyadjusts to the selected mission.Changes can be easily made if thesystem is moved or mission ischanged. Once set, no furtheroperator inputs are required. The TPS-77 MRR is designed for

ultra-low power consumption and isthe most transportable version ofLockheed Martin’s TPS-77 productline. Latvia’s variant of this radarcan be truck mounted for operationat remote sites or dismounted foruse at fixed sites.

As part of the TPS-77 MRR program,Lockheed Martin has engaged withlocal Latvian industry for procure-ment and production. These rela-tionships will form the basis forlong-term local maintenance andsupport of the new systems. “The Latvian industry has been an

important partner during the devel-opment and production of the Lock-heed Martin TPS-77 MRRs. Thissupport will help strengthen Latvia’sIndustrial Capability,” said Rick Cordaro, program director, Lock-heed Martin Ground Based Radar. Lockheed Martin has produced

and maintains more than 180 surveillance-range radars, all ofwhich are operational around theworld detecting targets at rangesup to 250 miles, 24 hours a day.There are three previously installedLockheed Martin TPS-77 radars inLatvia, positioned in Calas, Lielvardeand Audrini. These radars can operate completely unmanned andmany have performed for decadesin remote, inhospitable areas andin a wide range of operational envi-ronments. www.lockheedmartin.com/gbas

Lockheed Martin completes acceptance test of first GaN-based TPS-77 Multi-Role Radar

Page 27: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

27

Northrop Grumman Corp ofRedondo Beach, CA, USA says that its AN/TPS-80 Ground/AirTask-Oriented Radar (G/ATOR) hasbeen approved for early fielding by the US Marine Corps (USMC),following delivery of the final Lot 1and Lot 2 Low Rate Initial Production(LRIP) G/ATOR system. The USMCwill field its first two systems bydelivering them to Marine Air ControlSquadrons 1 & 2 for operational use. Early fielding — also known as

Initial Operational Capability (IOC)— indicates that a system is readyfor operational deployment. It isachieved when production systems,spares, logistic support items anddocumentation have been tested andvalidated through a rigorous process.As the developer and system inte-

grator, Northrop Grumman hastaken G/ATOR from concept throughto production. Northrop Grumman has delivered

six G/ATOR systems with galliumarsenide technology to the MarineCorps in Lots 1 and 2. Beginningwith Lot 3 deliveries and includingall full-rate production systems,

G/ATOR will incorporate high-power,high-efficiency gallium nitrideantenna technology that can furtherenhance operational capabilities.“Through our close partnership

with the Marine Corps, we havebeen able to achieve this importantearly fielding milestone,” saysRoshan Roeder, VP, land & avionicsC4ISR division, Northrop GrummanMission Systems. “We are lookingahead to full-rate production andgetting G/ATOR’s unprecedentedcapabilities to the Marines in thefield.” Under a separate contract,

Northrop Grumman will providelogistics support to the MarineCorps for G/ATOR systems. www.northropgrumman.com/Capabilities/GATOR

Northrop-built GaAs-based G/ATOR system approved forearly fielding, prior to production of GaN-based systems

StratEdge of San Diego, CA, USA(which designs and manufacturespackages and provides chip assem-bly & test services for microwave,millimeter-wave and high-speeddigital devices) displayed its high-frequency and very high-powerdevice packages at the IMAPSDevice Conference in Fountain Hills,Arizona (6–7 March). StratEdge packages come in

leaded and leadless drop-in formats,leaded and leadless surface-mountformats, and specialty high-powerand high-speed digital designs.Packages on display include: new, off-the-shelf moldedceramic packages that meet therequirements to handle 18GHzdevices, including gallium nitride; LL family of high-power lami-nate copper-moly-copper (CMC)base packages with a ratio of 1:3:1CMC that include both GaN tran-sistor and MMIC device packages; SE50 series with what isclaimed to be the industry’s low-

est-electrical-loss design for com-pound semiconductors operatingat frequencies as high as 63GHz; MC Series of molded ceramicpackages in standard, open-tooled configurations, which areall MIL-STD hermetic; leaded power amplifier packagesfor gallium arsenide power amplifiers(a proven design that has beenused in many point-to-point,

point-to-multipoint and VSATapplications); hermetic SMT packages in someof the most popular industry-standard, no-lead outlines for usewhere standard plastic packagescannot meet the reliability or performance requirements of aparticular application; high-speed digital and mixed-signal packages that can be customized for speeds in excessof 40GB/s. “5G, and its high-power infra-

structure, and IoT and advancedcellular technologies requiring RFand microwave frequencies willmake package selection critical,”says president Tim Going. “Packagescan no-longer be an after-thought.They not only are necessary forheat dissipation, but also to ensurethat the electrical connection isaccurate and the device functionsas intended, without electricallosses,” he adds. www.stratedge.com

StratEdge displays DC–63GHz packages at IMAPS Device Conference

StratEdge’s DC–63GHz-package.

G/ATOR undergoes cold weathertesting.

Page 28: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

28

Structured Materials Industries Inc(SMI) of Piscataway, NJ, USA hasfinished initial studies of the radiation hardness of gallium oxide(Ga2O3)-based power devices inaccordance with a Small BusinessInnovation Research (SBIR) Phase Iproject funded by the US NationalAeronautics and Space Administration(NASA Award No. NNX17CG70P). The Ga2O3 films were grown on

bulk doped and undoped Ga2O3 andother substrates in one of SMI’s in-house metal-organic chemicalvapor deposition (MOCVD) systems.Total ionization dose (TID) and single-event effect (SEE) were usedas radiation hardness testing met-rics. The radiation hardness testingwas conducted to determine whetherthe Ga2O3-based power deviceswere a good candidate for NASA’sPower Management and Distribution(PMAD) systems among otherapplications. Potential applicationsinclude power devices such asdiodes and transistors that may beused in areas like power rectificationand RF mixing, among many others. “With the development of Ga2O3

power devices, we thought itimportant to further the determina-tion of the space-worthiness/appli-cability of Ga2O3-based devices,”says Dr Serdal Okur, principal

investigator for SMI SBIR Ga2O3-related projects. “Testing actualdevices made this project all themore relevant. We tested differentcombinations of device materialproperties, such as orientation,doping levels, substrate dopant,and crystal growth technique,

including epilayers grown byMOCVD,” he adds. “Fabricateddevices included Schottky barrierdiodes. The variables were strategi-cally chosen to critically evaluatethe potential of Ga2O3-based powerdevice performance under differentradiation exposures.” The testing was carried out in

stages during the Phase I projectand was deemed to be a significantmilestone in the overall assessmentof Ga2O3 radiation hardness. AllPhase I objectives were completed. “The Phase I work of this project

was implemented and successfullycompleted in a 6-month period,which is very impressive consideringthe amount of knowledge acquiredfrom this study,” says Okur. “We arealso grateful for the contributionsfrom all the personnel at variousuniversities, research laboratories,and government agencies thatwere involved in this project.” There are three types of space

radiation that are particularly con-cerning to space missions: particlestrapped in the Earth’s magneticfield; solar particle events (particlesshot into space during solar flares);and galactic cosmic rays (high-energy protons and heavy ionsfrom outside our solar system). www.smicvd.com

SMI completes NASA Ga2O3 radiation hardness testing

Types of space radiation of concernto NASA space missions. Credit:After Nikkei Science Inc, by K. Endo.

Ga2O3 power device testing set up.

Research Unit 1 at the TsukubaSpace Center of the Japan Aero-space Exploration Agency (JAXA) inTsukuba City, Ibaraki Prefecture,has begun evaluation and valida-tion of Panasonic Corp’s X-GaN gallium nitride power transistors(which entered mass production inNovember 2016) for potential usein its development of space tech-nologies. “Space radiation can cause dam-

age to electronic devices, so weneed to have countermeasures,”says Research Unit 1 member EiichiMizuta, who is specifically in chargeof electronic devices.

Most semiconductors used inspace are made of silicon, but thereis a limit as to how much radiationthey can withstand. “We performedradiation tests with Panasonic X-GaN using xenon ions, which weoften use for space evaluation,”says Mizuta. “These tests provedthat the X-GaN is highly resistant toradiation. It is rare for a commer-cial-off-the-shelf device to be sorobust against radiation, so wewere really surprised.” Mizuta also stated that X-GaN

devices enable faster switching.When applied to satellites, they canhelp to reduce payload mass, which

makes them attractive since satel-lites have strict payload mass limi-tations. “Because GaN devices helpsave weight and thereby allow theweight to be used for other high-performance components, they are very effective for our spacedevelopment,” he comments. “Panasonic’s X-GaN has a high

potential to become the next-generation space component,”believes Mizuta. “We hope to continue to work closely with Panasonic to develop even betterdevices for space.” https://industrial.panasonic.com/ww/products/semiconductors/pow

JAXA evaluates Panasonic’s X-GaN power transistors

Page 29: Nitrides for visible light communications Nitrides for visible light communications

Guiding processing forward

For today´s high-end applications we all strive to achieve a supreme performance. The challenging question is this: how to boost the effi ciency of Si wafers to get one step ahead?

Our solution for you: NAsP III/V

Our technology has mastered the fi rst challenge for the integration of a variety of III/V semiconductor materials and device heterostructures on 300 mm CMOS compatible (001) Si wafers. For this purpose, we have developed a proprietary nucleation process for the deposition of thin GaP layers on such Si substrates with high crystalline perfection.

Curious? For further information, please contact us at NAsP.de

GaP-on-Si templates with high crystalline perfection for high-end applications

Developing III/V integration on Si?Speeding-up time-to-market with GaP-on-Si integration.

Page 30: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

30

US-based RJR Technologies Inc, adeveloper and manufacturer of liquid-crystal polymer (LCP) air-cavity packages (ACP) for RF andmicrowave applications, has movedits headquarters from Oakland, CAto its new manufacturing facility inPhoenix, AZ. “RJR is making capital investments

on a 30,000ft2 building to houseour corporate headquarters as wellas expand our manufacturing operations to support our growth,”says president & CEO Wil Salhuana.“We decided to move our head-quarters from California to Arizonaafter working closely with thePhoenix Economic DevelopmentCouncil due to its friendly govern-ment, pro-business stance andavailable technical talent due to astrong semiconductor base,” he

comments. “We will maintain ourOakland facility to run our customspecialty product lines as well as asecond source for our high-volumestandard products.” “We moved into the building in

April 2017 and have just receivedour first customer qualification andare starting our volume ramp,” Salhuana continues. “Our air-cavityplastic (ACP) and RQFN packagesoffer a compelling value propositionin terms of high performance,

low cost and faster time-to-market, and the packages havebeen widely accepted as thepackage of choice for the RF cellular base-station market,” he claims. RJR has already shipped millions

of units of its new second-generation ACP2 packages to

leading manufacturers in the RFmarket. The firm reckons that, overthe next few years, its industry-standard ACP packages can deliversimilar benefits to applications inthe gallium nitride (GaN) marketand RF energy applications, such aslighting, automotive ignition sys-tems and consumer microwaveappliances as well as new productsthat address other market appli-cations. www.rjrtechnologies.com

The Silicon Integration Initiative(Si2) — an R&D joint venture thatprovides standard interoperabilitysolutions for IC design tools — saysthat its Compact Model Coalition(CMC) has approved two integratedcircuit design simulation standardsthat target the fast-growing marketfor gallium nitride (GaN).The approved standards are the

12th and 13th models currentlyfunded and supported by the CMC,a collaborative group that developsand maintains cost-saving SPICE(Simulation Program with Integ-rated Circuit Emphasis) models forIC design.GaN devices are used in many

high-power and high-frequencyapplications, including satellitecommunications, radar, cellular,broadband wireless systems andautomotive. “Although it’s currently asmall market, gallium nitride devicesare expected to show remarkablegrowth over the coming years,”notes president & CEO John Ellis.

To reduce R&D costs and increasesimulation accuracy, the semicon-ductor industry relies on the CMCto share resources for funding stan-dard SPICE models. Si2 is an R&Djoint venture focused on IC designand tool operability standards.“Once the standard models areproven and accepted by CMC, theyare incorporated into design toolswidely used by the semiconductorindustry,” says Ellis. “The equationsat work in the standard model-settingprocess are developed, refined andmaintained by leading universitiesand national laboratories. The CMCdirects and funds the universities to standardize and improve themodels,” he adds.“2015 and 2016 were exciting

years for the gallium nitride powerbusiness,” comments Dr Ana Villamor,technology and market analyst atYole Développement. “We projectan explosion of this market, with79% CAGR (compound annualgrowth rate) between 2017 and

2022. Market value will reach$460m at the end of the period. It’sstill a small market compared tothe impressive $30bn silicon powersemiconductor market. However,its expected growth in the shortterm is showing the enormouspotential of the power galliumnitride technology based on its suit-ability for high-performance andhigh-frequency solutions,” she adds. “Gallium nitride devices are playing

an increasingly important part in thefield of RF and power electronics,”states CMC chair Peter Lee, manager at Micron Memory Japan.“With these two advanced modelsestablished as the first worldwidegallium nitride model standards,efficiencies in design will greatlyincrease by making it possible totake into account accurate devicephysical behavior in design, andenabling the use of the various simulation tools in the industry withconsistent results.” www.si2.org/category/compact-model

Si2’s Compact Model Coalition approves IC design simulation standards for GaN devices

RJR opens new Phoenix manufacturing facility and HQ Factory enables capacity expansion for air-cavity plastic packages

RJR’s new HQ and manufacturing plant.

Page 31: Nitrides for visible light communications Nitrides for visible light communications
Page 32: Nitrides for visible light communications Nitrides for visible light communications

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

32

2018 CS ManTech Austin, Texas, 7–10 May

This year’s CS ManTech is in final preparation for the event at the Hyatt Regency Austin on 7–10 May.

Registration is open for the workshop, conference and exhibits.

Visit: www.csmantech.org

EpiGaN nv of Hasselt, nearAntwerp, Belgium — which suppliesgallium nitride on silicon (GaN-on-Si)and gallium nitride on silicon carbide(GaN-on-SiC) epitaxial wafers forpower switching, RF and sensorsapplications — has a key position inthe new 36-month European Unionresearch project SERENA (‘gan-on-Silicon Efficient mm-wave euRopeansystEm iNtegration platform’),which began in January. The project consortium unites ten

industrial and academic partners:Austria’s TECHNIKON GmbH (proj-ect leader), Sweden’s Ericsson AB,Infineon Technologies Austria AG,Belgium’s EpiGaN NV, France’sOmmic SAS, Sweden’sTotalförsvarets Forskningsinstitut,Germany’s Fraunhofer Gesellschaft,Greece’s Institute of Communicationand Computer Systems, Sweden’sChalmers Tekniska Högskola AB andGermany’s Technische UniversitätBerlin. SERENA aims to develop a

beam-forming system platform formillimeter-wave multi-antennaarrays and to enable the functionalperformance of a hybrid analog/dig-ital signal processing architecturebeyond mainstream CMOS integ-ration.

The objective of SERENA is aproof-of-concept prototype for optimizing the power efficiency andcost of mm-wave multi-antennaarray systems. The architecture willbe suitable for a wide range ofapplications such as safety radar,high-speed wireless communications,as well as imaging sensors for 5Gand autonomous vehicles, all ofwhich rely on active antenna arraysand electronic beam steering. The fundamental challenge is toproduce high-performance antennasystems for the mm-wave range atviable price-points and low energyconsumption. The SERENA value chain will be

based on advances in GaN-on-Sitechnology and volume packaging,contributed by EpiGaN through itsGaN epiwafer technology which,with its in-situ SiN capping layer,provides what is claimed to besuperior surface passivation anddevice reliability. Also, it enablescontamination-free processing inexisting standard CMOS siliconinfrastructures. In-situ SiN struc-turing allows the use of pure andultra-thin aluminium nitride (AlN)layers as barrier materials. Byreducing the short-channel transistoreffects, this results in what is said to

be superior mm-wave performance. “RF-GaN technology offers crucial

performance advantages overincumbent LDMOS or GaAs tech-nologies, such as greater bandwidthand energy efficiency,” says EpiGaN’sCEO Dr Marianne Germain. “OurGaN-on-Si technology deliversexcellent power density and power-added efficiency (PAE),superior gain, and low RF losses upto 100GHz,” she claims. “By start-ing out with a fundamentally bettersemiconductor technology specifi-cally designed for the mm-waverange, our customers realize superior and differentiating deviceperformance for multiple RF appli-cations.” GaN is a key enabler of 5G wire-

less communications, whichrequires exceptionally high-speedconnections for multimedia streaming, virtual reality, M2M, orautonomous driving. A fully devel-oped Internet of Things (IoT) willexperience lower latency and promote both spectrum and energyefficiency, notes EpiGaN. To realizethese benefits, 5G systems need torely on new semiconductor tech-nologies such as GaN to fuel theseinnovations, the firm adds. www.epigan.com

EpiGaN’s GaN/Si RF material technology at core of EU’s SERENA 5G project Project to develop beam-forming system platform for mm-wave multi-antenna arrays

Page 33: Nitrides for visible light communications Nitrides for visible light communications
Page 34: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

34

In its final results for full-year 2017,epiwafer foundry and substratemaker IQE plc of Cardiff, Wales, UKhas reported revenue of £154.5m,up 16.4% on 2016’s £132.7m (and above the guidance of “notless than £150m”). In particular,due to continued diversification,wafer revenue rose by 21.1% from£126m to £152.6m, with stronggrowth in high-margin product lines(particularly Photonics). Photonics revenue rose by 109%,more than doubling from £22.8mto £47.6m, due largely to theadoption of IQE’s vertical-cavitysurface-emitting laser (VCSEL)technology for mass-market consumer applications that rampedstrongly in second-half 2017 (for which wafer revenue was upover 160% year-on-year) as thedevelopment program moved tomass-market production in June.“IQE leveraged its expertise ofmass-market wireless supply torapidly ramp the supply of thiscomplex photonics material intounprecedented volumes,” says thefirm. The supply of materials intothis ramp was delivered undermultiple multi-year contracts. InfraRed revenue rose by 14%from £10.6m to £12m (exceedingthe forecasted 10% growth). Wireless revenue was broadlyflat at £91.6m (compared with2016’s £91.3m), affected by a£3m managed reduction in supplier-managed inventory (SMI)to focus capacity on the ramp inPhotonics (SMI inventories areexpected to replenish in 2018). CMOS++ revenue was flat,remaining at £1.4m. Wafer sales hence continued to

diversify, with Photonics rising from18% of total revenue in 2016 to31% in 2017, while Wireless fellfrom 72% to 60%, and InfraRedstayed at 8% and CMOS++ at 1%.

License income from sales to jointventures fell from 2016’s £6.7m(which included significant upfrontlicense fees) to £1.9m in 2017.Excluding license income, gross

margin on wafer sales rose from21.8% to 24.1%, primarily reflectingthe benefit of a favourable sales mixwith a greater proportion of higher-margin Photonics sales. Selling, general & administrative

(SG&A) expenses rose from £16.6mto £21.6m, reflecting investmentfor growth. Adjusted operating profit rose

from £22.1m to £26.4m, reflectingan increase in wafer-related profitsfrom £15.5m to £24.5m (due tohigh operational gearing and themore profitable sales mix) partiallyoffset by the £4.8m reduction inlicense income. Adjusted operating margins for

the primary segments were 15%for Wireless, 38% for Photonics and27% for InfraRed. “These representsustainable margins, and henceprovide the opportunity for futuremargin expansion through continu-ing diversification of revenues,”believes IQE. Conversion of adjusted operating

profit into operating cash rose from102% to 113%. Aiding diversification, to address

near-term and foreseeable growthopportunities, capital investmenthas been increased from £19.1m to£28.2m. This comprises: Capital expenditure (CapEx) upby £0.3m to £11.3m in cash paiddirectly to equipment suppliers.In addition, IQE financed £6.6mof CapEx via finance lease (wherethe bank settled the purchase costdirectly with equipment suppliers).This lease was settled prior to theyear end (with title to the equip-ment passed to the group), sothe cash flow is classified as partof the repayment of borrowings.

Total cash invested in equipmentwas hence £17.9m (and totalinvestment was hence £34.8m). Investment in product develop-ment up by £8.2m from £6.3m to£14.5m (due mainly to VCSELtechnology that ramped intomass-market production throughsecond-half 2017). Investment in intangible assetsup by £0.6m to £2.4m. This com-prises the purchase of patentsfrom third parties (including aportfolio of 54 patents relating toquasi photonic crystals reportedin December), the cost of patent-ing internally generated IP, andsoftware. In November, IQE issued 67.9 million

new ordinary shares, raising grossproceeds of £95m, primarily tofinance a capacity expansion pro-gram to deliver the scale needed tocapture multiple high-growth marketopportunities (particularly the con-tinuing ramp in demand for VCSELsas adoption broadens) as well asenabling the acceleration of productdevelopment. Proceeds went partlyto repay outstanding borrowings inorder to save interest charges.Hence, versus net debt of £39.5mat the end of 2016, at the end of2017 IQE had net funds of £45.6m. “We continue to expand capacity to

meet forecast increases in demand,as well as driving throughput andyield improvements to releaselatent capacity and drive marginexpansion in our existing business,”says CEO Dr Drew Nelson. Central to the expansion is the

creation of a new ‘Mega Foundry’ inprogress in Newport, South Wales,which will house up to 100 tools.The first five are installed and ontrack for production in second-half2018. A further five tools should beinstalled and commissioned by endthe end of Q3/2018. “Initial buildingworks only began in September,”

IQE’s record full-year 2017 results driven by adoption ofVCSEL technology in mass-market consumer applications Full-year 2018 to see 35–60% revenue growth in Photonics, 5% in Wireless, and 5–15% in InfraRed

Page 35: Nitrides for visible light communications Nitrides for visible light communications

notes Nelson. Preparation is under-way to acquire at least a further 10tools in the next 12–18 months, asdemand requires. The new foundryis being supported by £37.9m fromthe Cardiff Capital Region (CCR)City Deal’s Wider Investment Fund,which (after a deal last May) is fund-ing building of the infrastructure.IQE is leasing the building under an11-year lease, which has a 3-yearrent-free period and an option topurchase. This support has enabledIQE to focus its own investment onadding new tools, which requiresupfront investment in both OpEx andCapEx. The lead time to get newtools into production is 9–12 months,so a fully utilized tool making VCSELshas a payback of about one year. Progress with technology develop-

ment includes demonstration of keyenabling technology for high-per-formance wireless filters; cREO forintegration of compound semicon-ductor materials technologies onsilicon; and quasi photonic crystalsand nano-imprint lithography for awide range of optical technologiesincluding distributed feedback (DFB)lasers, integrated 3D sensing, andsilicon photonics applications. Also, qualifications are in progress

with IQE’s gallium nitride on silicon(GaN-on-Si) technology for base-station and other high-power RFapplications (providing a route toaccelerating wireless growth).

Customer interactions are broad-ening for InfraRed, where IQE isworking with OEM and device com-panies in developing IR products formass-market consumer applications. There has also been continued

progress by IQE’s joint ventures inthe UK and Singapore. Significantlyexpanding external customerengagements and improving finan-cial performance reflect theachievement of key milestones forthese early-stage businesses. Driven by expansion of existing

business and qualifications of newbusiness streams, in full-year 2018IQE expects wafer revenue growthof 35–60% in Photonics (based onexpansion of products currently inproduction and the completion ofongoing qualifications), up to 5% inWireless (with supplier-managedinventories replenishing, andpotential for revenue expansion asGaN products make stronger con-tribution), and 5–15% in IR (withcustomer engagement broadening). In addition, in 2019 and beyond

IQE believes that there is potentialfor strong growth from the following: increasing VCSEL adoption for3D sensing accelerating acrossmultiple smartphone OEMs, theintroduction of world-facing 3Dtechnology, and the first deploy-ment of LiDAR (light detection andrange-finding) and several otherhigh-volume sensing applications;

increasing deployment ofindium phosphide (InP) for high-speed fiber-to-the-premises (FTTx)and data-center applications; increasing compound semicon-ductor content in 5G communica-tion systems and adoption of GaNfor base-station and other high-power RF applications (includingconsumer-driven opportunities); increasing use of IR products inmass-market consumer applications; revenues from both the powerswitching and non-terrestrialsolar markets; the adoption of IQEs broad IPportfolio into multiple commercialapplications utilizing cREO (rareearth oxide), nano-imprint lithog-raphy (NIL) and quasi photoniccrystal (QPC) technologies; and multiple qualifications in progresswith DFB laser products. “The depth and breadth of customer

engagements in Photonics providesa solid platform for continuing stronggrowth, with several new productlaunches forecast over the next12–18 months for multiple OEMs,”says Nelson. Based on existing products, IQE

expects compound annual growthrates (CAGRs) over the next 3–5 years of up to 10% in Wireless,40–60% in Photonics, and 5–15%in InfraRed, with potentially highergrowth via new product introductions. www.iqep.com

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

35

IQE has exercised its exclusive optionto acquire the ‘Rare Earth Oxide’(cREO) technology and IP portfolioof Translucent Inc of Palo Alto, CA,USA (a subsidiary of Australian-listed company Silex Systems Ltd).IQE will pay $5m, from either itsexisting cash resources or newordinary shares of 1 pence eachissued to the vendor, within 6 monthsfollowing the exercise of the option. IQE announced in September

2015 that it had agreed an exclusive30-month licence for the commer-cialization of Translucent’s uniquecREO technology and an exclusive

option (exercisable solely at IQE’sdiscretion) on the subsequentacquisition of the technology. cREO technology is said to offer a

unique approach to the manufac-ture of a wide range of compoundsemiconductor on silicon products,including gallium nitride on silicon(GaN-on-Si) for the burgeoningpower switching and RF technol-ogy markets. The original cREOtechnology is protected by a wide-ranging IP patent portfolio.This portfolio has been furtherenhanced by IQE since September2015, across a range of new appli-

cation areas including RF filtersand silicon photonic applications,and includes a number of newmaterials configurations.“This type of materials innovation

is at the core of IQEs disruptivesemiconductor materials solutionstrategy, as we move from a bespoke,customer-specification-led businessmodel to a more broad-basedmaterials-innovation-led modelwhich offers customers new oppor-tunities to develop disruptive end-market products,” comments IQE’spresident & CEO Dr Drew Nelson. www.translucentinc.com

IQE acquiring Translucent’s cREO technology & IP portfolio for $5m

Page 36: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

36

Veeco Instruments Inc of Plainview,NY, USA has completed installationof its 100th automated molecularbeam epitaxy (MBE) system —specifically a GEN10 MBE installed inFebruary at Silanna SemiconductorPty Ltd in Brisbane, Australia.Founded in 2006, Silanna alreadyoperates a Veeco Dual GEN200MBE system for the production ofnitride-based devices includingultraviolet light-emitting diodes(UV-LEDs). “Veeco has earned a reputation for

consistently developing innovativeand reliable MBE technology fromresearch scale to production,” comments Silanna’s chief scientistPetar Atanackovic Ph.D. “The flexi-bility and deposition capability ofthe GEN10 system will enable us todevelop new materials at theatomic level, allowing us to exploitnew quantum properties,” he adds.

“Veeco’s technology portfolio andleadership in MBE systems providesus with a clear path to easily scaleto volume production in the future.” Silanna is using the GEN10 system

for advanced oxide R&D for opto-electronic devices. Veeco says thatthe GEN10 is built upon almost 20 years of cumulative automationknowledge and derived from thecompany’s proven production MBEsystems. Adopted by many corpo-

rations, institutions and universitiesfor all major MBE applications,Veeco says that the GEN10 is oftenbecause of its flexibility, whichallows configuration of the systemfor a particular application. This issaid to give optimal performancewith any material set, includingthose related to III–V group elements, oxides and nitrides. “Silanna has achieved remarkable

results on its previous MBE systems,”comments Gerry Blumenstock, VP & general manager, Veeco MBE Products. “As our customersexplore novel materials and newapplications, they can rely on Veecoto deliver innovative MBE systems,sources and components for use incomplex R&D, as well as high-volume production environments.” www.silanna.com www.veeco.com/technologies-and-products/mbe-systems

Veeco installs 100th automated MBE systemGEN10 MBE installed at Silanna for oxide R&D for opto devices

Veeco’s GEN10 MBE system.

Page 37: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

37

Rochester Institute of Technology(RIT) in the USA says it has found amore efficient fabricating process toproduce semiconductors for electronicdevices, and that the inverse metal-assisted chemical etching (I-MacEtch)method can help to meet the grow-ing demand for more powerful andreliable nano-technologies neededfor solar cells, smartphones,telecommunications grids and new applications in photonics andquantum computing (Wilhelm et al,‘Fabrication of Suspended III-VNanofoils by Inverse Metal-AssistedChemical Etching of In0.49Ga0.51P/GaAsHeteroepitaxial Films’, ACS AppliedMaterials and Interfaces (2018)10(2) 2058). I-MacEtch combines the benefits

of the two traditional methods ofwet etching and reactive-ion etching(RIE). “I-MacEtch is an alternativeto two conventional approachesand is a technique that has beenused in the field — but the materialsthat have been explored are fairlylimited,” says Parsian Mohseni,assistant professor of microsystemsengineering in RIT’s Kate GleasonCollege of Engineering & director ofthe Epitaxially-Integrated NanoscaleSystems (EINS) Laboratory at theuniversity. MacEtch has been usedextensively for processing silicon.At the same time, assessments areunderway of III-V semiconductormaterials that may be conducive tothis same type of fabrication withsimilar advantages. Mohseni is looking at different alloys

of III-V materials, namely ternaryalloys such as indium gallium phos-phide (InGaP). “For the first time weare looking at applying I-MacEtchprocessing to InGaP materials,” hesays. InGaP is one of several mat-erials being tested to complementsilicon as a means to improve theexisting capacity of semiconductor

processing. “This is a very well-knownmaterial and has applications in theelectronics and solar cell industries,”Mohseni adds. “We are establishingnew protocols for treating the existingmaterial that is more cost effective,and a more sustainable process.” The research highlights how the

nanofabrication methodology wasapplied to InGaP and how it canimpact the processing of deviceapplications and generation ofhigh-aspect-ratio and nano-scalesemiconductor features, saysmicrosystems engineering doctoralstudent Thomas Wilhelm (firstauthor of the paper). It is reckonedthat the novel processing methodcan be significant in the developmentof ordered arrays of high-aspect-ratio structures such as nanowires. For solar cells, the aim is to minimize

the cost-to-power-produced ratio.If it is possible to lower the cost ofmaking the cell and increase theefficiency of it, then this improvesthe device overall. Exploring newmethods of fabricating the existingmaterials in a way that allows forfaster, less expensive and morecontrolled processing by combiningthe benefits of wet etching and RIEhas been the focus of Mohseni’swork. The improved process meansavoiding expensive, bulky, hazardousprocessing methods. “We are using a simple benchtop

set up and we end up with verysimilar structures; in fact, one canargue that they are higher in qualitythan the structures that we cangenerate with RIE for a fraction of thecost and with less time, less stepsthroughout, without the higher-temperature conditions or expensiveinstrumentation,” Mohseni says. https://pubs.acs.org/doi/10.1021/acsami.7b17555 www.rit.edu/gis/nanopower/research/nanowire-devices

Rochester Institute improves nano-structure fabrication Use of InGaP with I-MacEtch process showspromise for more cost-effective fabricationand increased device performance

Page 38: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

38

For fourth-quarter 2017, depositionequipment maker Aixtron SE ofHerzogenrath, near Aachen, Germanyhas reported revenue of €54.1m,down 13% on €62.2m last quarterand 39.8% on €89.8m a year ago. However, full-year revenue was

still €230.4m, up 17% on 2016’s€196.5m, and slightly exceedingthe guidance of €220–230m. “2017was a good year for Aixtron, withrevenues and orders at the bestlevels since 2011,” notes VP offinance & administration CharlesRussell. In particular, equipment revenue

grew by 21% from €155.7m to€188m (rising from 79% to 82% oftotal revenue), while sales of spareparts & services rose by just 4%from €40.8m to €42.4m (fallingfrom 21% to 18% of total revenue). Of equipment revenue, the pro-

portion from metal-organic chemicalvapor deposition (MOCVD) systemsfor the production of LEDs (includingred-orange-yellow ‘ROY’ and specialtyLEDs) rebounded from just 26% in2016 to 42% in 2017 (€79.1m,including €25m from the sale ofAIX R6 gallium nitride blue LEDsystems out of inventory). Mean-while, systems for the production ofOptoelectronics (excluding LEDs)fell from 34% to 25% (€47.8m),Power Electronics from 14% to11% (€20.4m), and Silicon from21% to 19% (including €38.8m fromthe atomic layer deposition/chemicalvapor deposition (ALD/CVD) productline for memory chip production). Asian revenue rebounded further

from 65% of total revenue in 2016to 75% in 2017 (growing from€128m to €172.3m, including Chinagrowing from €64.8m to €89.8m,Korea from €27.1m to €44.3m, andTaiwan from €22m to €25.7m).Meanwhile, the Americas fell furtherfrom 19% to 12% (from €37.7m to€28.9m) and Europe fell from 16%to 13% (from €30.8m to €29.2m).

Gross margin improved from 29%in 2016 to 32% in 2017, due mainlyto a better product mix in second-half 2017 after the first half sufferedfrom low-margin sales of AIX R6MOCVD systems as well as write-downs from freezing activities in bothIII-V-on-silicon (TFOS) for micro-processor logic (on 300mm wafers)and in thin-film encapsulation (TFE)since Aixtron’s organic light-emittingdiode (OLED) activity is now focusedon organic vapor phase deposition(OVPD) technology for depositingdifferent layers of the OLED stack.“In the second half of the yeargross margin reached 39–40%,reflecting the increasing sales ofbetter-margin product based on thegrowing value of high-performancesolutions to our customers,” sayspresident Dr Bernd Schulte. Quarterlygross margin was 39% in Q4/2017,down slightly from 40% in Q3/2017but up from just 33% a year ago.“This is free from the effects ofdesired margin sales from the old orsold product lines and is thereforeindicative of what we should expectin the coming periods,” says Russell. Full-year operating expenses

(OpEx) have been cut from €77.7m(40% of revenue) in 2016 to €69.1m

(30% of revenue) in 2017.This included restructuringeffects of €12.8m fromthe freezing of TFOS andTFE activities as well aspositive effects from thesale of the atomic layerdeposition/chemical vapordeposition (ALD/CVD)product line for memorychip applications (based atUS subsidiary Aixtron Incin Sunnyvale, CA, USA) toEugene Technology Inc (a US subsidiary of SouthKorea-based EugeneTechnology Co Ltd) on 15November (contributing tototal Aixtron staffing falling

during 2017 from 705 to 581). In particular, selling expenses were

€10.2m, down from 2016’s €13.8m(although that included the closureof a demonstration facility in China).General & administrative (G&A)expenses were steady at €17.1m.R&D costs rose by 28% from €53.9m(27% of revenue) in 2016 to €68.8m(30% of revenue) in 2017, althoughthis includes TFOS and TFE write-downs in first-half 2017 as well asincreased spending on R&D particu-larly for OLED applications. The full-year operating result

(earnings before interest and taxes,EBIT) improved from –€21.4m in2016 to +€4.9m (2% of revenue)in 2017. However, this was largelydue to quarterly EBIT rising fromjust €4.6m in Q3 to €24.4m in Q4after a profit of €23.9m on disposalof the ALD/CVD product line. Thisbrought Aixtron into profit a yearearlier than planned. Driven mainly by the improved

profitability, reductions in workingcapital, and ALD/CVD product linesale, full-year operating cash flowhas improved from –€37.7m in 2016to +€70.1m in 2017. Quarterlyoperating cash flow was €13.6m inQ4, up from €13.2m in Q3.

Aixtron returns to annual profit in 2017after sale of ALD/CVD product line Continuing business to grow revenue 20–35% in 2018 to €230–260m

Page 39: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

39

Free cash flow in 2017 was €91.4m(compared with –€42.9m in 2016),albeit due mainly to €51m from thesale of the ALD/CVD product lineplus €39m in collections from thehigh level of receivables at the endof 2016. Capital expenditure (CapEx)totaled €9.7m (up from €5.3m in2016). Cash and cash equivalents(including cash deposits with amaturity of more than 90 days)hence rose to €246.5m at the endof December, up €86.4m from€160.1m on a year previously. Aixtron notes that, following its

thwarted takeover by China’sGrand Chip Investment in Decem-ber 2016, its return to profit in2017 was achieved by implement-ing a strategic reorientation of itstechnology portfolio to focus onproduct lines that either are prof-itable or promise to generate a sig-nificant return on investment in thenear term, while freezing its activi-ties in TFOS and TFE and divestingits ALD/CVD product line. Also, on 1 October 2017 the sub-

sidiary APEVA SE — to which Aixtrontransferred its OVPD OLED deposi-tion technology — officially beganoperation. Gen1 OLED systems arein operation at an Asian displaymanufacturer’s R&D line. “Gen2system will soon be installed at thecustomer facility in order to qualifythe technology for mass produc-tion,” says Schulte. “In addition, weare in discussions with severalcompanies about a partnership[joint venture] in the OLED sector,which should complement APEVA’srange of products and services,”adds president Dr Felix Grawert. For 2018, Aixtron continues to

pursue its goal of returning to sus-tainable profitability in its operatingbusiness. This is supported by thecontinuing market demand, partic-ularly in MOCVD systems for theproduction of vertical-cavity surface-emitting lasers (VCSELs) for 3Dsensing and other lasers for appli-cations such as optical communica-tions, as well as ROY and specialtyLEDs. In the medium term, theadoption of power electronics com-ponents based on the wide-bandgap

materials gallium nitride (GaN) andsilicon carbide (SiC) opens up furtherpotential. “With Aixtron’s comprehensive

reorientation, the company isfocusing on its core MOCVD equip-ment business,” says Grawert. “We supply systems in various end-markets with attractive growthpotential and expect to be clearlyprofitable again in our operatingbusiness in 2018. This is supportedby a strong order backlog andstable order intake,” he adds. Driven by the continued demand

for MOCVD systems for the produc-tion of VCSELs and other lasers,ROY and specialty LEDs as well aspower electronics and memory chips,order intake (including spare partsand service) in 2017 amounted to abetter-than-expected €263.8m, up17% on €225.1m in 2016. “Thestrong orders reflect improved con-ditions particularly in our two coremarkets MOCVD for LEDs and foroptoelectronics,” says Russell.Quarterly orders were €65.7m, downon €69.6m last quarter but up on€60.5m a year ago. Equipment order

backlog as of end-December 2017rose to €108.6m,up 9% from€99.2m at end-September 2017and up 39% year-on-year from€78.1m at end-December 2016. Based on equip-

ment order backlog

of €102m on 1 January (at aninternal budgeted exchange rate of$/€1.20 for 2018) joined by anestimated €88–118m of orderintake shippable during 2018 plusan estimated €40m of spares &services revenue, for 2018 Aixtronexpects both revenues and totalorders of €230–260m, up 20–35%on 2017’s €191.6m for continuingbusiness (excluding €38.8m fromthe divested ALD/CVD product linein 2017). Due mainly to the largerproportion of higher-margin prod-ucts, Aixtron expects gross marginto rise to 35–40% and EBIT to riseto 5–10% of revenue. OpEx shouldbe about €75m. Operating cashflow should be positive, but lowerthan in 2017 (which included €51mfrom selling the ALD/CVD productline) due partly to including €11.7mfor settling liabilities towards thirdparties of the ALD/CVD business.These expectations for 2018include the results of subsidiaryAPEVA with all planned investmentsto further develop OLED activities. www.aixtron.com

Aixtron’s 24-monthbusiness development

Aixtron’s 2018guidance

Page 40: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

40

Process control and yield manage-ment solutions provider KLA-TencorCorp of Milpitas, CA, USA hasagreed to acquire Orbotech Ltd ofYavne, Israel for $38.86 in cashand 0.25 of a share of KLA-Tencorcommon stock in exchange foreach ordinary share of Orbotech —a total of $69.02 per share. Thedeal gives Orbotech at an equityvalue of $3.4bn and an enterprisevalue of $3.2bn. KLA-Tencor has also announced

the authorization of a $2bn sharerepurchase program, to be com-pleted within 12–18 months follow-ing the close of the transaction. With this acquisition, KLA-Tencor

will diversify its revenue base andadd $2.5bn of addressable marketopportunity in the printed-circuitboard (PCB), flat-panel display(FPD), packaging and semi-conductor manufacturing areas. Its defect inspection and metrologyproducts include systems for LEDmanufacturing. However, as well asproviding yield-enhancing andprocess-enabling solutions for electronics production, Orbotechowns SPTS Technologies Ltd ofNewport, Wales, UK (which manufactures etch, PVD and CVD

wafer processing solutions for theMEMS, advanced packaging, LED,high-speed RF, and power manage-ment device markets). The broader portfolio of products, services andsolutions, as well as increasedexposure to technology megatrends,should support KLA-Tencor’s long-term revenue and earnings growthtargets, it is reckoned.“This acquisition is consistent with

our strategy to pursue sustained,profitable growth by expanding intoadjacent markets,” says KLA-Tencor’spresident & CEO Rick Wallace. “This combination will open newmarket opportunities for KLA-Tencor,and expands our portfolio servingthe semiconductor industry,” headds. “Our companies fit togetherexceptionally well in terms of people, processes and technology.In addition, KLA-Tencor has had astrong presence in Israel over theyears, and this combination furtherexpands our operations in thisimportant global technologyregion,” Wallace notes. “Together with KLA-Tencor, we

will significantly increase growthpotential, accelerate our productdevelopment roadmap, and enhancecustomer offerings,” comments

Orbotech’s CEO Asher Levy.“Orbotech will continue to operateunder the Orbotech brand as astandalone business of KLA-Tencorbased in Yavne, Israel.” Total cost synergies are expected

to be about $50m on an annualizedbasis within 12–24 months follow-ing the closing of the transaction,which is expected to be immediatelyaccretive to KLA-Tencor’s revenuegrowth model, non-GAAP earningsand free cash flow per share.The deal has been approved by

the board of directors of each company and is expected to closeby fourth-quarter 2018, subject toapproval by Orbotech’s sharehold-ers, required regulatory approvalsand the satisfaction of the othercustomary closing conditions. Noapproval by KLA-Tencor stockhold-ers is required. KLA-Tencor intendsto fund the cash portion of the purchase price with cash from thecombined company’s balancesheet. In addition, it intends toraise about $1bn in new long-termdebt financing to complete theshare repurchase.www.kla-tencor.com www.spts.comwww.orbotech.com

KLA-Tencor to acquire SPTS’ parent firm OrbotechAcquisition expands portfolio for semiconductor processing

MRSI Systems of North Billerica,MA, USA (which makes fully auto-mated, high-precision, high-speeddie bonding and epoxy dispensingsystems) says that its new MRSI-HVM3 system — launched atthe 19th China International Opto-electronics Exposition (CIOE 2017)in Shenzhen (6–9 September) —has entered volume production,using Demand Flow Technology (DFT)to satisfy worldwide customerdemand. The first wave of configured

MRSI-HVM3 systems has beenfocused on chip-on-submount(CoS), chip-on-carrier (CoC), andchip-on-baseplate (CoB) processes,

and have been installed in NorthAmerica, Europe and Asia. “Our new 3µm high-speed die

bonder MRSI-HVM3 is exactly thesolution the photonics market waslooking for to address one of themost critical manufacturing bottle-necks,” says Dr Yi Qian, VP of product management. “We listenedto our customers and prospectsand geared the roadmap towardsthe direction of high speed withoutsacrificing high accuracy, or highflexibility, to handle multi-chipmulti-process production in onemachine. As a result, the system isable to generate a great return oninvestment,” he claims.

The new system helps photonicdevice companies to execute theirstrategy to have a fast-responsehigh-volume manufacturing capability alongside the ability tomaintain low manufacturing costsfor the high demand driven by data-center applications, the firm says.“We also predicted the new systemwould need to allow the productionof multiple products passingthrough the same production linewithout sacrificing throughput,”says Qian. “Our assumptions havebeen validated by this first wave ofcustomers with their test results ontheir facility floors.” www.mrsisystems.com

MRSI-HVM3 die bonder enters volume production

Page 41: Nitrides for visible light communications Nitrides for visible light communications

Atomic layer deposition (ALD) thin-film technology firm Picosun of Espoo, Finland has reported significant repeat sales of P-300Fproduction cluster tools to majorUS industrial customers. Even if most common ICs are

produced on 300mm silicon wafers,sub-300mm manufacturing isincreasingly important, especially forexisting and emerging non-silicon-based devices, notes Picosun.Wafer materials limited to maxi-mum 200mm diameter such as silicon carbide (SiC), gallium nitride(GaN), aluminium nitride (AlN),sapphire, gallium arsenide (GaAs),lithium niobate (LiNbO3) andlithium tantalate (LiTaO3) offer benefits over silicon and enable ageneration of new end-products,

adds the firm. Picosun’s core competence is cost-

efficient, turn-key ALD productionsystems for the fast-growing More-than-Moore market, for whichthe P-300F is the flagship product.Specially designed to be run incluster configuration under con-stant vacuum to enable fast andefficient high-throughput manufac-turing, P-300F tools are connectedtogether and operated in fully automatic mode with a central vacuum robot substrate handlingand transfer system. The uniquebatch flipping mechanism in the P-300F is suitable for manufacturinglines where most of the processsteps take place in a horizontalgeometry. Cassette-to-cassetteloading for up to 50-wafer batches

of 200/150/100mm substrates,SEMI S2/S8 certification and aSECS/GEM option for factory hostintegration make the P-300F theoptimal choice for demandingmanufacturing needs for, for exam-ple, moisture barriers, capacitorsand surface acoustic wave (SAW)and bulk acoustic wave (BAW) filters. “The purity, uniformity and barrier

properties of the ALD filmsdeposited in these systems fulfil thestrictest requirements of today’ssemiconductor industries, makingthe Picosun P-300F the tool ofchoice for the forerunners of semiconductor manufacturing,”claims managing director JuhanaKostamo. www.picosun.com

Picosun reports significant repeat sales of P-300Fbatch ALD production cluster tools

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

41

Page 42: Nitrides for visible light communications Nitrides for visible light communications

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

42

UK-based plasma etch and deposi-tion processing system makerOxford Instruments Plasma Technol-ogy (OIPT) is celebrating a year ofdelivering a record number of integ-rated solutions, enabling customersto rapidly commercialize semiconduc-tor devices, developed using OxfordInstruments ‘Lab to Fab’ solutions. Oxford Instruments says that

many of its customers are now con-

verting their research into commer-cially available devices. The key tosuccess is to transfer the resultsachieved in development and pilotfacilities, and repeat them everyday, every month in the fabricationfacility, notes the firm. OIPT reck-ons that, due to its installed base ofR&D tools, it is ideally placed tooffer this ‘Lab to Fab’ solution. “We’ve shipped over 600 of our

high-technology process modulesto leading production facilities and,with an increasing demand fromthe optoelectronics, power andother leading markets, our plasmaprocess solutions are being utilizedglobally to achieve excellent deviceperformance and throughput,” saysOIPT’s sales & marketing directorPaul Davies. www.oxinst.com/plasma

3D-Micromac AG of Chemnitz, Ger-many (which supplies laser micro-machining systems and roll-to-rolllaser systems for the photovoltaic,medical device and electronicsmarkets) has unveiled its CleanScribe technology, a new patent-pending feature for its microDICElaser micromachining system thatenables particle-free scribing of silicon carbide (SiC) wafers withoutthe need for expensive coatingsand without impacting wafer dicingthroughput. Clean Scribe workswith 3D-Micromac’s TLS-Dicing(Thermal-Laser-Separation)process integrated into themicroDICE system to provide fast,damage-free and cost-effectivewafer dicing with no additionalmaterial or equipment overhead,the firm says. “Consumer demand for hybrid and

electric vehicles, as well as growinguse of automotive electronic systemsfor improved fuel efficiency anddriver safety, is fueling the need forSiC-based power devices,” notesproduct manager Hans-Ulrich Zühlke. “Maximizing yield on theproduction of power devices isparamount to ensuring consumersafety for these applications. At thesame time, driving down coststhroughout the SiC power devicemanufacturing process is crucial toenabling wider adoption of these

advanced vehicles by consumers,”he adds. “Our new Clean Scribetechnology is the latest example of3D-Micromac’s commitment to con-tinuous innovation of our TLS-Dicingprocess in order to achieve greateryield, throughput and cost savingsin device pre-packaging as well asto expand the applications that canbenefit from our technology.” New laser scribing approachesneededSince scribing is an essential step inwafer dicing, 3D-Micromac’s TLS-Dicing approach is a two-stepprocess involving the use of a shortablation laser to produce an initialscribe at the beginning of each cutin the dicing street in order to initiate a crack. This ‘dry’ scribeprocess generates a very low num-ber of particles. In the second step,a continuous-wave laser is passedalong this line to heat up the mat-erial locally, which is then rapidlycooled by spraying with deionized(DI) water, cleaving the wafer. To enhance the reliability and

straightness of the cleave, the ini-tial scribe can be performed acrossthe entire length of the dicingstreet. However, this ‘continuousscribe’ can generate a greaternumber of particles, which may betoo much for certain particularlydemanding SiC applications. Toavoid this, users previously needed

to reduce the speed of the scribingprocess or apply an additionalwafer coating step before dicing toprevent particles from falling intothe dicing street. However, thisstep adds significantly to processcomplexity and cost. 3D-Micromac’s new Clean Scribe

technology uses a patent-pendinglaser scribing process that elimi-nates polyimide and metal particlesin the dicing street, enabling a vir-tually particle-free surface withoutthe need for expensive coatings.Clean Scribe replaces the ‘dry’scribe approach with an aerosolspray that uses an extremely smallamount of DI water (less than20ml/min) to wash away the parti-cles during the laser processingstep. Since the TLS-Dicing processalready uses DI water and com-pressed air for the cleaving step, noadditional systems or consumablesare needed with Clean Scribe. At the same time, Clean Scribeachieves these results with no lossof throughput, enabling wafer dic-ing speeds of up to 300mm/s. 3D-Micromac showcased its new

Clean Scribe technology on itsmicroDICE laser micromachiningsystem at SEMICON China 2018 atthe Shanghai New InternationalExhibition Centre (14–16 March). http://tls-dicing.comhttp://3d-micromac.com

3D-Micromac unveils Clean Scribe technology onmicroDICE TLS laser micromachining system forparticle-free dicing of SiC wafers

OIPT delivers record integrated ‘Lab to Fab’ solutions

Page 43: Nitrides for visible light communications Nitrides for visible light communications

The Mark of

Precision.Purity.

Performance.

SeMI-GaS® IS Powered By

For over 35 years, SEMI-GAS® has been the

semiconductor industry’s go-to brand for

premier, production-ready, ultra high purity

gas delivery systems. Today, Tier 1 leaders and

high-tech innovators all over the world look to

SEMI-GAS® for proven solutions that uphold

their rigorous application demands.

When it comes to ultra high purity gas delivery systems, look for the mark of excellence.

Learn more at www.semi-gas.com/mark

Page 44: Nitrides for visible light communications Nitrides for visible light communications

BluGlass Ltd of Silverwater, Australia has entered into a collaboration agreement with a“well-funded European pioneer in themicroLED industry” to investigatethe use of BluGlass’ proprietaryremote-plasma chemical vapordeposition (RPCVD) manufacturingtechnology. The collaboration isexploring a novel application withwhat is described as significantcommercial potential. BluGlass is commercializing its

proprietary low-temperatureRPCVD process for manufacturingindium gallium nitride (InGaN)-

based LEDs, power electronics andsolar cells, offering advantagesincluding higher performance andlower cost, it is claimed. The two firms will work together

to demonstrate proof of concept ofa unique red, green and blue (RGB)microLED display application usingthe low-temperature process. BluGlass will be paid for its deposi-tion services and retain all RPCVD-related intellectual property rightsresulting from the collaboration. “The microLED market is an

enormous opportunity for RPCVDwithin one of the fastest-growing

LED market segments, with appli-cations in wearables (watches),mobile displays, next-generationTV displays, virtual reality (VR) and augmented reality (AR),” comments managing director GilesBourne. “The inherent advantagesof RPCVD lends itself to RGB LEDs,required in micro-displays,” headds. “Low-temperature RPCVDcould be key to unlocking high performance of longer-wavelengthLEDs (green and red LEDs) and bepart of an enabling technologysolution.” www.bluglass.com.au

BluGlass has agreed with LED makerLumileds of San Jose, CA, USA toextend their existing Phase II collaboration in order to acceleratethe development of new appli-cations of LEDs using BluGlass’remote-plasma chemical vapordeposition (RPCVD) technology. BluGlass is commercializing its

proprietary low-temperatureRPCVD process for manufacturingInGaN-based LEDs, power electron-ics and solar cells, offering advan-tages including higher performanceand lower cost, it is claimed.

The agreement is one of severalcollaborations that BluGlass has aspart of its continuing program tocommercialize its RPCVD manufac-turing technology, and to continuethe development of IP in this field.BluGlass has patented hardware andprocesses targeting the productionof more efficient semiconductordevices at lower cost, to addressopportunities in the LED market. BluGlass and Lumileds have iden-

tified strategies to speed develop-ment, including shortening turn-around times of project iterations.

“Lumileds is committed to thisproject and looks forward to realizingthe benefits of this new technology,”says Parijat Deb, senior directorR&D at Lumileds. “The Lumileds collaboration

remains a key priority for BluGlass,”comments BluGlass’ managingdirector Giles Bourne. “We lookforward to expediting progress andcontinuing to work with Lumiledstowards identifying what newcommercial opportunities mightexist.” www.lumileds.com

BluGlass and Lumileds extend Phase II collaboration

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

44

BluGlass collaborates with microLED firm todevelop novel RGB display applications

Monocrystal Inc of Stavropol, Russia, which manufactures large-diameter synthetic sapphire sub-strates and ingots for LED, opticalproducts and RFIC applications,says that 2017 was another year ofsustainable growth after delivering55 million two-inch equivalent (TIE)sapphire products to the LED market.This gives Monocrystal a 50%share of a 110 million TIE market insapphire for LEDs, says market

research firm Yole Developpement.“2017 was a year of rapid growth

for the LED industry, and most ofour clients significantly expandedtheir production,” notes Monocrys-tal’s CEO Oleg Kachalov.“Monocrystal successfully accom-plished a challenging 70% capacityincrease and provided all therequested volume to its customers.This contributes to a secure execu-tion of our customers’ expansion

plans, which is crucial for obtainingleading positions on the highlycompetitive LED market,” he adds.“This year we will continue to build

upon the momentum in the LEDindustry,” says VP sales MikhailBerest. “For this purpose, we areplanning to add 50% to our capaci-ties,” he adds. “We are ready tohelp our customers meet theirambitious targets in 2018.” www.monocrystal.com

Monocrystal delivers 55 million TIE sapphire substratesto LED market in 2017, taking 50% of market Following 70% expansion, capacity to be expanded a further 50%

Page 45: Nitrides for visible light communications Nitrides for visible light communications

Pick your size.The Temescal UEFC-4900–ultimate lift-o metallization

performance like the UEFC-5700, but optimized for smaller wafers and smaller production volumes.

It’s the elephant in the room. With our Auratus™ deposition

enhancement methodology and the UEFC-5700, we brought

you huge metallization process improvements including near

perfect uniformity; but UEFC-5700 is a high-volume production

tool. Now we’ve packed this performance into a mid-sized system,

the UEFC-4900, because sometimes the elephant is just too big.

Harmonize your process to the vapor cloud and experience the

huge performance benets, even if you run smaller wafers and

smaller production volumes.

A Temescal system can bring near perfect uniformity to your

lift-o metallization coating process. To nd out more, visit

www.temescal.net/auratus-elephant or call +1-925-371-4170.

© 2015 Ferrotec (USA) Corporation. All rights reserved. Temescal is a registered trademark and Auratus is a trademark of Ferrotec (USA) Corporation.

TemescalUEFC–4900

Page 46: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

46

King Abdullah University of Science and Tech-nology (KAUST) hasdemonstrated atechnique for reduc-ing the loss of lightat the surface ofsemiconductornano-structures (H Sun et al, ‘Surface-passivatedAlGaN nanowiresfor enhanced luminescence ofultraviolet lightemitting diodes’,ACS Photonics(2018) 5 (3) 964). Since gallium

arsenide emits predominantlyinfrared light, for shorter wave-lengths in the blue or ultraviolet(UV) region of the spectrum, gallium nitride is used. To tune downthe emission wavelength further,aluminium can be added (alteringthe spacing between the atoms andincreasing the energy bandgap). However, numerous factors pre-

vent all the radiation created in thesemiconductor escaping the deviceto act as an efficient light source.Firstly, most semiconducting mat-erials have a high refractive index,which makes semiconductor–airinterfaces highly reflective — atsome angles all light bounces back(total internal reflection). A secondlimitation is that imperfections atthe surface (e.g. oxidation andhigh-density surface states) causestrong recombination, reabsorbingthe light before it can escape. Postdoctoral researcher Haiding

Sun and his colleagues, includingsupervisor assistant professor Xiaohang Li, professor Boon Ooi andassistant professor Iman Roqan,have developed light-emitting

diodes consisting of a tight array of dislocation-free nanoscale aluminium gallium nitride (AlGaN)nanowires on a titanium-coated silicon substrate. More light can beefficiently extracted due to thepresence of the air gaps betweennanowires via scattering. Thetrade-off however is that arrays ofnanowireshave a largersurface areathan a planarstructure.“Because ofthe largesurface-to-volume ratioof nanowires,their opticaland electricalpropertiesare highlysensitive totheir sur-roundings,”says Sun.“Surfacestates and

defects will lead to low-efficiencylight-emitting devices.” Sun and the team have shown

that treating the nanowires in adiluted potassium hydroxide (KOH)solution — commonly used in semi-conductor fabrication but barelyused for surface passivation of self-assembled nitride-based nanowires— can suppress surface reabsorp-tion. It does this by removing sur-face dangling chemical bonds andpreventing oxidization of nitrides(forming Ga–O or Al–O bonds) atthe surface. Their results showedthat a 30-second treatment led to a49.7% enhancement in the UV lightoutput power compared with anuntreated device. “We aim to improve our device’s

performance in several ways,” saysSun. “For example, we will optimizethe nanowire growth conditions, we will use quantum-well structuresin the active region, and we will usedifferent metal substrates to improvethe light-extraction efficiency.” https://pubs.acs.org/doi/10.1021/acsphotonics.7b01235

Simple chemical surface treatment improves light extraction from nanowire UV LEDs Cleaning in potassium hydroxide suppresses surface reabsorption byremoving dangling chemical bonds and preventing oxidization

AlGaN nanowires, treated with diluted potassium hydroxide solution to enhance UV light output .

Because of thelarge surface-to-volume ratioof nanowires,their optical and electricalproperties arehighly sensitiveto theirsurroundings.Surface statesand defects willlead to low-efficiency light-emitting devices

Page 47: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

47

Micro-LED display technologydeveloper VueReal Inc of Waterloo,ON, Canada has announced the initial closing of its $10.5m Series Afunding round led by the venture armof a large Asian firm and a leadingNorth American vendor focused onstartups with emerging technology. VueReal is engineering electronic

systems through mass integration ofhigh-efficiency nano/micro- devicesinto large-area substrates (e.g. displays, sensors, system on paneletc). It initially targets making μ-LED displays affordable for allapplications (TV, laptop, smartphone,virtual/augmented reality, etc). Thefirm’s technology platform is basedon the interplay of micro/nano-device processing, integration tech-nologies and system design(hardware and software). VueReal will use the new funding

to accelerate development of μ-LEDtechnologies by expanding its teamand launching its micro-devicedevelopment and characterizationcenter. Due to interest from major

industry partners, the firm is work-ing to finalize the subsequent closeof the Series A funding. Industry analysts predict a sce-

nario where the market for μ-LEDdisplays could potentially reach 330 million units by 2025. However,development of such displays hasbeen hindered by high materialcosts and low yield and throughputof μ-LED mass transfer technology. “We are inspired by the confidence

placed in us by our investors asVueReal drives high-performance,super low-power μ-LED displaysthat are cost competitive with othertechnologies used in smartphonesand mid-to-large-size display applications,” says CEO & founderDr Reza Chaji. “The significant costreduction is the result of VueReal’sproprietary sub-10μm, high-effi-ciency μ-LEDs, along with ourpatented mass transfer process.” VueReal also announced that it is

collaborating with technologyenablers including epitaxial deposi-tion and semiconductor equipment

maker Veeco Instruments Inc ofPlainview, NY, USA. VueReal claimsthat, working with industry part-ners like Veeco, it has developedkey technologies and proprietaryprocesses that solve many of theissues associated with manufac-turing μ-LEDs. “We look forward tocontinuing our joint developmentwork using Veeco’s expertise toprovide technical breakthroughsthat advance the μ-LED displayindustry,” states Chaji. “Veeco has consistently proven

technical superiority especially inthe development of high-qualityred, green and blue (RGB) epitaxyto meet device efficiency, uniformityand yield requirements for μ-LEDs,”says Veeco’s chief technology officerAjit Paranjpe. “Veeco continues totake on tough technical challengesas we partner with developers likeVueReal working on emerging tech-nologies,” he adds. “Our collabor-ation will accelerate the adoption ofmicro-LED displays.” www.vuereal.com

VueReal raises $10.5m in initial Series A funding

Page 48: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

48

South Korean LED maker SeoulSemiconductor Co Ltd, togetherwith affiliate Seoul Viosys Co Ltd,has filed three new patent infringe-ment lawsuits against a lightingcompany and distributors sellingproducts that allegedly infringe itsAcrich patents. On 2 March, Seoul filed a patent

infringement lawsuit in the TexasNorthern District federal courtaccusing Service Lighting ElectricalSupplies Inc of selling LED bulbsthat infringe 10 patents relating toAcrich technology in the course ofoperating 1000bulbs.com, Amer-ica’s largest online retail channel forsuch bulbs. Seoul also filed a patent infringe-

ment lawsuit in Nevada District Courtagainst Philcort T.V. & ElectronicLeasing Inc for infringement ofeight Acrich patents. Seoul has also filed a patent

infringement lawsuit in California’sCentral District Court against Archi-pelago Lighting Inc for infringement

of eight patents. Seoul had alreadyfiled a patent lawsuit in the samecourt last September alleging thatArchipelago infringed 12 patents.Seoul subsequently became awareof additional products that itbelieves are infringing other Acrichpatents. In this series of patent litigations

relating to Acrich technology, Seoulis focusing on various LED lamps,including LED filament bulbs, eachof which is believed to infringe atleast one or more of 20 differentpatents covering technologies forLED drivers for high-voltage opera-tions, MJT (multi-junction technol-ogy), filament LED bulb structures,LED packaging, LED epitaxialgrowth, LED chip fabrication, etc. Seoul says that Acrich technology

is essential for products that featurehigh-voltage operation with a highpower output yet which rely on onlya small number of LED units. Ingeneral lighting products, such asstreetlights or filament LEDs,

demand has increased for LEDproducts capable of more than 12Vpower. Acrich technology is alsoincreasingly used for high-end televisions with ultra-high definition(UHD) displays because it makes iteasy to implement local dimmingfunctions, says Seoul. “Seoul will continue to enforce its

IP against lighting and electricalcompanies, as well as their retailers,who manufacture or sell infringingproducts,” states general counsel &executive VP Yong-Tae Lee. “But for those companies who wish toconduct business fairly and withoutinfringing Seoul’s IP, we will soonbe announcing a patent licensingprogram for Acrich technology. The new licensing program willoffer reasonable terms to compa-nies that wish to offer productsincorporating Acrich technologyessential for LED drivers, packagesfor high-voltage operations, andAC-operation LED drivers,” he adds. www.SeoulSemicon.com

Seoul Semiconductor files three patent lawsuits and announces Acrich licensing program

Seoul Semiconductor has demon-strated an AC LED module with aluminous efficacy of 150lm/W,developed using the NanoDriverSeries LED driver configured foroptimum efficiency and employingAcrich MJT 5630 LEDs characterizedat a correlated color temperature(CCT) of 5000K and a color renderingindex (CRI) of 80, at 25°C ambienttemperature and operated at 6V. Prior to its recent product intro-

duction, Seoul Semiconductor saysthat many LED fixture designersperceived AC LED technology as a low-performance, low-cost solution, assuming that AC LEDtechnology had compromised performance that restricted itsapplicability. The firm says thedemonstration shows it is possibleto get a high-efficacy, low-flickersolution using AC LED technology.

“The NanoDriver Series LED driv-ers will have a significant impacton LED fixture design by bringing aminiature, low-cost, high-perform-ance module solution to the light-ing industry,” says executive VPKeith Hopwood.“The NanoDriver Series devices

enable fixture designers to signifi-cantly reduce the size, weight andvolume of their luminaire designs,”explained Hopwood. “The NanoDriver’s efficacy, low flickerand miniature size is the result ofSeoul Semiconductor’s continuedresearch and development into ACLED technology, delivering a lessbulky and highly efficient design,”he adds. The NanoDriver Series is suitable

for applications such as flush-mount,wall sconce, vanity and down-lightapplications. Their small size

enables ultra-thin and novel fixturedesigns, making conventionallamp replacement possible withoutthe need for a large volume recessfor the driver, or a reduction in thelight output, says the firm. Previ-ously, it has been difficult to convertmany conventional fixture designsto LEDs because there was nospace for the LED driver. The NanoDriver Series devices are

suitable for luminaire designs up to3000lm, making possible the integ-ration of the control circuitry withthe external converter. This allowsthe mounting of more light sourceson board or reducing the total sizeof the fixture and mounting plate.The NanoDriver Series devices aresmall and lightweight enough tomake airfreight economical, reducinglead time and streamlining thesupply chain, the firm adds.

Seoul Semiconductor demonstrates 150lm/W AC module

Page 49: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

49

At the Light+Building 2018 show inFrankfurt, Germany (18–23 March),Taiwan-based Everlight ElectronicsCo Ltd demonstrated its 5630X-ELBLED series and horticulture-fit LEDsas well as all lighting and lighting-related products, such as luminairesfor horticulture and fish lighting, The 5630X-ELB series not only

features luminous efficacy of228lm/W (@65mA, 5000K, CRI>80)but also complies with the DLC4.0standard with light-on tests in thehigh-temperature range (105ºC),L90>36,000 hours, andachieves/features a color toleranceless than 3SDCM (standard devia-tion of color matching). The 5630X-ELB series hence combines theadvantages of luminous efficacyand stability to provide lighting for

commercial, professional andindustrial applications. Monochromatic light LEDs are widely

applied for horticulture lighting, bycombining colors to effectively meetthe requirements of specific spectraand optical functions. Everlight hasdeveloped a special series of prod-ucts based on monochromatic lightwavelength to cover PAR 450–745nm,from high to low power via theSHWO 3535-ELB, 3030-ELB and

2835-ELB LEDs. The new 2835 PC

Red-ELB (2.8mm x3.5mm x 0.7mm)with 5% blueintensity (CCT)can achieve a wall-plug efficiency(WPE) of 35%

(0.5W) to simultaneously replaceRoyal Blue and Deep Red with aluminous efficacy of 15lm. The new3535-ELB series (3.5mm x 3.5mmx 2.03mm) offers the advantages ofhigh luminous efficacy, price–per-formance ratio and a WPE of 65%.In all colors, the products aretested to meet LM-80 (lumen main-tenance) and effectively guarantee tomeet the customer’s requirements. www.everlight.com

Samsung Electronics Co Ltd ofSeoul, South Korea has achievedwhat is claimed to be the industry’shighest luminous efficacies for itsfillet-enhanced chip-scale package(FEC) LED lineup — LM101B,LH181B and LH231B. Initially chip-scale package (CSP)

LEDs were not widely used in main-stream LED lighting markets due torelatively low efficacy levels com-pared with conventional LED pack-ages. However, the newly upgradedFECs can be applied to most main-stream LED lighting environments,including ambient, downlight, spot-light, high-bay, canopy and street-lighting applications. “Since introducing CSP technology

to the industry in 2014, we haveput extensive effort into advancingthe performance levels and designflexibility of every one of our CSPLEDs,” says Yoonjoon Choi, VP ofthe LED business team. The enhanced FEC LEDs are based

on Samsung’s most up-to-date CSP

technology, which builds titaniumdioxide (TiO2) walls around theside surfaces of the chip to directlight output upwards. The technologyis said to provide considerably higherlight efficacy than conventional CSPLEDs while offering greater flexibilityfor luminaire designers. Moreover,dramatically reduced cross-talkbetween neighboring packagesallows each package to be placed inclose proximity to each other. Building on these advances, the

revamped FEC LED packagesachieve what is claimed to be theindustry’s highest light efficacy lev-els, to suit a wider range of lightingapplications. The LM101B featuresan increased efficacy of 205lm/W(65mA, CRI 80+, 5000K), which issaid to be the highest among 1W-class, mid-power CSP LEDs. The3W-class LH181B is said to providethe highest light efficacy in its classat 190lm/W (350mA, CRI 70+,5000K), which represents a morethan 10% enhancement over the

previous version. The 5W-classLH231B package continues to offer170lm/W (700mA, CRI 70+, 5000K),which is claimed to be the highestefficacy for the 5W class. Samsung says that, due to the

FEC’s small form factor andreduced cross-talk, the LM101B isparticularly suited to spotlightingapplications where packages can bedensely placed within a small light-emitting surface area. The firm alsomade the LM101B much simpler to mount (compared with othermid-power CSP LEDs) by modifyingthe electrode pad. In addition, the LH181B operates

at a maximum current of 1.4A,making it suitable for high-powerLED luminaires requiring superiorlumen density, says the firm. Now in mass production, the FEC

lineup is available in a full range ofcorrelated color temperature (CCT)and color rendering index (CRI)options. www.samsung.com

Samsung enhances chip-scale LED package lineupwith highest luminous efficacies

Everlight demos high-efficiency and horticulturelighting products at Light+Building

Everlight’s 5630X and Horticulture LEDs 3535-ELBHorti, 3030-ELB Horti and 2835-ELB Horti.

Page 50: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

50

To meet the recent market trend ofcolor tuning fixtures with narrowbeam angles and maximum punch,LED maker Lumileds of San Jose,CA, USA has launched the LUXEONCZ Color Line, a product line consisting of 21 LED color optionsincluding 13 color and 8 white LEDs,optimized to deliver maximumintensity. “The LUXEON CZ featuresup to 48% higher punch than anyother undomed color LED,” claimsLUXEON Color Family product linedirector Jennifer Holland. In addition, the LUXEON CZ Color

Line makes fixture designs withnarrow beam angles possible. “It iseasier for optics to pick up all thelight because the emitters castminimal light below the horizon,unlike comparative products on themarket,” Holland adds. In a narrow-beam system, the

intensity of the light from the LUX-EON CZ is nearly 30-50% highercompared with other undomedLEDs, it is claimed. The intensity ofeach color is similar, ensuring aconsistent beam width and mini-mizing halos when color mixing.

The product line additionally elimi-nates crosstalk, ensuring a truecolor point when LEDs are packedclosely together. Crosstalk can hap-pen when direct color LEDs areclosely spaced with phosphor con-verted LEDs. The photons from thedirect colors can excite the phos-phor in the surrounding phosphor-converted LEDs, making it appearas if the phosphor converted LEDsare on, when in reality only thedirect color LED is illuminated. TheLUXEON CZ Color Line ensures atrue color point in compact designsand is especially suited to architec-tural lighting, entertainment light-ing, dimmable lamps and fixtures

and emergency vehicle lightingapplications. In addition, LUXEON CZ consists

of the same robust buildings blocksas the LUXEON C Color Line. AllLUXEON CZ and LUXEON C LEDsfeature an identical focal length,leading to superior color mixing inall applications, it is claimed. Tospeed time to market and simplifydesigns, LUXEON CZ and LUXEON Care designed in the same footprintand are also hot tested at 85°C toensure excellent performance atreal-world conditions. What is said to be the industry’s

lowest thermal resistance (3.2°C/W)helps to reduce the size of heat sinksfor the most compact lamps or fixtures. A low thermal resistance isespecially beneficial in densely packedapplications because it significantlyhelps with thermal management.Leveraging the features and reliabilityof the LUXEON C, Lumileds hasextended these benefits to LUXEONCZ, giving lighting manufacturersthe flexibility to use them individuallyor even together, Lumileds adds. www.lumileds.com/LUXEONCZ

Lumileds’ new LUXEON CZ LEDs build on LUXEON CColor Line, boosting intensity of narrow-beam-angle,undomed LEDs

Lumileds has added to its mid-powerfamily of LEDs by launching theLUXEON 3030 2D with a squarelight-emitting surface (LES), whichis specifically optimized for highflux and maximum reliability ingeneral lighting applicationsincluding downlights, high-bay &low-bay fixtures and outdoor lighting. Supplied in a 3.0mm x 3.0mm

SMD package, the LUXEON 30302D uses two emitters in series todeliver luminous flux of more than110lm at a warm-white correlatedcolor temperature (CCT) of 2700Kand 80 CRI (120mA, 6V). At a

cool-white color temperature of6500K, the performance increasesto over 120lm at 80 CRI (maximumdrive current of 240mA). Flux performance is significantly

improved over the company’sexisting LUXEON 3030 2D LED,which has a round LES. Existingcustomers will still have access tothe LUXEON 3030 2D with theround LES, which has been adoptedwidely for downlight, industrial andA-lamp applications in particular. “With the new LUXEON 3030 2D,

we are able to offer the industry’shighest flux in a two-die mid-powerpackage, but also our hot-color

targeted approach ensures superiorcolor accuracy,” claims productmanager Mei Yi. With hot-color targeting, compo-

nents deliver specific chromaticitycoordinates at the targeted operating temperature of 85ºC,resulting in minimal color shift inreal-world operating conditions.Offered over the full range of ANSI CCTs, the emitter is 1/9thANSI micro-color binned for tightcolor control. Both LM-80 and TM-21 data are

available for the new LED. www.lumileds.com/products/mid-power-leds/luxeon-3030-2d

Lumileds launches LUXEON 3030 2D with square LES, the highest-flux two-die mid-power LED for general lighting

Page 51: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

51

LED maker Lumileds of San Jose,CA, USA has introduced its fourth generation of LUXEON CoB(chip-on-board) Core Range LEDs,with what is claimed to be 5%greater efficacy than the nearestcompetitors. In particular, efficiency is not com-

promised at high color renderingindex (CRI). “The goal of Lumiledsis to address the industry-wideissue of the efficiency gap between80 and 90CRI CoBs,” says EricSenders, product line director forthe LUXEON CoB Family. “With thisnew generation, we have limitedthis gap tremendously by increasingthe 90CRI performance by 12%over the previous generation,” headds. “In the past, there was atradeoff between light quality andefficiency, but customers no longer

need to make that sacrifice withthese products.” Lumileds says that its ability to

deliver luminous efficacy exceeding130lm/W at 90CRI (and over170lm/W at 70CRI) is largely due

to its own phosphor develop-ment and engineering coupled with precise processand manufacturing controlsat its manufacturing facili-ties. Lumileds has hence also

improved the thermal resist-ance of the CoBs by 25%further reducing the burdenon heat sinks and shrinkingthe size of optics at the sys-tem level. The result is highfixture efficacy and smallerfixtures. The LUXEON CoB Core

Range (Gen 4) was displayed at the Light + Building show in Frankfurt,Germany (18–23 March). www.lumileds.com/LUXEONCoBCoreRange www.lumileds.com/products/cob-

Lumileds has introduced anupgraded LUXEON 5050 LED withwhat is claimed to be the best per-formance of all multi-die emitterson the market, reaching luminousflux of 350 lumens and luminousefficacy of 175 lumens per watt(lm/W) at 2W drive conditions, ata correlated color temperature(CCT) of 4000K, and a color ren-dering index (CRI) of 70 at 85°C. With newly released LM-80 relia-

bility data, the product also meetsDLC Premium V4.1 requirements,enabling fixture manufacturers’access to high utility rebates andenergy savings.

In addition, the round 4.6mmlight-emitting surface (LES) easesoptic design. “The majority ofcommercially available 2W opticscan use LUXEON 5050, whichleads to the best flux, efficacy andcolor over angle combination,” saysKathleen Hartnett, senior director,product marketing. With what isclaimed to be the industry’s lowestthermal resistance (2K/W), LUXEON 5050 further enhancesperformance by removing heatand reducing heat-sink require-ments, adds the firm. The LUXEON 5050 is suitable for

high-bay and street lighting appli-

cations. Typically for high-lumenfixtures that require high efficacy,a group of standard mid-powerLEDs would be used, with thetradeoff of a large fixture size andweight. In contrast, using LUXEON5050 enables a smaller overallsystem size, so very compact fix-tures are possible. The LUXEON 5050 is available in

a range of correlated color temper-atures (2700–6500K) and CRI levels (70, 80, 90) to meet a vari-ety of high-bay and street lightingas well as indoor spotlightingneeds. www.lumileds.com/LUXEON5050

Lumileds upgrades LUXEON 5050 LED to 175lm/W

Lumileds launches fourth generation of LUXEON CoBCore LEDs, boosting 90CRI performance by 12%

Lumileds has appointed Kevin Martinas senior VP of quality. He has over30 years of experience in quality,most recently as VP of global qualityat Flextronics. While at Flextronics, Martin led the

worldwide Quality team, supportingglobal operations in North and South

America, China, Europe and India.Previously, he was VP of total cus-tomer satisfaction at Nissan Motor,responsible for North and SouthAmerica field quality and customersatisfaction for all Nissan andInfiniti vehicles. Prior to Nissan, hewas general manager of customer

quality engineering at Toyota. In addition to roles in quality, Martin

has held positions in production,manufacturing operations andengineering. He has a Bachelor’sDegree in Manufacturing Engineeringfrom Western Carolina University. www.lumileds.com

The LUXEON CoB Core Range (Gen 4).

Lumileds appoints senior vice president of quality

Page 52: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

52

At the Light+Building 2018 show inFrankfurt, Germany (18–23 March),Aldo Kamper, CEO of Osram OptoSemiconductors GmbH of Regens-burg, Germany, and HiroyoshiOgawa, president of Japan’s NichiaCorp, announced a strengtheningof the two firms’ cooperation onlicensing. Osram GmbH of Munich, Germany

and Japan’s Nichia Corp first enteredinto patent cross-license agreementsin 2002 and 2010, allowing the firmsto use each other’s patents in theirown nitride-based semiconductorproducts such as blue, green andwhite LED and laser components. Ogawa and Kamper share the

view that much has happened inthe industry since the companies

signed the last license agreementin 2010. “To further advance LEDand laser technology, Nichia andOsram have spent, in total, morethan €2.5bn in research and devel-opment since 2011,” notes Kamper.The two firms have now agreed toenter into negotiations for a crosslicense covering about 7000 newpatent applications including about2000 granted patents from Nichiaand Osram, covering automotive,general lighting, LCD backlights,display, medical and industrialapplications and a full range ofoptoelectronics products. “On all levels of the value chain

from semiconductor epitaxy tophosphor material, packaging andfurther downstream technologies,

significant progress has been madein the past eight years and protectedby a variety of new patents on bothsides,” says Ogawa. To cover theirtechnological achievements in allexisting as well as emerging appli-cation areas for optoelectronicsproducts and technologies, Osramand Nichia will discuss a crosslicense that spanning the manyadditional patents based on post-2010 inventions. “Our companieswill be able to leverage each other’stechnological advancements, whileboth companies’ customers willbenefit from an industry-leadingprotection in IP-related matters,”says Kamper. www.nichia.com www.osram.com

Osram, Nichia expand nitride LED & laser IP cooperation About 7000 new patent applications to be included since last cross-licensing agreement in 2010

Osram Opto SemiconductorsGmbH of Regensburg, Germanysays its Oslon Compact PL LEDsfor adaptive front lighting havebeen used for the first time by carmaker Audi in its new A8 flagshipmodel. In addition, the OslonBlack Flat S (in its three-chip ver-sion) provides an extended beamrange. Also, Osram’s tried andtested Topled is used in the car’sdaytime running lights (DRLs). The innovative feature of the Audi

A8’s HD matrix headlights is that,for the first time, the Oslon Com-pact PL LEDs are arranged in twolines. Other road users are hencemasked out even more preciselyby the light beam and are not daz-zled. The Oslon Compact PL has anelectrically insulated thermal con-tact. By using appropriate PCBtechnologies the thermal connec-tion can be significantly improved,yielding higher luminous flux. At the same time, notchless chiptechnology allows the optical sys-

tems to be more efficient. Aftermarket launch at the end of 2017,it is being used for the first time inthe new Audi A8 as adaptive highbeam and low beam.

The Oslon Black Flat Sfamily, which also features notchless chiptechnology and is celebrating its pre-miere, has now beeninstalled in the Audi A8for the first time in itsthree-chip version. The individually controllable chips allowthe light beam to beadjusted to the envir-onment (e.g. in citytraffic or on the high-way), increasing visualcomfort. “The lights now adapt

even more precisely tocomplex traffic situa-tions,” says PeterKnittl, Osram Opto’s

general manager Automotive. “The surrounding area is illuminatedin the best possible way withoutdazzling other road users,” he adds. www.osram-os.com

Osram Oslon Compact PL LEDs used in front headlightsof new Audi A8

The Oslon Compact PL for adaptive front lightinghas been installed in the Audi A8 for the first time,the Oslon Black Flat S in its 3-chip version providesan extended beam range, and the Topled is used inthe daytime running lights. Picture: Audi.

Page 53: Nitrides for visible light communications Nitrides for visible light communications

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

53

At the Light+Building 2018 show inFrankfurt, Germany (18–23 March),Osram Opto Semiconductors GmbHof Regensburg, Germany hasunveiled the prototype of the OslonPure 1010 LED, targeted at use inspotlights for retail lighting (whereexceptionally compact LEDs withhigh light output are needed tobathe articles on display in anattractive light). The scalability of the chip-scale package (CSP)LED enables flexibility in puttingtogether individual lighting solu-tions. Osram says that, with typical

luminous flux of 100lm at a drivecurrent of 350mA and a color tem-perature of 3000K, the prototypealmost perfectly follows Lambert’slaw and achieves a flux density of237lm/mm2 when operating at1000mA. The achieved luminanceis then much higher for the samecomponent size. This property isuseful particularly if the new LED isused for illuminating merchandisein retail outlets. Because of its compact 1.0mm x

1.0mm x 0.25mm dimensions, theOslon Pure 1010 gives lightingdesigners a high degree of flexibility.The new LED comes without a primary lens, and the reduction inheight is due to the chip-scalepackage. The light-emitting surfaceis contacted not in the usual way(with a bond wire from above) butwithin the component itself, withoutthe need for bond wires. Theseproperties, together with the smalldimensions, enable multiple LEDsto be placed very close together ina small space. Warm-white andcold-white LEDs can be combined,so the number of individual LEDs,their arrangement and the relativeproportions of warm-white andcold-white LEDs can be tailored tomeet specific customer require-ments. If applications call for highly con-

centrated spotlighting, appropriatesecondary optics can simply be

added. Due to the compact dimen-sions and directional emission ofthe Oslon Pure, the secondaryoptics can also be very small, whichin turn means that the overall solution will save even more spaceand cost.

At Light+Building, Osram Optounveiled the prototype of the OslonPure 1010 in the CRI-80 version.The LED will be available as earlyas May. A CRI-90 version will thenfollow in fall 2018. www.light-building.messefrankfurt.com

Osram unveils prototype Oslon Pure 1010 chip-scalepackage LED with high flux density for retail lighting

Page 54: Nitrides for visible light communications Nitrides for visible light communications

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

54

II-VI Inc has launched an edge-emitting distributed feedback (DFB)laser diode for 3D sensing. New applications in augmented

and mixed reality are driving rapidlygrowing demand for semiconductorlasers embedded in consumerdevices, such as smartphones,headsets and smart glasses, notesII-VI. The new DFB laser diodesoperate at 940nm and emit powersof more than 500mW, enablingconsumer devices to digitallyreconstruct surrounding scenes in3D using the reflected laser light. “This latest product leverages the

deep expertise that we accumu-lated over our 20 year legacy ingallium arsenide lasers,” says Karlheinz Gulden, general manager,II-VI Laser Enterprise. “Our cus-tomers can rely on our globaldesign and manufacturing teamsthat recently demonstrated ourability to rapidly scale production ofa new laser for consumer electron-ics to high volumes on a verticallyintegrated 6-inch GaAs technologyplatform,” he adds. II-VI says that its DFB laser diodes

can be customized to achieve theoptimum output power for the

application. Engineering samplequantities are currently availablefor evaluation and design-in, asassemblies on ceramic carriers or in die form.II-VI’s portfolio of products for

sensing includes vertical-cavity surface-emitting lasers (VCSELs)for proximity sensing and thumbnavigation, and VCSEL arrays for3D sensing. The portfolio alsoincludes low-angle shift filters thatselect the reflected laser light overa wide field of view and reject otherlight to improve camera sensitivity. www.ii-vi-photonics.com

Engineered materials and optoelec-tronic component maker II-VI Incof Saxonburg, PA, USA says that itsDirectProcess 900 direct-diodelaser engine is now available with1kW continuous output power andnetwork interfaces for Industry 4.0. The growing number of applications

in industrial materials processing(such as joining, cutting and hard-ening) is driving the demand forindustrial lasers that are moreenergy and process efficient, saysII-VI. The firm’s DirectProcess 900leverages its in-house design andmanufacturing expertise in diodelasers, high-power laser optics andlaser light cables to achieve highwall-plug efficiency. Its beam uni-

formity and top-hat intensity pro-file, with a beam parameter product(BPP) of less than 8mm x mrad,enable cutting with smooth edgesand welding with clean seams,greatly reducing or eliminatingpost-process steps on metals suchas aluminum, copper and steel, thefirm claims. “The ability of the DirectProcess

900 to operate at an output powerof 1kW while maintaining low BPPenables a broad range of process-ing applications on materials suchas aluminum and steel, and onmixed materials such as copper-aluminum used in car batteries,”says Haro Fritsche, product linemanager, II-VI DirectPhotonics.

“The state-of-the-art managementinterface to our laser enginesgreatly simplifies system integrationand control of key laser parameters,enabling our customers to leveragethe full benefits of direct-diodelaser processing.” The DirectProcess 900 product

platform can be programmed toperform precisely timed processes,and can be managed and diagnosedremotely through its TCP/IP orEtherCAT interfaces. II-VI says thatits 1kW direct-diode laser enginesare small, lightweight and only 2 RU(rack units) high, and can be deployedalong with II-VI’s laser processingheads and laser light cables. www.ii-vi-photonics.com

II-VI launches 1kW direct-diode laser engine

II-VI Inc says its manufacturingoperations have reached two ship-ment milestones: 3 million pumplaser modules and half a millionerbium-doped fiber amplifiers(EDFAs). Advances in DWDM technology

over the last two decades haveenabled rapid scaling of opticalcommunications infrastructure byseveral orders of magnitude, notesII-VI. The firm’s pump lasers andEDFAs boost the power of opticalsignals at regular intervals alongfiber-optic transmission lines.

“II-VI’s high-performance galliumarsenide semiconductor lasers anddifferentiated EDFA design andmanufacturing capabilities enableas much as 50% of all global inter-net traffic running through theoptical transport network,” reckonsSunny Sun, president of the firm’sPhotonics Segment. “Our pumplasers have stood the test of timeand demonstrated decades of reli-able operation, even on the oceanfloor,” he adds. “By leveraging ourown pump lasers, thermoelectriccoolers, micro-optics and leading-

edge amplifier control electronics,our EDFAs reflect our expertise invertical integration.” II-VI says that its GaAs lasers

and EDFAs trace their intellectualproperty, technology and reliabilityheritage to industry pioneers. Thelasers are designed and manufac-tured in Zurich, Switzerland, lever-aging a legacy that spans morethan 20 years. The laser chips areassembled on ceramic sub-mountsin Calamba, Philippines, and pack-aged on automated assembly linesin Shenzhen, China.

II-VI ships 3 millionth pump laser module and half-millionth EDFA

II-VI unveils 940nm edge-emitting DFB laser for 3D sensing

Page 55: Nitrides for visible light communications Nitrides for visible light communications

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

55

PIX4life — a European pilot linewithin the European Horizon 2020initiative that offers photonic integ-rated circuits for biophotonics andlife-sciences applications — saysthat, after two years of internaldevelopment, it is now offering itsservices to a limited set of externalusers on an open-access basis. “While bulk optics and visible light

sources have been commonly usedin biology and life-sciences, manyof the applications were restrictedto laboratories employing large andexpensive equipment,” says IñigoArtundo, CEO of photonic integ-rated circuit (PIC) design houseVLC Photonics of Valencia, Spain.“The developments of the lastdecade in the field of photonicintegration, stimulated by newadvances in silicon nitride (SiN)fabrication capabilities, have led toa mature technology able to providesolutions for miniaturization, scala-bility and cost reduction,” he adds.

“These advances in light manipulationwill support new applications formedical instrumentation, multi-wavelength sources, flow cytometryand photonic sensors. This is possi-ble thanks to the reduction in costand size of the required equipmentfrom centimeter-scale optical systemsto millimeter-scale photonic chips,”he adds. The PIX4life pilot line gathers the

complete value chain required for aconcept to become a reality, fromdesign, to manufacturing, charac-terization and packaging of PICs.Since PIX4life focuses on PIC tech-nology in the visible and short near-infrared, the technology is suited toaddressing the needs of applicationssuch as bio- and life-sciences. Since late 2017, interested users

can apply for open-access to thepilot line services. The ‘earlyaccess‘ of these users to the serviceofferings is subject to an internalselection procedure, to promote the

realization of promising life scienceconcepts into prototypes. PIX4life offers open access to sev-

eral multi-project wafer runs (MPWs),where fabrications costs and waferspace are shared among participat-ing users. These MPWs are organizedfor different technology platformsat two different silicon nitride PICsfoundries. “End users can requesttechnical support to choose theright implementation of their opticalsystem into a photonic chip,” saysVLC Photonics’ R&D manager Marco García. Once selected, userswill be able to submit a design,which will be assigned to a certainarea on the wafer, and each designwill be replicated several times. “PIX4life opens us the door to target

new medical applications,” saysSara Mas Gómez, R&D engineer atmedical instrument firm MedLumicsof Madrid (a partner in PIX4life). www.pix4life.eu www.vlcphotonics.com

Engineered materials and optoelec-tronic component maker II-VI Incof Saxonburg, PA, USA is to acquireCoAdna Holdings Inc of Sunnyvale,CA, USA for about $85m (whichincludes the acquisition of CoAdna’sapproximately $40m in cash). Founded in 2000, CoAdna designs

and manufactures highly integ-rated, intelligent optical compo-nents, modules and sub-systems,such as LC (liquid crystal) devices,wavelength-selective switches(WSS) and reconfigurable opticaladd-drop multiplexers (ROADMs)based on its patented LightFlow liquid-crystal platform. Specifically, CoAdna produces

wavelength-selective switchesbased on LightFlow and II-VI’smicro-optics. Its WSS moduleshave a long history of field deploy-ments and, over the years, havebeen integrated alongside II-VI’soptical amplifiers, optical channel

monitors and other components onreconfigurable optical add-dropmultiplexer (ROADM) line-cardsdesigned by II-VI, CoAdna andtheir customers. “CoAdna and II-VI have been

great business partners for manyyears, leveraging each other’s com-plementary products and technolo-gies to serve the optical transportmarket,” comments Sunny Sun,president of II-VI Inc’s PhotonicsSegment. “We are eager to realizeour synergies to grow the WSSbusiness over our strong saleschannels and shorten the time tomarket for our new products,” headds. “With our manufacturingscale, unmatched vertical integ-ration and broad product portfolio,we are well positioned for thegrowth in ROADM demand drivenby metro network upgrades, newdata-center interconnect architec-tures and the emerging 5G wireless

infrastructure.” The companies’ combined portfolio

of products for ROADM line-cardswill include fixed- and flex-band1xN WSS, tunable mux/demux,dual-chip pump lasers, passivecomponents, arrayed erbium-dopedfiber amplifiers (EDFAs), high-resolution optical channel monitors(OCMs) and optical time-domainreflectometers (OTDRs). II-VI alsodesigns and manufactures fullyintegrated ROADM line-cards.CoAdna will contribute to the jointproduct portfolio its OvS platform,which features a distributed cross-connect architecture for data-center networking. The acquisition is expected to

close in third-quarter 2018, subjectto the approval of CoAdna’s share-holders, regulatory approvals andcustomary closing conditions. www.CoAdna.com www.ii-vi-photonics.com

II-VI to acquire CoAdna for $85m Wavelength-selective switch firm to join II-VI’s Photonics Segment

PIX4life announces SiN PICs for bio- and life-science

Page 56: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

56

CST Global has appointed JaimieMcGinty as MES technician for production and supply chain control, reporting to Gary Palmer,VP operations. McGinty manages the MES

(management execution system),which enables the real-time accuratetracking of work in progress (WIP),statistical process control (SPC)data, and inventory. He was previously responsible for datamanagement engineering at Jabil,

Livingston, andwas a reliabilitytechnician atGemfire in Glasgow. “My prime duty

is internal supplychain manage-ment; improvingefficiency and

productivity throughout thefoundry, in line with our ISO 9001:2015 quality standards,” says

McGinty. “CST Global’s laser production is high volume, so bar-coding and the electronic measure-ment of real-time work in progressare essential elements to imple-menting a lean production strategyfor the company,” he adds. “We areconstantly aiming to improve production planning and deliveryaccuracy. We also gather datarelating to quality and yield, for on-going process and supplierimprovement.”

III-V optoelectronic foundry Com-pound Semiconductor TechnologiesGlobal Ltd (CST Global) of HamiltonInternational Technology Park,Blantyre, near Glasgow, Scotland, UK(a subsidiary of Sweden’s Sivers IMAHoldings AB) says that its 1490nm,2.5Gb/s distributed feedback (DFB)lasers for Gigabit passive opticalnetwork (GPON) applications havebeen beta sampled and are nowavailable to order from its standardproduct portfolio. The 1490nm-wavelength laser

transmits data downstream, fromthe optical line terminal (OLT) tothe optical networking unit (ONU),positioned in the home or businesspremises. The transmission of dataupstream, from the ONU to the

OLT, is achieved using a 1310nmlaser at either 1.25Gb/s or 2.5Gb/sdata rate, which CST Global alsoproduces within its standard productrange. “Production of 1490nm, 2.5Gb/s

DFB lasers for GPON applicationshas become increasingly difficultdue to an evolving, industry build-

standard,” says Euan Livingston, VP sales & marketing. “We havesuccessfully produced the 1490nm,GPON lasers, to the C++ specifi-cation, in a TO-can format. Additionally, we will support knowngood die on tape (KGDT) formats ofthese devices, if required,” he adds. “GPON markets are growing

worldwide and sales into develop-ing markets like China and India,where we sold over 2 million unitsin 2017, are continuing to expand,”Livingston continues. “We identifiedthe potential for 1490nm DFBlasers 18 months ago and investedin the staff, machinery andprocesses necessary to meet thisescalating demand.” www.CSTGlobal.uk

CST Global has sampled its1270nm 2.5Gb/s asymmetric and10Gb/s symmetric distributedfeedback (DFB) lasers for 10Gpassive optical network (PON)applications. “CST Global is currently beta

sampling its asymmetric 2.5Gb/slasers and alpha sampling its symmetric 10Gb/s lasers,” saystechnical director Andrew McKee,who is leading the DFB laser

development. “The asymmetricbeta samples, which are undergoingITU-GR468 reliability testing, areavailable for customer trials inknown good die on tape (KGDT)and TO56-can formats,” he adds. “The asymmetric 2.5Gb/s

1270nm DFB lasers allow theexisting optical networking units(ONUs), found at the user end offiber-to-the-home (FTTH) broad-band solutions, to be re-used.

As a result, we expect a fastuptake in 2.5Gb/s 1270nm lasers,which is why this solution has beena priority,” McKee continues. “The symmetric 10Gb/s 1270nm

laser option requires a new designof ONU before a large-scale uptakeis expected, but it is already indemand,” McKee adds. “We arepleased to offer a solution for thetwo prevailing technologies in thisgrowing market.”

CST Global samples 1270nm DFB lasers for 10G PON

CST Global adds 1490nm, 2.5Gb/s GPON lasers to standard product portfolio

DFB laser inspection at CST Global.

Jaimie McGinty.

CST Global appoints manufacturing execution systemtechnician for production and supply chain control

Page 57: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications 57

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

III-V optoelectronic foundry Com-pound Semiconductor TechnologiesGlobal Ltd (CST Global) of HamiltonInternational Technology Park,Blantyre, near Glasgow, Scotland,UK (a subsidiary of Sweden’s SiversIMA Holdings AB) says that — aspart of its involvement in the UKgovernment-funded SUPER 8research project — it has proventhe feasibility of its uncooled ridge-waveguide CWDM distributed feed-back (DFB) lasers to transmit at25Gbps. “The SUPER 8 project uses CWDM

(coarse wavelength division multi-plexing) architecture to provideoptical filtering, so that eight25Gbps data channels, each with

its own laser, can be transmittedsimultaneously, at different wave-lengths, on the same optical fiber,”says Euan Livingston, VP sales &marketing. “The uncooled ridge-waveguide DFB lasers we havemade, and successfully tested,form part of a low-cost solutionwith reduced power and increasedreliability over existing DWDM tech-nology,” he adds. “The feasibility shows that a

200Gbps ultra-high-speed datacommunications platform for useby hyper-scale cloud data centers isnow proven,” Livingston continues.“High-capacity networks of thistype, with greater transmissionrates and a lower cost base, will

also be essential to cloud services,video-on-demand and IoT [Internetof Things] markets. It clearly showsthat the CWDM DFB lasers beingdeveloped within the SUPER 8 proj-ect are on track for full commercial-ization.” SUPER8 project funding totals

£1.1m, of which CST Global willreceive £318,039 — a joint venturebetween Cardiff University and epi-wafer foundry and substrate makerIQE plc of Cardiff, Wales, UK — andphotonic integrated circuit (PIC)-based transceiver manufacturerKaiam of Newark, CA, USA (whichhas a primary manufacturing plantin Livingston, Scotland, UK). www.compoundsemiconductorcentre.com

CST Global proves feasibility of uncooled, ridge-waveguideCWDM DFB lasers for transmitting 25Gbps

Infinera Corp of Sunnyvale, CA, USA, avertically integrated manufacturer ofdigital optical network systems incor-porating its own indium phosphide-based photonic integrated circuits(PICs), has added to its family ofInfinite Capacity Engines by unveilingICE5, which is claimed to be the first2.4 terabit per second optical engine. ICE5 is targeted at internet con-

tent providers (ICPs) scaling con-nections between data centers andcommunications service providers(CSPs) planning fiber-deep archi-tectures including distributed accessarchitecture (DAA) and 5G mobilebackhaul. Optical engines play akey role in maximizing both thetechnical and economic perform-ance of optical network systems.Infinera say that it is building onthe ICE4 optical engine in metro,long-haul and subsea applicationsto introduce ICE5 and demonstratean increasing cadence toward ICE6. Gartner’s forecast for cloud com-

puting anticipates a compoundannual growth rate (CAGR) of 19%through 2020 and the EricssonMobility Report expects total mobiledata traffic to rise at a CAGR of

42% through 2022, acceleratingdemand for optical network capacityat ICPs and CSPs worldwide. ICE5 builds on ICE4’s optical per-

formance and economics by integ-rating Infinera’s fifth-generationphotonic integrated circuit with aFlexCoherent digital signal processor(DSP) and fine-grain software controlto deliver 100–600Gb/s per wave-length in a 2.4Tb/s optical engine.ICE5 is claimed to unlock unprece-dented capacity, reach, spectraland power efficiency, designed forover 40Tb/s on a single fiber within afraction of a data-center rack,increasing capacity by up to 65%over currently deployed networkswhile reducing power by 60%. Infinera Instant Network enables

software automation of ICE-basedplatforms, allowing users to pay forcapacity as they need it, matchingexpense to revenue, increasingnetwork agility, and lowering totalcost of ownership. Over 70 customers(including the top three subseacustomers and more than 60% ofdata-center interconnect customers)rely on Infinera Instant Network toscale capacity on demand.

“Cloud and fiber-deep architec-tures will accelerate the demand foroptical network capacity,” commentsJimmy Yu, market analyst firm Dell’Oro Group’s VP of OpticalTransport and Mobile Backhaul.“This means future optical DWDMsystems will have to deliver highersingle-wavelength speeds soonerand be agile enough to be used inmetro as well as long-haul environ-ments. Infinera’s plan for ICE5 fitswell with our five-year projectionthat DWDM demand will growfaster in metro access and aggre-gation locations due to data-centerinterconnect, 5G backhaul, andfiber-deep,” he adds. “With ICE5 we are bringing our

leading-edge technologies to mar-ket faster than ever, enabling ourICP and CSP customers to respondquickly to explosive bandwidthgrowth,” says Infinera founder,chief strategy and technology officerDr Dave Welch. Infinera Intelligent Transport Net-

work platforms with ICE5 areplanned for availability in early 2019. www.infinera.com/ice5-innovation-on-fast-forward

Infinera unveils first 2.4Tb/s optical engine

Page 58: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

58

Tokyo-based Mitsubishi Electric Corphas begun shipping a laser-diodetransmitter optical subassembly(TOSA) capable of supporting400Gbps optical transmissions. In response to the demand for

increasing data capacity, MitsubishiElectric’s new laser-diode TOSAoffers 400Gbps transmission capa-bility for large-capacity communi-cation facilities (data centers, etc)and high-speed optical transmissionnetworks. Specifically, used in a set of two,

the TOSA (in a 6.5mm x 25.0mm x5.4mm package) is claimed to be thefirst electroabsorption modulatedlaser diode (EML)-TOSA solution forIEEE 400GBASE-LR8 applications— compliant with common specifi-cations for CFP8 optical transceivers

— via multiple transmission of eightwavelengths at 50Gbps/wavelengthachieved with 4-level pulse-amplitudemodulation (PAM4). Due to the EML chip having a

high extinction ratio (6dB typical)and high operating power (2Wmaximum), the compact integrated

400Gbps EML-TOSA is capable oftransmission over a reach of 10km. The two models are:

the FU-402REA-41 (for shortwavelengths) has an electroabsorp-tion modulated laser diode with anemission wavelength of 1273.54,1277.89, 1282.26 or 1286.66nm; the FU-402REA-42 (for longwavelengths) has an electroabsorp-tion modulated laser diode with anemission wavelength of 1295.56,1300.05, 1304.58 or 1309.14nm.The new devices were displayed at

the Optical Fiber CommunicationConference & Exhibition (OFC 2018)in San Diego, CA, USA (13–15March). www.ofcconference.org www.mitsubishielectric.com/semiconductors/products/opt

Mitsubishi Electric to launch compact integrated400Gbps EML-TOSA for high-speed and large-capacity fiber communications

Integrated Compound Semiconduc-tors Ltd (ICS) — a spin out fromthe University of Manchester thatdesigns and manufactures RF,sensing and optical devices — has announced the availability of anew product line of high-speedInGaAs–InAlAs PIN and avalanchephotodiode (APD) detectors. The portfolio includes three-pad

(G-S-G) and dual-pad variants for10Gb/s and 25Gb/s datacom andtelecom applications, developed ona 4” indium phosphide (InP) waferprocess platform in partnershipwith the Compound SemiconductorCentre (CSC) — a joint venturefounded in 2015 between CardiffUniversity and epiwafer foundryand substrate maker IQE plc ofCardiff, Wales, UK.

The 25G PIN platform offersproven performance of >22GHz(3dB) bandwidth, for a top-entry-illuminated design with a respon-sivity of 0.8A/W for a 20μmaperture in both single-elementand quad (4x1) arrays. The 10GAPD platform offers 7–9GHz (3dB)bandwidth, for a 30μm-aperturetop-entry-illuminated design with a responsivity of > 0.8A/W and sensitivity of –27dBm with appro-priately matched transimpedanceamplifiers (TIAs). Currently, ICS isundertaking chip-scale sampling ofdiscrete single-channel devices,and is planning to extend the portfolio to offer custom array variants for multi-channel 100G+transceiver architectures through-out 2018.

“The rapid growth of the high-speedoptical transceiver market is anexciting opportunity for the in-houseskills at ICS as the demands of the100G markets require a deepunderstanding of RF componentdesign to complement high-qualityoptoelectronic device manufacture,”says ICS’ founder professor MohamedMissous. “The relationship with CSCoffers a rapid scale to volume throughthe connection with IQE,” he adds. “ICS is one of our lead industrial

engagements and it is pleasing to seethe business model of the CSC workingto deliver real tangible benefits to thesupply chain for high-speed opticalcomponents for ultrafast fiberbroadband communication systems,”says CSC director Dr Wyn Meredith. www.icsld.com

Integrated Compound Semiconductors launches10–25G InGaAs–InAlAs PIN and APD detectors Chip-scale sampling of discrete single-channel devices to be followedby custom array variants for multi-channel 100G+ transceiversthroughout 2018

Compact integrated 400Gbps EML-TOSA FU-402REA-41 (top) andFU-402REA-42 (bottom).

Page 59: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

59

POET Technologies Inc of Toronto,Canada and San Jose, CA, USA — a designer and manufacturer ofoptoelectronic devices, includinglight sources, passive waveguidesand photonic integrated circuits(PIC) for the sensing and datacommarkets — has entered into amemorandum of understanding(MOU) for the co-development ofproducts with Accelink TechnologiesCo Ltd of Wuhan, China, a manu-facturer of optical components andsubsystems for the datacom, tele-com and network access markets.As ‘preferred co-development part-ners’ the MOU outlines a path formutual cooperation with the aim ofdeveloping, qualifying and selling afamily of transceivers based onPOET’s low-cost, high-performanceOptical Interposer Platform. More specifically, the MOU is tar-

geted at rapidly commercializing aseries of multi-channel (100/400G)

transmit and receive devices for the datacom markets and low-costsingle-channel (10/25G) productsfor telecom applications. POET willprovide engineering samples of itsoptical engines to Accelink on apreferred basis for internal testingand initial qualification. “As one of the largest suppliers of

transceivers both in China andglobally, Accelink is an ideal initialpartner for POET to commercializeits optical interposer platform and torapidly bring products to market,”comments POET’s CEO Dr SureshVenkatesan. “We are thrilled to beengaged in this effort with a forward-looking partner with leading productsand solutions in the highest growthsegments of both datacom andtelecom. This is a watershed eventfor POET as we now have a clearpath to commercialization of ourOptical Interposer Platform technologywith an industry leader,” he adds.

“POET’s Optical Interposer Plat-form is a step-change advance-ment compared to otherapproaches in gaining lower cost ofintegration and offering a superiormethod to scale-up to the higherdata rates demanded by our cus-tomers,” comments Dr Ben Chen,technical director of Accelink’s Datacom & Access Product Depart-ment. “Upon successful qualification,we believe we will have an opportu-nity to gain rapid penetration intokey customers and markets, basedon both cost and performanceadvantages.” Except for the confidentiality and

intellectual property provisions, theterms and conditions outlined inthe MOU are non-binding, andeither party maintains the right todiscontinue its cooperative partici-pation without notice. www.accelink.com www.poet-technologies.com

POET and Accelink to co-develop transceiversfor 100/400G markets Low-cost transceivers based on POET’s Optical Interposer Platform

POET has appointed Peter Char-bonneau to its board of directors,as well as to its audit committee. Charbonneau was general partner

at Skypoint Capital Corp for almost15 years, jointly responsible for theplacement of $100m of capital inearly-stage telecom and datacomcompanies. Prior to Skypoint, heheld executive and operationalroles at networking companiesincluding March Networks andNewbridge Networks, where hewas president and chief operatingofficer. In 2000, representing SirTerence Matthews, he facilitatedthe purchase of the communica-tions business systems division ofMitel Corp, which has since operatedas Mitel Networks. “Peter is an excellent addition

to POET’s board with his uniquecombination of experience in ven-

ture capital and networking infra-structure,” comments executivechairman David Lazovsky. “Hebrings expertise from both thetelecom and datacom industries,spanning early-stage companies tobillion-dollar enterprises,” he adds.“Peter is joining POET at a pivotalperiod in the company’s growthand development, as we increasethe number of engagements withpotential strategic partners andcustomers… Peter’s experienceand extensive network of contactswill allow him to contribute mean-ingfully toward our continuedprogress on the commercializationof POET’s integrated photonicstechnology.” Charbonneau currently serves on

the board of directors at Mitel Net-works (a provider of cloud and on-site business communications

and collaboration solutions) andTeradici Corp (the creator of PCoIPprotocol technology and CloudAccess Software). He previouslyserved as chairman of the board oftrustees for the CBC Pension Boardand a director on the board of theCanadian Broadcasting Corpora-tion as well as many technologyand networking companies, includ-ing March Networks Corp, TELUSCorp, BreconRidge ManufacturingSolutions and Dragonwave Inc. Charbonneau has a B.Sc. from

the University of Ottawa and aMaster of Business Administrationfrom the University of WesternOntario. He is also a member andelected Fellow of the Institute ofChartered Professional Accountantsof Ontario and has received theICD.D designation from Instituteof Corporate Directors of Canada.

POET appoints Charbonneau to board and audit committee

Page 60: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

60

At the Optical Networking andCommunication Conference andExhibition (OFC 2018) in San Diego(11–15 March), Molex, Cisco,Juniper Networks and other multi-source agreement (MSA) groupmembers released a white paperfocused on the Quad Form FactorPluggable Double Density (QSFP-DD). In total, 62 firms are supporting

the QSFP-DD MSA to address theneed for a high-density, high-speednetworking solution. Established inMarch 2016, the QSFP-DD MSA groupaccepted the challenge to meetmarket demand for a next-generationhigh-density, high-speed pluggablebackwards-compatible moduleform factor, and has succeeded inreleasing a 3.0 Hardware specificationwith broad market support thatovercomes the technical challengesof specifying a QSFP28-compatibledouble-density interface.

The white paper addresses how thethermal performance of the QSFP-DDmodule is evaluated for use in a high-performance data-center environ-ment. Thermal test data is presentedand analyzed showing temperaturerise versus air flow. Feasibility of15W QDFP-DD modules is shown. “Building and sustaining the

pipeline of interoperable intercon-nect solutions is absolutely criticalto support advances in transceivermodules, switch technologies andservers,” says Molex’s group product manager Scott Sommers.“Through QSFP-DD strategic collab-orations, we have provided theexpertise needed within the industryto meet the rising demands for high-speed network solutions,” he adds. “Cisco has always had confidence

in QSFP-DD being the right choicefor broad industry adoption as themarket moves to 400GbE. This

white paper shows that any con-cerns with a system vendor’s abilityto effectively integrate and coolthese modules into their network-ing products is overblown,” believesMark Nowell, distinguished engineer,Cisco Data Center Switching. “The QSFP-DD form factor with

system cooling support of 15W ofdissipated power for data-centerapplications is direct leverage ofindustry knowledge gained overmany generations of adoption ofform factors such as those definedby the SFF Committee and the CFPMSA along with innovation in systemair-flow characteristics,” says JefferyMaki, distinguished engineer II, atJuniper. “Thermal management isseen primarily as a system respon-sibility, which leaves open opportu-nity for even further innovationover system generation,” he adds. www.qsfp-dd.com

QSFP-DD MSA releases QSFP-DD thermal white paper

High-speed fiber-optic interconnectfirm Molex Inc of Lisle, IL, USA hasjoined with Silicon Valley-basedInnovium (a provider of switchingsilicon for data centers) to create asolution for customers migrating toQSFP-DD (Quad Small Form Factor– Double Density) 400G, showcasedat the 2018 Open Compute Project(OCP) US Summit in San Jose, CA(20–21 March). Molex recently launched its QSFP-

DD Interconnect System and CableAssemblies — designed to meet orexceed Ethernet, Fibre Channel andInfiniBand port-density require-ments in high-speed enterprise,telecom and data networkingequipment — to meet the risingdemand for 100, 200 and 400Gbpsnetworking solutions. Bringingtogether that system with Innovium’sTERALYNX switch chip means betterperformance and operational effi-ciencies for data-center customers. “By leveraging the combined

capabilities of Innovium’s TERALYNX12.8Tbps switch chips and Molex

QSFP-DD interconnect technology,we enable state-of-the-art next-generation data-center topologies,”says Molex’s group product managerScott Sommers. What is claimed to be the smallest

400Gbps Ethernet module providingthe highest port bandwidth density,Molex’s QSFP-DD form factor fea-tures an 8-lane electrical interfacethat transmits up to 28Gbps NRZ(non-return-to-zero) or 56Gbps PAM-4(4-level pulse amplitude modulation)for an aggregate bandwidth of up to200Gbps NRZ or 400Gbps PAM-4,with an upgrade path toward 800GbpsPAM-4 using 112Gbps PAM-4. Molex’sQSFP-DD pluggable modules andconnectors, cages and cables arebackward compatible with existingQSFP+ interconnects for functional-ity across a wide variety of legacyand next-generation technologiesand applications. “Innovium’s TERALYNX was

developed using innovative designtechniques based on a ground-uparchitecture, delivering to data-

center customers the highest-performance network switch siliconwith superior buffering, latency andprogrammability,” says AmitSanyal, Innovium’s VP of productmanagement and marketing. The TERALYNX product line delivers

what is claimed to be the fastestand most scalable Ethernet switchsilicon family with leading analytics,programmability, and power effi-ciency. TERALYNX is also claimed tobe the first switch that achieves12.8Tbps performance in a singlechip, while delivering robust tunneling, large buffers, line-rateprogrammability, best-in-class low-latency and breakthroughtelemetry, resulting in a 6x advan-tage compared with alternatives. It includes broad support for10/25/40/50/100/200/400GbEEthernet standards that can be flexibly configured to deliver 128 portsof 100GbE, 64 ports of 200GbE or32 ports of 400GbE in a single device. www.molex.com/opticalsolutions www.innovium.com

Molex & Innovium debut scalable QSFP-DD solution

Page 61: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

61

Molex Ventures LLC, a subsidiary ofMolex Electronic Technologies LLCof Lisle, IL, USA (which makes electronic components includingconnectors, cable assemblies,antennas, optical solutions,printed-circuit products, automationand lighting products), hasannounced a strategic investmentand collaboration between theMolex Optical Solutions Group (OSG)and New York-based Elenion Tech-nologies LLC (which designs siliconphotonics-based system-on-chipsolutions for high-bandwidth com-munication and networking appli-cations). The firms aim to jointlydevelop, manufacture and promote

silicon photonic-based products.Leveraging CMOS and high-volumemanufacturing processes, the firmswill collaborate to developadvanced interconnect solutionsthat scale to 400Gbps and beyond. “High-speed silicon photonic-based

technologies represent a cost-disruptive solution to bandwidthscalability needs in hyperscale data centers in the high-growthoptoelectronics sector,” says MolexVentures’ vice president Lily Yeung.“This investment and collaborationcombines Elenion’s key strength inadvanced silicon photonics coretechnology with Molex core capabil-ities in complex product integration,

efficient manufacturing, and globalsales and marketing,” he adds. “Collaborating with Molex will sig-

nificantly accelerate production anddeployments of our silicon photonictechnology serving global customersthat demand an ever-increasingpace of innovation for high-speedoptical communication solutions,”believes Elenion’s CEO Larry Schw-erin. “We now have a great allianceto fulfill our vision to be an industryleader in driving silicon photonicsfor a broad range of applications inhigh-bandwidth data networks.” www.elenion.com www.molex.com/opticalsolutions www.molex-ventures.com

Phononic of Durham, NC, USA(which provides solid-state thermo-electric cooling solutions) hasunveiled its proprietary non-hermeticcompatible thermoelectric cooler(TEC) platform designed to providehigh reliability and cooling perform-ance, coupled with lower powerconsumption, for laser packaging.Building on its expertise in preci-sion-cooled, solid-state refrigera-tion and freezing technologies,Phononic says that the advancebrings to market a solution to meetboth performance and reliabilitytargets for sub-components withintelecom and data center appli-cations. Since most optical components

manufacturers have experience indesigning either cooled laser packages or non-hermetic laserpackages, Phononic notes that it hasexperience in both of these areas,with its cooled, non-hermetic laserpackage design creating a pathwayto large reductions in packagecosts. “Optical component and module

suppliers are under pressure toboth rapidly innovate optical com-munications technology and, at thesame time, meet aggressive pricepoints to keep up with competi-tion,” comments Vladimir Kozlov,founder & CEO of optical communi-cations market research companyLightCounting. “These trends haveled to increased demand for morecost-effective sub-components,” headds. “Laser performance can be signifi-

cantly hindered by environmentalchallenges inside laser packages,”says Phononic’s general manager &VP of sales Kevin Granucci.“Humidity, condensation, corrosionand even ice formation are allpotential impediments that candegrade laser slope efficiency orincrease coupling losses, whichreduce data transmission rate, reachand the usable lifetime of a TOSA[transmitter optical subassembly].Hermetic packaging to safeguardagainst these conditions has historically been challenging andexpensive to implement,” he adds.

“Non-hermetic laser packaging thatnot only overcomes reliability andcost obstacles, but is cooled aswell, could greatly advance today’soptical communications technology,further accelerating the global transition to wireless 5G networks.” The new non-hermetic TEC platform

can be applied to a wide range ofTEC designs, and Phononic encour-aged customers to work with it tocreate application-specific solutions.The firm’s technical team offersthermal design experience to facilitate rapid deployment of anon-hermetic laser package that isinsulated from the performanceand reliability risks of high humidityand heat. Combined with Phononic’splatform, this support enables customers to avoid possible pitfallsearly in the design cycle and optimizeperformance in even the most challenging of environments, with-out increasing power consumptionor compromising performance forcost, says the firm. www.phononic.com/optoelectronics www.ofcconference.org

Molex Ventures invests in Elenion to jointly develop,manufacture and promote silicon photonics products Collaboration to develop interconnects scaling to 400Gbps & beyond

Phononic launches non-hermetic compatiblethermoelectric cooler platform for laser packages

Page 62: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

62

Fabless silicon photonics firm Luxteraof Carlsbad, CA, USA says significantperformance gains have beenachieved in the new through-siliconvia (TSV)-enabled silicon photonicsplatform in development at TaiwanSemiconductor Manufacturing Cor-poration (TMSC, the world’s biggestsemiconductor wafer foundry). Announced last year, Luxtera and

TSMC have jointly developed aunique silicon photonics platform inTSMC’s 300mm CMOS wafer foundry.Leveraging TSMC’s process capabil-ities, Luxtera’s new device libraryhas demonstrated the key perform-ance parameters needed to lead theindustry in speed, power, density,cost and system-on-chip (SoC)integration, it is claimed. Luxtera says it has now demon-

strated multiple record-breakingelements for silicon photonic integ-rated circuits (PICs). These elementsare scalable to high-volume manu-facturing and operate at 1310nm andall standard CWDM wavelengths.Record performance has been

achieved for over 50 new devicelibrary elements, including low-lossgrating couplers with losses under1dB for light coupled in and out ofthe PIC, low-loss waveguides, ahigh-efficiency PM phase modulatorwith 3dB bandwidth >50GHz thatcan be built in various systemarchitectures (traveling-wave MZI,segmented MZI, and rings to sup-ports NRZ, PAM, QAM), and germa-nium waveguide photodetectorswith responsivity over 1A/W and3dB bandwidth higher than 45GHz. These devices are fabricated using

TSMC’s process technology on a300mm silicon-on-insulator (SOI)wafer optimized for O-band operation.The PICs will then be integratedwith Luxtera’s internally developedcompanion CMOS ICs, which will befabricated in TSMC’s 7nm process.Luxtera’s designs include trans-impedance amplifiers (TIAs), clock & data recovery (CDR) ICs,Mach–Zehnder interferometer(MZI) modulator drivers and digitalsignal processors (DSPs) that

achieve high levels of performanceand power efficiency. Luxtera saysthat these advances are crucial inproviding a differentiated portfolioof high-performance optical transceiver products, starting withnext-generation PAM-4 100G/λsingle-wavelength and multi-wavelength transceivers (whichbegin shipping in 2019). Luxtera’slatest innovations were on displayat the Optical Networking andCommunication Conference & Exhibition (OFC 2018) in San Diego(13–15 March). “TSMC’s industry-leading manu-

facturing capability, coupled withLuxtera’s world-class silicon pho-tonics design, together provide thehighest-performance and lowest-cost optical transceivers availableto our hyperscale, cloud, enterpriseand 5G mobile infrastructure cus-tomers,” claims Luxtera’s president& CEO Greg Young. www.luxtera.com www.tsmc.com www.ofcconference.org

Luxtera achieves record optical performance withnew TSV-enabled silicon photonics platform at TSMC

Mellanox Technologies Ltd of Sunnyvale, CA, USA and Yokneam,Israel (a supplier of end-to-endInfiniBand and Ethernet interconnectsolutions for data-center serversand storage systems) says that itsvolume shipments of LinkX opticaltransceivers, active optical cables(AOCs) and direct attach coppercables (DACs) have surpassed 1million 100Gb/s QSFP28 ports. Already offering what is claimed

to be one of the industry’s largestportfolios of interconnect products,Mellanox expanded its 100Gb/sLinkX product family to include: a DynamiX QSFP-to-SFP port adapter;100Gb/s active optical splitter cables;and new optical transceivers for1310nm PSM4, CWDM4 and LR4.

“Our early 100Gb/s sales weredriven by US-based hyperscalecompanies who were the first todeploy 100G Ethernet,” says AmirPrescher, senior VP of businessdevelopment & general manager ofthe interconnect business. “Now,China Web 2.0, Cloud computingnetworks, and OEMs worldwide aremoving to 100G. Customers selectus because of our high-speed experi-ence, our capacity to ship in volume,and the quality of our products,” headds. “We see rapid growth in the 100Gb/s

optical transceiver market continuingthrough at least 2021,” commentsDale Murray, principal analyst atLightCounting Market Research.“400Gb/s networks are coming,

but there is plenty of headroom for100Gb/s products until the deploy-ment of end-to-end 400G Ethernet.” Mellanox exhibited its new 100G

and 200G/400G LinkX products atMarch’s Optical Networking andCommunication Conference & Exhibition (OFC 2018) in San Diego. The booth also featured demos of

its new LinkX products including: 200Gb/s in QSFP56 form factor; 400Gb/s in OSFP and QSFP-DDform factors; a full line of 100Gb/s transceiversfor hyperscale and data-center use; LinkX 25G/50G/100Gb/s DAC andAOC cables and 100G SR4, PSM4,CWDM4 and LR4 transceivers. www.mellanox.com/products/interconnect

Mellanox surpasses 1 million 100Gb/s ports with LinkX optical transceivers and cables

Page 63: Nitrides for visible light communications Nitrides for visible light communications

co create

let’s

Working together to create ground-breaking chemistryWhen you need to innovate for sustainable development of next-generation technologies, let’s work together to co-create solutions that give you a competitive edge. At Umicore, we offer a collaborative approach to research, development and commercial manufacturing for your complex organometallic chemistry and catalysis needs.

Together with our customers we create and deliver ground-breaking science to pioneer sustainable technologies across many industries, including automotive, electronics, chemistry and pharmaceuticals.

Let’s co-create to make a difference l pmc.umicore.com

Page 64: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

64

Specialty foundry TowerJazz (which has fabrication plants atTower Semiconductor Ltd in Migdal Haemek, Israel, and at itsUS subsidiaries Jazz SemiconductorInc in Newport Beach, CA and TowerJazz Texas Inc in San Antonio,TX, and at TowerJazz Japan Ltd)has announced the first open silicon photonics (SiPho) manufac-turing process (PH18MA) offered by a commercial foundry, with adesign platform targeting opticalnetworking and data-center inter-connect applications. TowerJazz says that its ‘open’

platform is offered to all siliconphotonics customers, unlike other‘closed’ processes only offered tocertain customers or restricted tolow-volume prototyping. The firm’sSiPho process complements its silicon germanium (SiGe) BiCMOSprocesses, which are currentlyqualified in two of its worldwidefabrication facilities, providingmanufacturing assurance and flexibility. The overall silicon photonics

market will rise at a compoundannual growth rate (CAGR) of over 20% to 2023, according to areport from MarketsandMarkets. Silicon photonics holds the potentialto become a cost-effective, scalabletechnology for the production ofelectro-optical integrated circuitsand transceivers for data centersand high-performance computing,telecoms, military, defense andaerospace applications, it is reck-oned. TowerJazz says that its SiPho process addresses the high-performance requirements of theseapplications, such as reduction inpower consumption, high bandwidthand high data transfer capabilities. TowerJazz and silicon photonics

electronic design automation (EDA)software providers Cadence, Synopsys and Lumerical have col-

laborated to develop design enable-ment for this platform. TowerJazz’selectronic photonic design automation(EPDA) process design kit (PDK)provides an integrated designenvironment through the CadenceVirtuoso platform, enabling fasttime to market. TowerJazz saysthat it brings specialty foundryexperience and decades of elec-tronic design enablement, whileCadence provides photonicschematic capture, layout and cir-cuit simulation, Synopsys suppliesthe photonic integrated circuit (PIC)layout synthesis capability, andLumerical is the industry leader inphotonic simulation. “Closely coupled to TowerJazz’s

SiPho process, the widely adoptedCadence Virtuoso custom IC designplatform gives customers familiartools to more easily develop andimplement integrated photonicssystems,” says Glen Clark, corpo-rate VP, R&D, Custom IC & PCBGroup at Cadence. “Designers nowhave access to a bi-directional,integrated design flow spanningfrom co-simulation with Lumericalto layout generation that is builtaround a golden electro-opticalschematic in the advanced Virtuosoplatform,” headds. “Withthe Virtuosoplatform’sintegrationinto ourpackagingand systemanalysistools, cus-tomers cannow accessan entire sili-con designand analysisenvironmentfor electronicand photonic

design, enabling more predictabledesign cycles.” The PDK includes several flavors of

components needed for silicon pho-tonics design such as single-modesilicon waveguides, high-speed germanium photodetectors, p–njunction modulators, and enable-ment for edge and grating couplers. To ensure robust design manufac-

turability, Synopsys’ PhoeniX Soft-ware OptoDesigner uses photonicsynthesis to map design intent intoa design-rule clean circuit layouttargeted to the TowerJazz SiPhoprocess. “Designers can use ourintegrated photonic simulators tooptimize their designs and layout tomeet their performance require-ments and minimize time from concept to tape-out,” says NiekNijenhuis, global business develop-ment manager of PhoeniX Softwaretools at Synopsys. “We have worked closely with

TowerJazz’s industry leadingPH18MA process and deviceexperts to provide customers withunmatched simulation capabilityusing Lumerical’s photonic integratedcircuit simulator INTERCONNECT,”says Lumerical’s chief technical officerDr James Pond. “In addition tostandalone PIC simulation, thecompact model library (CML) wedeveloped for TowerJazz enablesthe industry’s most advanced co-simulation framework for electrical/optical circuits usingINTERCONNECT and Spectre AMSDesigner, all driven from within theVirtuoso platform,” he adds. A demo of the TowerJazz SiPho

design enablement platform tookplace in both the TowerJazz andCadence booths at the Optical Networking and CommunicationConference & Exhibition (OFC 2018)in San Diego (13–15 March). www.towerjazz.com www.ofcconference.org

TowerJazz announces first open foundry silicon photonics design kits with EDA tool support Collaboration with Cadence, Synopsys and Lumerical enablesplatform for design of silicon photonics ICs for optical transceivers

The firm’s SiPho processcomplements itsSiGe BiCMOSprocesses, whichare currentlyqualified in twoof its worldwidefabricationfacilities,providingmanufacturingassurance andflexibility

Page 65: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

65

GlobalFoundries of Santa Clara, CA,USA (one of the world’s largestsemiconductor foundries, withoperations in Singapore, Germanyand the USA) has revealed newdetails of its silicon photonicsroadmap to enable the next gener-ation of optical interconnects fordata-center and cloud applications.The firm has now qualified what issaid to be the first 90nm manufac-turing process using 300mm wafers,while also unveiling its upcoming45nm technology to deliver greaterbandwidth and energy efficiency. GlobalFoundries’ silicon photonics

technologies are designed to support the massive growth in data transmitted across globalcommunication infrastructure. “The explosive need for bandwidth

is fueling demand for a new gener-ation of optical interconnects,” saysMike Cadigan, senior VP of salesand ASIC business unit. “Our siliconphotonics technologies enable customers to deliver unprecedentedlevels of connectivity for transfer-ring massive amounts of data,whether it’s between chips inside adata center or across cloud servers

separated by hundreds and eventhousands of miles,” he adds.“Combined with our advanced ASICand packaging capabilities, thesetechnologies allow us to deliver highlydifferentiated solutions to this market.” GlobalFoundries’ silicon photonics

technologies enable the integrationof optical components side-by-sidewith electrical circuits on a singlesilicon chip. This monolithicapproach leverages standard siliconmanufacturing techniques toimprove production efficiency andreduce cost for deploying opticalinterconnect systems. GlobalFoundries’ current-genera-

tion silicon photonics offering is builton its 90nm RF silicon-on-insulator(SOI) process, which leverages itsexperience in manufacturing high-performance radio-frequency chips.The platform can enable solutionsthat provide 30GHz of bandwidth tosupport client-side data rates of upto 800Gbps, as well as long-reachcapabilities of up to 120km. Previously manufactured using

200mm wafer processing, the tech-nology has now been qualified onlarger-diameter 300mm wafers at

GlobalFoundries’ Fab 10 facility inEast Fishkill, NY. The migration to300mm enables more customercapacity, greater manufacturingproductivity, and up to a 2x reductionin photonic losses to improve reachand enable more efficient opticalsystems. The 90nm technology is supported

by a full process design kit (PDK)for E/O/E co-design, polarization,temperature and wavelength parametrics from Cadence DesignSystems, as well as differentiatedphotonic test capabilities includingfive test sectors from technologyverification and modeling to multi-chip module (MCM) product test. GlobalFoundries’ next-generation

monolithic silicon photonics offeringwill be manufactured on its 45nmRF SOI process, with productionslated for 2019. By leveraging themore advanced 45nm node, thetechnology will enable reducedpower, smaller form factor and significantly higher-bandwidth optical transceiver products toaddress next-generation terabitapplications. www.globalfoundries.com

GlobalFoundries extends silicon photonics roadmapto meet demand for data-center connectivity First 90nm process on 300mm wafers qualified, and 45nm process scheduled for production in 2019

NeoPhotonics Corp of San Jose, CA,USA (a vertically integrated designerand manufacturer of hybrid photonic integrated optoelectronicmodules and subsystems for high-speed communications networks)has appointed Yang Chiah Yee assenior VP of global sales, succeedingBen Sitler. Yee has more than 25 years of

experience in the semiconductorindustry, including 15 years in seniorsales management roles, mostrecently as senior VP of worldwidesales at IDEX ASA. Previously, he wasVP of worldwide sales at Atmel Corp,

VP of APAC sales at Xilinx, andpresident of APAC at Memec Corp.Yee received a Bachelor of Electrical Engineering degree fromNanyang Technological Institute,National University of Singapore,and he is a graduate of the Stanford–NUS Executive program. “Yang Chiah’s extensive experience

in the semiconductor industry willdirectly benefit bringing our opticalsemiconductor device, componentand module solutions to theexpanding high-speed market andwill allow us to serve both existingand new customers within the

changing commercial structure ofour industry,” comments chairman& CEO Tim Jenks. “The accelerating demand for

connectivity is creating new oppor-tunities for photonic integrationwith both traditional communica-tions equipment companies andnew mega-data content providers,”comments Yee. “I look forward tousing my experience in the semi-conductor industry to bringNeoPhotonics’ innovative opticaland semiconductor solutions toboth existing and new markets.” www.neophotonics.com

NeoPhotonics appoints senior VP of global sales

Page 66: Nitrides for visible light communications Nitrides for visible light communications

For full-year 2017, NeoPhotonicsCorp of San Jose, CA, USA (a vertically integrated designerand manufacturer of hybrid photonic integrated optoelectronicmodules and subsystems for high-speed communications networks)has reported revenue of $292.9m,down 28.8% on 2016’s $411.4m.This was driven by delays in Chinatenders, an inventory overhangafter a strong 2016, and the sale ofthe firm’s Low Speed TransceiverProduct assets in January 2017 (toAPAT Optoelectronics ComponentsCo Ltd of Shenzhen, China).Excluding Low Speed TransceiverProducts (which contributed$63.6m, or 15% of total revenue,in 2016), revenue fell by 16%. High Speed Products (for 100G-and-beyond) comprised 83% of revenuein 2017 (up from 67% in 2016),with Networking Products & Solutionscomprising the other 17%. Of total revenue, 55% came from

China and 20% from the Americas. For fourth-quarter 2017, revenue

was $76.9m, down 30% on$109.8m a year ago (or down20.7% on $97m, excluding Low Speed Transceiver Products)but rebounding by 8% from$71.1m last quarter (and above the$69–74m guidance range). Sales ofHigh Speed Products comprised84% of total revenue. “Driving this growth was a combi-

nation of increasing demand fromour Chinese customers [up 9% onlast quarter], and stable demand inthe other regions we serve,” sayschairman & CEO Tim Jenks. Of total Q4 revenue, 57% came

from China (down from 65% a yearago but level with last quarter) and21% came from the Americas(down from 23% last quarter). China’s Huawei Technologies

(including affiliate HiSilicon Tech-nologies) was again the largestcustomer, at 42% of total revenue

(still down from 53% a year ago,but rebounding further from 39%last quarter). The next four biggestcustomers represented 41% oftotal revenue (including US-basedCiena at 19%, up from 14% lastquarter). For full-year 2017,Huawei comprised 40% of totalrevenue (down from 50% in 2016)and the next four contributed 39%. “In early 2017 the industry was

made aware of a substantial inven-tory overhang of optical and semi-conductor components in theChinese Telecom OEM supply chainand, as a result, much of thereduced demand we experiencedthroughout 2017 was a result ofour customers working through thisinventory,” says Jenks. “In the fourthquarter we saw customer inventorylevels reduce to the 3–4 week tar-get range set by our customers —down from several months ofinventory in mid-2017,” he adds. Consequently, aided also by

reducing factory loading, during Q4NeoPhotonics’ net inventory wascut by more than $15m, from$82.8m to $67m, from 123 days to99 days of inventory on hand. On a non-GAAP basis, full-year

gross margin has fallen from29.9% in 2016 to 22.5% in 2017.Quarterly gross margin was 21.3%in Q4, down from 29.9% a yearago but recovering from a low of18.6% last quarter. This was aidedby lower inventory write-offs butpartially offset by the initial impactof annual price negotiations pluslower-than-expected output fromthe firm’s Japan fab, where fullqualification and integration of newequipment for laser manufacturinglines has taken longer than expected:“While the main causes of this havebeen addressed and remediated,an approximately $3m adverseimpact will carry over into Q1,”notes senior VP & chief financialofficer Elizabeth Eby.

Although full-year operatingexpense (OpEx) rose from $96.1min 2016 to $103.2m in 2017, quarterly OpEx has been cut from$24.7m (34.7% of revenue) in Q3to $24.1m (31.3% of revenue) inQ4. However, excluding one-timecharges (officer severance costsand a bad debt write-off of $0.5m),OpEx has been cut by $1.5m. “Our restructuring announced in Q3[involving a staff reduction, real-estate consolidation, an inventorywrite-down for certain programs,and a write-down of idle assets] iscomplete, with savings in Q4 of $1.5min operating expenses and $0.4m incost of goods sold,” notes Eby. Compared with net income of

$6.3m ($0.13 per diluted share) ayear ago, net loss has increasedfrom $10.9m ($0.25 per dilutedshare) last quarter to $11.7m($0.27 per diluted share, worsethan the expected $0.23–0.13).However, this was driven by a one-time tax impact of $3.4m ($0.08per share) related mainly torestructuring actions at the firm’sChina subsidiary. Despite this, netloss remained 15.3% of revenuefrom Q3 to Q4. Full-year net loss of$39.9m ($0.92 per diluted share)in 2017 compares with net incomeof $23m ($0.50 per diluted share)in 2016. Cash provided by operations was

$8m. Capital expenditure (CapEx)was $6m (down from $7m lastquarter, and much less than theexpected $10m). Free cash flow washence $2.5m. During the quarter,cash and cash equivalents, short-term investments and restrictedcash rose from $73.7m to $93.9m.NeoPhotonics completed the

annual renewal of its credit agree-ment with China’s CITIC Bank forRMB250m ($40m). After the end ofthe quarter, the firm repaid $17mdrawn on the expired CITIC Bankcredit line and borrowed $17m on

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

66

NeoPhotonics reports revenue up 8% in Q4 to $76.9m Chinese customer inventories back down to normal, driving volumes,but price reduction to delay revenue growth and profit until second-half 2018

Page 67: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

67

the new credit line. NeoPhotonicshas also entered into a new seven-year loan agreement with Japan’sMitsubishi Bank for ¥850m ($8m).Part of this was used to repay theprevious ¥500m ($5m) long-termloan.“Specific to China, we believe

customer inventory levels havenormalized for our products,” saysJenks. “More importantly, [in Q4]we began to see them pull a largervolume of our products, and thusfar in Q1/2018 we have seen thistrend continue… Despite typicalseasonality in the first quarter, wesee Q1 volumes increasing modestlyover Q4 levels,” he adds. “The elim-ination of the inventory overhangat our customers is a meaningfulfirst step to growth in 2018.” “With input from both China

customers and carriers, we believethis near-term increase in demand isa step up to specific customer ship-ment volumes and is not yet a firmindication of increasing end-marketdemand from either additionaldomestic provincial tenders or insupport of initial 5G trials,” saysJenks. “The expectation from ourcustomers is that both of theseend-market growth drivers willmaterialize to some degree later in2018 [with second-half 2018 beingmuch stronger than first-half 2018].” “Outside of these China-specific

dynamics, in the fourth quarter wesaw modest increases with strongtraction in our mid- and long-termgrowth drivers,” says Jenks. “Weare seeing design-win strength inour new 400G and 600G productofferings across all three of ourleading components, including ourultranarrow-linewidth tunable laser,400G and 600G micro coherentdriver-modulator and coherentreceiver, as well as with our CFP-DCO [digital coherent optics] andmulti-cast switch modules. In thefourth quarter we began shippingcoherent DCO modules to initialcustomers and we expect volumeswill grow through 2018 from a lowstarting base. We see strengthen-ing demand for our multi-castswitch platform and we have

increased our shipment rateaccordingly,” he adds. “The adoption of 400G and 600G

data rates in data-center intercon-nect (DCI) and metro networks,initially in North America and sub-sequently in Europe and China, willprovide accelerating demand forour next-generation products. Webelieve we are very well positionedfor this next-generation growthdriver with the introduction of our400G and 600G product suite, new1.2T applications and the growth ofcontentionless networks,” saysJenks. “As China continues to buildout national backbone, provincialand metro networks later in theyear, advance in data-centerdeployments and prepare for 5Gwireless, we expect continuinggrowth in this key market. How-ever, in the first half of 2018, thereis uncertainty around when thenext tenders or deployments withinChina may occur,” he cautions. In first-quarter 2018, volumes are

expected to increase modestly asinventory levels return to normal.But Q1 is typically NeoPhotonics’seasonally lowest quarter due toannual price negotiations and theimpact of Chinese New Year. “Givenindustry oversupply, price reduc-tions were toward the high end ofthe historical range of 10–15%,”notes Eby.“As typicallyoccurs, theseprice reduc-tions beganto take effectin the fourthquarter withthe fullimpactoccurring inthe firstquarter fol-lowed by costreductionsthroughoutthe year tomitigate theimpact ongross mar-gin,” sheadds.

As a consequence, for first-quarter2018 (with higher volumes butlower prices) NeoPhotonics expectsdrops in revenue to $67–73m and in gross margin to 16–20%(impacted by the $3m lower outputfrom the Japan fab). However,aided by the increased volumes,NeoPhotonics’ inventory should bereduced further, from 99 days to 90 days on hand, and under-absorption of overheads should berelatively low. OpEx should be cutslightly to $23–24m, helping to holdnet loss per share to $0.32–0.22. For full-year 2018, NeoPhotonics

sees 100G port count in China risingby 10% or more, so increased vol-umes will outweigh price reductions(which are mitigated through theyear), leading to China revenuebeing flat to slightly up. Overall(globally), the increase in portcount will be offset by price reduc-tions, leading to flat revenue on thebasis of the same product mix.However, since some of NeoPho-tonics’ new products are generatingrevenue now, total revenue shouldgrow for full-year 2018. “We are focused on cash, cash

flow and a return to profitability,”says Eby. “Operating expensereductions are complete, we havemade good progress reducinginventory, and we believe that ourcustomer’s inventories havereached normal levels,” she adds.“As we complete amortization ofunder-absorption charges, weremain committed to reachingbreakeven with revenues in themid-80s [of millions of dollars] andwill continue actions to furtherreduce our breakeven point.” “While continuing uncertainty

around the timing of provincial and5G trial tenders within China mayovershadow growth from our newproducts in the short term, webelieve the mid- and long-termmarket drivers for our business arecompelling,” says Jenks. “Thesedrivers, complemented by our suc-cess in new product introductions,will help us drive top-line growth in2018 and to second-half profitability.” www.neophotonics.com

As Chinacontinues tobuild outnationalbackbone,provincial andmetro networkslater in the year,advance in data-centerdeploymentsand prepare for5G wireless, we expectcontinuinggrowth in thiskey market

Page 68: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

68

For fiscal third-quarter2018 (ended 28 January),fiber-optic communica-tions component andsubsystem maker FinisarCorp of Sunnyvale, CA,USA has reported rev-enue of $332.4m, down12.7% on $380.6m ayear ago and roughlylevel with $332.2m lastquarter. Datacom product sales

were $266.1m, down1.3% on $269.5m a yearago but up 3.7% on$256.6m last quarter, duemainly to record revenuefrom 100G QSFP28 trans-ceivers for data centers(growing by about $15mfrom roughly $100m lastquarter) as well asstrong growth for vertical-cavitysurface-emitting laser (VCSEL)arrays for 3D sensing (which beganshipping in production quantitiesfrom the firm’s 4”-wafer fab inAllen, Texas, only late last quarter),offset by lower sales of 40G QSFPand 100G CFP and CFP2 Ethernettransceivers. Telecom product sales were

$66.3m, down 12.3% on $75.6mlast quarter (driven primarily by theimpact of one month of the annualtelecom price reductions as well aslower revenue from Chinese OEMcustomers) and down 40.3% on$111.1m a year ago. In particular,revenue for wavelength-selectiveswitches (WSS) for reconfigurableoptical add/drop multiplexers(ROADMs) was flat to down a bit(compared with strong revenue last quarter). Like last quarter, Finisar had two

10%-or-greater customers. The top 10 customers represented63.3% of total revenue (up from60.2% last quarter).

On a non-GAAP basis, gross marginhas fallen further, from 37% a yearago and 30.3% last quarter to 28.6%(below the 30–31% guidance). Thisis due mainly to unfavorable prod-uct mix, the impact of one-monthof the annual telecom price reduc-tions (which were at the higher endof the usual 10–15% range, due tothe poor demand environment),and the non-cash impact of anincrease in inventory reserves.“Last calendar year, we were largelyin a constrained environment in our100G QSFP28 product line and arelatively benign pricing environ-ment. Now that there’s more of anequilibrium between supply anddemand we are definitely seeingstronger price erosion and competi-tion as it relates to that 100G QSFP28product line,” notes executive VP &chief financial officer Kurt Adzema.“We are not producing and sellingas many VCSEL arrays as we wouldlike,” he adds. “We’re working onour yields; they’re not where wewould like them to be.”

Although still up on $70.5m a yearago, operating expense (OpEx) was$72.4m, cut from $74.6m last quarter(and below the expected $75m). Due mainly to the lower gross mar-

gin, operating margin has fallen fur-ther, from 18.5% of revenue a yearago and 7.8% last quarter to 6.8%(below the 7.5–8.5% guidance). Likewise, net income has fallen

further, from $67.2m ($0.59 perdiluted share) a year ago and$26.1m ($0.23 per diluted share)last quarter to $22.8m ($0.20 perdiluted share, below the expected$0.21–0.27). This is due mainly tothe lower gross margin as well asnon-GAAP taxes rising by $0.8m to$3m as a result of an unrealizedgain in China from the weakeningUS dollar relative to the ChineseRenminbi (with an impact of about$0.01 per diluted share). Capital expenditure (CapEx) was

$38.6m (less than the forecasted$50m). Finisar also spent $20.2mon the purchase of the new700,000ft2 facility in Sherman,

Finisar’s quarterly revenue growth in 100G QSFP28transceivers for data centers compensates for lower China telecom sales Ramp-up of VCSEL capacity in second-half 2018 to aid margin recovery

Finisar’s quarterly revenue trends

Page 69: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

69

Texas (to be used to expand manu-facturing capacity for VCSELs using6-inch wafers). During the quarter, cash and

short-term investments hence fellfrom $1.233bn to $1.216bn. For fiscal fourth-quarter 2018 (to

end-April), Finisar expects revenue tofall by about $22m to $300–320m,due mainly to lower demand forVCSEL arrays, lower revenue from40G datacom transceivers, andlower telecom revenue driven primarily by the full three-monthimpact of the telecom price reduc-tions from 1 January. Gross marginshould hence fall slightly to27–28%. With OpEx expected to berelatively flat, operating marginshould fall further to about 4%.Earnings per fully diluted share areexpected to drop to $0.09–0.15. CapEx should be $45m, including

about $8m for the continuing construction and fit out of the thirdbuilding of Finisar’s Wuxi manufac-turing site (due for completion incalendar second-half 2018). Sepa-rately, uplift of the building andadditional equipment at the Shermanfacility should add another $55m in

CapEx. This should be followed byanother $55m on Sherman in fiscalQ1/2019, then the remainder of atotal $150m investment in the firstphase of capacity expansion atSherman in fiscal Q2/2019. “While our third quarter financial

performance is disappointing, andthe outlook for the industry in thenext few quarters is expected to bechallenging, I’m optimistic that wecan ultimately improve our financialresults through a combination ofimproved execution and a healthierdemand environment,” says CEOMichael Hurlston. “We do expectsome improvement in the back halfof the [calendar] year, primarilycoming from our WSS product line.We expect some uplift in the Chinesemarket and we should see someimprovement there,” he adds. “We are currently reviewing all

aspects of how we do business inthe markets we participate in,”Hurlston continues. “While I believethe near-term will remain challeng-ing for the industry, this type ofenvironment often creates excitingopportunities. In addition, I believewe can ultimately restore the com-

pany’s gross and operating marginsback to historic levels over time.” In particular, whereas the 4”-wafer

VCSEL fab in Allen, Texas was run-ning at less than half of its $20m+quarterly capacity in the Januaryquarter, the April quarter should see$20–25m of output. Also, the new6”-wafer VCSEL fab in Shermanshould enter production in calendarsecond-half 2018, with quarterlyrevenue capacity of $50–60m. “We can certainly in the first phasebuild Sherman to a capacity of$80m plus,” believes Hurlston. “As we go out some distance andtime and build on multiple phases,I think then you can see $100m,”he adds. “Our intention is to con-solidate Sherman and Allen. But I don’t think that we're going to runsimultaneously for very long inSherman and Allen.” “Uptick in gross margin, especially

as it relates to the Sherman facility,is going to come down to volumeand yields,” notes Adzema. “Therewill be a ramp period of course, butwe expect our VCSEL arrays for 3D sensing to be margin accretive.” www.finisar.com

At the Optical Networking andCommunication Conference &Exhibition (OFC 2018) in San Diego(13–15 March), Finisar launchedwhat it claims is the smallest fullyintegrated coherent optics assembly— the Integrated Tunable Transmitterand Receiver Assembly (ITTRA)product family — complementingits line of coherent componentsand ACO (analog coherent optics)transceivers. Designed for easycustomer integration into coherentline cards or DCO (digital coherentoptics) transceivers, the ITTRAenables users to accelerate theirtime to market and reduce programand development costs, it is claimed. In a footprint that is 70% smaller

than the size of a CFP2 module,the ITTRA provides the same func-tionality as a CFP2-ACO. All keybuilding blocks of an ACO interface

(tunable laser, optical amplifier,modulators, drivers, coherentmixer, photodiode array, and TIAs)are incorporated in a single opticalsub-assembly, which is combinedwith a miniature controller boardthat provides a standardized management interface. Since theITTRA is a fully calibrated andtested assembly instead of a disaggregate set of components, it is reckoned to yield operationalcost savings for the line-card ormodule integrator because testtimes of the end product aregreatly reduced. “A turn-key solution like the

ITTRA greatly reduces the devel-opment effort for the line-card ormodule integrator, allowing themto rapidly respond to new marketopportunities,” says John DeMott,senior director of marketing.

The demonstration at OFCshowed the 32Gbaud ITTRA transmitting and receiving data at200Gb/s using DP-16QAM modu-lation. The product will be sam-pling in second-quarter 2018. In addition, Finisar demonstrated

the WaveShaper A Series of programmable optical processorswith the newly released WaveShaperApp revision 1.3. This softwaresupports arbitrary power splittingon up to 16 ports with ratios of up to 100 to 1. Finisar is also pro-viding a technology demonstrationof a WaveShaper processor covering the extended C+L bandwith a total wavelength rangelarger than 100nm. Arbitrary filtering of attenuation and phaseis supported across the entirerange.www.ofcconference.org

Finisar launches smallest fully integrated coherent optics assembly

Page 70: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

70

A definitive agreement has beensigned (unanimously approved bythe boards of directors of bothcompanies) for Lumentum HoldingsInc of Milpitas, CA, USA (whichmakes photonics products for opticalnetworking and commercial lasersfor industrial and consumer markets)to acquire Oclaro Inc of San Jose,CA, USA (which provides opticalcomponents and modules for thelong-haul, metro and data-centermarkets). For each share held, Oclaro stock-

holders will be entitled to receive$5.60 in cash and 0.0636 of ashare of Lumentum common stock.The transaction values Oclaro at$9.99 per share or about $1.8bn inequity value, based on the closingprice of Lumentum’s stock on 9 Marchof $68.98. This represents a premiumof 27% to Oclaro’s closing price on9 March and a premium of 40% to

its 30-day average closing price.Oclaro stockholders are expectedto own about 16% of the combinedcompany at closing. “Joining forces with Oclaro

strengthens our product portfolio,broadens our revenue mix, andpositions us strongly for the futureneeds of our customers,” saysLumentum’s president & CEO AlanLowe. “Oclaro brings its leadingindium phosphide (InP) laser andphotonic integrated circuit (PIC)and coherent component and module capabilities to Lumentum.The combined company will driveinnovation faster and accelerate the development of products,” hereckons. “Together, we will be an even

stronger player in fiber-optic components and modules for high-speed communications and a mar-ket leader in 3D sensing,” believes

Oclaro’s CEO Greg Dougherty. The transaction is expected to

generate more than $60m ofannual run-rate synergies within12–24 months of the closing andbe immediately accretive to non-GAAP earnings per share.Lumentum intends to fund the

cash consideration with a combi-nation of cash on hand from thecombined companies’ balance sheetsand $550m in debt financing. The transaction is expected to closein second-half 2018, subject toapproval by Oclaro’s stockholders,anti-trust regulatory approval inthe USA and China, and other customary closing conditions.One member of Oclaro’s board of

directors, as mutually determined,will join Lumentum’s board uponclosing of the transaction. www.oclaro.com www.lumentum.com

Lumentum to acquire Oclaro for $1.8bn Oclaro stockholders to own 16% of combined company

Oclaro Inc of San Jose, CA, USA(which provides components,modules and subsystems for optical communications) hasannounced production shipmentsof what is claimed to be the firstfully tunable SFP+ industrial tem-perature range (TSFP+ I-temp)transceiver. Availability is said torepresent a milestone for cablecompanies deploying the latestDOCSIS 3.1 standard, whichpushes fiber installation muchdeeper into the hybrid fiber coax(HFC) network to reach next-generation Remote Phy nodes. Cable networks need to offer

best-in-class bandwidth to retaintheir customers and enable premium services, says Oclaro.With more than 50 million homesserved by multi-service operators(MSOs) in the USA alone and up to

1 million new nodes, the deploy-ment of 10G full-duplex per nodeover the next 4–5 years can onlybe achieved with products such asfully tunable DWDM lasers that areeasy to install, configure and monitor. “Fully tunable DWDM laser

technology is critical to enablelarge-scale fiber deep deploymentwhile minimizing operations costand eliminating human errors,”says chief strategy officer YvesLeMaitre. “With tunable laserscapable of operating from –40°Cto 85°C, we believe the days ofanalog optics or fixed WDM lasersare counted,” he adds. “Once youstart using tunable products, theoperational benefits such as automatic configuration andreduced sparing are just too greatto go back to legacy optics.”

The TSFP+ is Oclaro’s latestproduct to include I-temp and follows the firm’s 10G TSFP+ C-temp(commercial temperature) and E-temp (extended temperature),which are both shipping now. The TSFP+ is vertically integratedthrough the use of Oclaro’s in-house ILMZ (integrated laserMach–Zehnder) chip and its custom-designed transmitter optical sub-assembly (TOSA) andreceiver optical sub-assembly(ROSA) technology. Due to theunique material composition of theILMZ chip and custom tuning ofthermal and electronic controlcomponents, the product is capable of operating from –40°C to 85°C. The TSFP+ I-tempis available now for volume production orders. www.oclaro.com

Oclaro ships first fully tunable SFP+ I-temp transceiver Availability simplifies operation of DOCSIS 3.1 cable access networks,delivering 10G full-duplex to Remote Phy nodes

Page 71: Nitrides for visible light communications Nitrides for visible light communications

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

71

Oclaro Inc of San Jose, CA, USA(which provides components, modules and subsystems for opticalcommunications) has announcedgeneral market availability of its100G PAM4 electro-absorptionmodulated distributed feedback(EA-DFB) laser (EML) chips fornext-generation transceiver appli-cations. The firm says that its EML chips

operating at 53Gbaud with up to40GHz bandwidth (@20°C) and6dB extinction ratio (@70°C) aresuitable for use in 4-level pulseamplitude modulation-based(PAM4) transceivers, enabling100Gbps per wavelength. Combin-ing high performance and lowpower consumption, the EML chipspave the way for the next wave of 200Gbps and 400Gbps in data-center networks.

Oclaro also announced additionalwafer fab capacity upgrades fordirectly modulated laser (DML) andelectro-absorption modulated laser(EML) production, providing greateravailability for its components. The firm says that the newlyinstalled production capacity willenable it to satisfy the increasingdemand for cost-effective high-speedtransceivers. “With the explosive growth of

large-scale data centers, thedemand for these lasers has neverbeen greater and, by increasing ourmanufacturing capacity, Oclaro canensure its customers have the sup-ply they need,” says chief strategyofficer Yves LeMaitre. Oclaro reckons that its high-speed

25G DML chips, which have beenproduced in high volume for thelast two years, are well suited for

100Gbps CWDM4 transceivers.They can also be used in low-costSFP28 modules and other 25Gbpsproducts for high-volume, low-costapplications. The firm’s EML devicesprovide the high bandwidth and linearity needed for next-generation200Gbps and 400Gbps transceiversusing PAM4, while still maintainingsmall size and low cost.Oclaro’s laser chips support both

CWDM4 and LAN-WDM wavelengthstandards and, in many applications,uncooled operation and non-hermetic packaging can beemployed for low-cost, high-performance module solutions,including PAM4 transceivers forhigher data rates.Oclaro’s 100Gbps PAM4 electro-

absorption modulated laser chipsare ready for sampling now. www.oclaro.com

Oclaro is sampling what is claimedto be the first family of high-band-width 1310nm photonic integratedcircuits (PICs) that integrate a distributed feedback (DFB) laserwith a Mach–Zehnder (MZ) modulator for intra-data-centerapplications using CWDM wave-lengths. Leveraging Oclaro’sexpertise in 1310 DFB lasers forshorter-reach applications with itsindium phosphide (InP) high-bandwidth modulator technology,the new family of chips will enablehigher-speed connectivity acrossdata-center campuses. The 1310nm DFB-MZ PIC has

been engineered to address themost challenging configurationswhere high bandwidth and opticalsignal purity are critical to over-come potential fiber and connectorimpairments. The new productcomplements Oclaro’s full suite of

InP directly modulated laser (DML)and electro-absorption modulatedlasers (EMLs), all specificallydesigned to address data-centersingle-mode fiber infrastructurerequirements. “The need for ever-increasing

capacity within the data center ismaking it critical to develophigher-speed and more integratedcomponent technology,” says Beck Mason, president of Oclaro’sIntegrated Photonic business.“Oclaro has a long history of delivering proven direct-detect and coherent technology and weare pleased to be the first supplierto now offer a 1310nm DFB-MZ PICcapable of supporting the demand-ing 100Gbps per wavelength PAM4(4-level pulse amplitude modula-tion) applications.” The 1310nm DFB-MZ PICs take

advantage of proven elements that

have been in production at Oclarofor many years, including DFBlasers and PICs with integratedMach–Zehnder modulators, allbased on InP. These elements arebrought together to form a newPIC to tackle the complex task ofhigh data rate, high extinctionratio and good linearity for PAM4modulation. The PICs are availablein 50Gbps per wavelength andhigher-bandwidth 100Gbps perwavelength versions supporting allfour CWDM fixed wavelengths. Oclaro’s family of DFB-MZ chips

is sampling now, with volume production expected to begin byJuly. Oclaro showcased its product

portfolio at the Optical Networkingand Communication Conference &Exhibition (OFC 2018) in San Diego,CA, USA (11–15 March).www.ofcconference.org

Oclaro samples first ultra-high-bandwidth 1310nm DFB-MZ PICs for select 100G/400G PAM4 applications

Oclaro announces availability of 100G PAM4 EML lasersto meet demand for 400G high-speed interconnectsFab capacity upgrades added for DML and EML production

Page 72: Nitrides for visible light communications Nitrides for visible light communications

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

72

Magnolia Optical Technology Inc ofWoburn, MA, USA (which developsthin-film and nanostructure-basedtechnologies spanning the ultra-violet, visible and infrared spectrumfor military sensors and commercialapplications including solar cells) isworking with the US DefenseAdvanced Research Projects Agency(DARPA) under a Phase II SmallBusiness Innovation Research(SBIR) program ‘Development ofHigh-Performance Thin-Film SolarCells for Portable Power Applications’(contract no. D15PC00222). Photovoltaic devices can provide a

portable source of electrical powerfor a wide variety of defense andcommercial applications, includingmobile power for dismounted sol-diers, unmanned aerial vehicles(UAVs) and remote sensors. “Thegoal of the current program is to

develop high-efficiency galliumarsenide (GaAs)-based solar cellsthat maintain their performanceover changing environmental con-ditions, and that are thinner andthus more cost-effective to pro-duce,” says chief technical officerDr Roger Welser. “By combiningthin III-V absorbers with advancedlight-trapping structures, single-junction GaAs-based devices pro-vide a means to deliverhigh-efficiency performance over awide range of operating conditionsat a fraction of the cost of themulti-junction structures typicallyemployed for space power. In addi-tion, the incorporation of nano-enhanced III-V absorbers providesa pathway to extend infraredabsorption and increase the photo-voltaic power conversion efficiencyof cost-effective thin-film solar

cells,” he adds. “Changes in the solar spectrum

can dramatically degrade the performance of traditional multi-junction devices — changes thatoccur naturally throughout the day,from season to season, and fromlocation to location as sunlightpasses through the earth’s atmo-sphere,” says Magnolia’s presidentDr Ashok Sood. “Moreover, multi-junction III–V cells require thick,complex epitaxial layers and aretherefore inherently expensive tomanufacture,” he adds. “The technology under development aspart of this DARPA-funded programaddresses these key weaknesses inthe established high-performancephotovoltaic technology.” www.magnoliaoptical.com www.sbir.gov/sbirsearch/detail/873321

Magnolia to develop cost-effective, thin-filmGaAs-based solar cells for reliable portable power Nano-enhanced thin III-V absorbers with light-trapping structuresallow single-junction devices to avoid need for multi-junctions

Cadmium telluride (CdTe) thin-filmphotovoltaic module maker FirstSolar Inc of Tempe, AZ, USA saysthat it has been selected to buildthe 50MW solar array outlined lastmonth as part of the long-termelectric generation transition planof Vectren Energy Delivery of Indiana. During the past few months, Vec-

tren has been working with OrionRenewable Power Resources LLC ofOakland, CA, USA (a joint venturebetween Orion Renewable EnergyGroup and MAP Renewable Energy)to select, secure and eventuallydevelop the property in Troy, Indiana. Sited on 300 acres of land and

will consist of about 150,000 solarpanels, the solar array will bemounted on a single-axis tracking

system (enabling the panels toautomatically pivot to enhanceenergy generation as the sun’s raysmove across the surface of theEarth). The facility, which should befully operational in the fall of 2020,is expected to generate enoughpower to meet the needs of morethan 11,000 households per year. “This significant renewable

resource will be connected to oursystem to serve our local customers,”says Vectren’s chairman, president& CEO Carl Chapman. Construction will begin after the

Indiana Utility Regulatory Commis-sion authorizes the project (a deci-sion is expected in first-half 2019).The initial construction phase willrequire establishing a sub-stationto interconnect with Vectren’spower grid and will begin immedi-

ately upon regulatory approval.Construction of the solar array(which will provide up to 250 jobs)will begin in mid-late 2019. “First Solar’s expertise in design

and construction of solar powerplants aligns perfectly with Vectren’s Smart Energy Futurestrategy,” says Eran Mahrer, VP –markets, origination & governmentAffairs for First Solar. “Using ourhigh-performance Series 6 thin-filmmodules and a plant designapproach tailored to utility owner-ship values, we will contribute significantly to Vectren’s commit-ment to deliver clean, reliable andreasonably-priced energy to itscustomers.” www.firstsolar.com www.vectren.com www.orionrenewables.com

First Solar chosen by Vectren for 50MW solar arrayin Southwestern Indiana

Page 73: Nitrides for visible light communications Nitrides for visible light communications

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

Page 74: Nitrides for visible light communications Nitrides for visible light communications

University of Bath and University of Cambridge inthe UK have reinterpreted the ABC model ofrecombination in indium gallium nitride

(InGaN) multiple quantum well (MQW) light-emittingdiodes (LEDs) to suggest that the carrier distributionacross the wells is more uniform than presentlybelieved [M. A. Hopkins et al, J. Appl. Phys., vol122,p234505, 2017]. In particular, the reinterpreted modelis used to study the variation of the modified constantswith the number of wells of fabricated LEDs.Non-uniformity of the hole carrier distribution is

thought to be one cause of efficiency droop in InGaNLEDs at high current. Drift-diffusion transport of carriers is used to explain the non-uniformity. Theresearchers comment on their results against the consensus: “The implications of the reported resultsare that drift-diffusion plays a far lesser role in cross-well carrier transport than previously thought; that thedominant cause of efficiency droop is intrinsic to thequantum wells and that reductions in the density ofnon-radiative recombination centers in the MQW would enable the use of more QWs and thereby reduceAuger losses by spreading carriers more evenly acrossa wider emissive region.”The ABC model uses three parameters, A–B–C, to

describe the radiative recombination of injected carriers.The desired radiative recombination of electrons andholes into photons is related to the B-term, which isproportional to the product of the electron and holedensities. The A-term, proportional to the minority carrier density, is related to Shockley–Read–Hallrecombination through intermediate defect energy levelsin the bandgap. The final C-term, where one of thedensities is given a single power and the other issquared, is traditionally attributed Auger processeswhere electron-hole recombination is aided by energytransfer to another carrier. However, there are alsoother explanations given for the C-term. The researchers grew blue 2.4nm/7.0nm InGaN/GaN

MQWs by metal-organic chemical vapor deposition(MOCVD) on c-plane sapphire. The material had a

threading dislocation density of around 4x108/cm2. The barriers were grown in two temperature steps, firstramping to 846°C after the In0.12Ga0.88N well deposition,and then increasing to 880°C for most of the growth.The layers before the MQW active region consisted of

2.0µm GaN, 2.5µm n-GaN, 23nm In0.05Ga0.95N, and3nm GaN. After the MQW came a 12nm p-Al0.17Ga0.83Nelectron-blocking layer and a 120nm p-GaN layer.Lateral 420µmx400µm devices were fabricated with

annealed nickel/gold interdigitated electrodes for moreuniform current spreading across the LED. To furtherequalize the current density across the device, a thinnickel layer was deposited on some of the electrodeand p-contact structures. The effect of the extra nickellayer was small and had little effect on the subsequentABC modeling. The researchers comment: “From this,we conclude that non-uniform current spreading hadlittle influence on the efficiency droop observed in ourLEDs.”The mesa and transparent p-GaN contact areas

were 1.48x10–3cm2 and 1.17x10–3cm2, respectively.The number of wells varied between 3 and 15. Theresearchers found that devices with more wells sufferedfrom less droop in external quantum efficiency (EQE)at high current.The researchers applied the ABC model to their

results with provision fortunneling and overflow cur-rents. Unlike most model-ing, the team considered that the photon-emitting recombining carriers were spread acrossthe wells rather thanrestricted to the wells nearthe p-contact end. Hencethey expected their αβγmodified coefficients wouldhave different proportionali-ties to the number of wells(N, Figure 1).

Drift-diffusion playsa far lesser role incross-well carriertransport thanpreviouslythought...

The dominantcause of efficiencydroop is intrinsic to the quantumwells...

Technology focus: Nitride LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

74

Questioning carrierdistributions in III-nitride LEDsResearchers suggest that the behavior of external quantum efficiency with varied multiple quantum wells is described by a more uniform carrier distribution.

Page 75: Nitrides for visible light communications Nitrides for visible light communications

The team suggests that, under forward bias,electrons and holes are injected into the superlattice-like band-like states arising from the periodicstructure of the QWs. “Such direct carrier injectioninto the superlattice-like states of the quasi-saw-tooth band profile is likely to promote still furtherrapid transport of both electrons and holes to yieldmore nearly uniform steady-state distributionsacross the MQW,” they write. The saw-toothpotential profile arises from the charge polarizationchanges of the different c-plane III-nitride layers,giving rise to electric fields.The researchers sought further confirmation of

their ideas by fitting the results of another groupto the model [Zhang et al, Opt. Express, vol23,pA34, 2015]. Also, they developed a model toreflect the more usual drift-diffusion mechanismwith non-uniform carrier distributions (dashed linesin Figure 1).Of course, the idea that the carrier distribution is

non-uniform is not just due to simulations. Someexperiments have varying well widths to give different wavelength emissions to help determinecarrier distributions empirically. The team comments:“The presence of a deeper or wider QW in a super-lattice or MQW will both introduce electron andhole states localized to the different wells and disrupt the formation of the quasi-extended statesby which free carriers would otherwise spreadthrough the MQW by thermally assisted tunneling.”The researchers believe that the cause of drooping

is intrinsic to the MQWs, giving three possiblemechanisms: “Auger recombination, carrier densityactivated defect recombination (DADR), and tunneling-assisted non-radiative recombination,the latter including trap-mediated Auger effects.”They add that their results do not enable them todistinguish the primary mechanism from this menu.For semi-polar/non-polar LEDs, the contribution ofthermally assisted tunneling could be reduced oreven absent. https://doi.org/10.1063/1.4986434Author: Mike Cooke

Technology focus: Nitride LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

75

Figure 1. (a) α versus √N, (b) β versus N, and(c) γ versus 1/√N. Open-circle data pointsobtained from fits to EQE measurements. Black lines are straight line fits to results. Trend lines forced through origin for α (3-wellpoint excluded) and γ. Closed-square symbolsare from fitting of data of Zhang et al (excludedfrom trend line fitting). Dashed lines in (a) and (c) show trends that would be seen ifexponentially decaying distributions ofelectrons and holes occur in MQW.

Page 76: Nitrides for visible light communications Nitrides for visible light communications

South China University of Technologyhas improved the performance ofindium gallium nitride (InGaN)

light-emitting diodes (LEDs) on siliconthrough increasing tensile stress in thephoton-generating active region [Zhiting Lin et al, J. Appl. Phys., vol122,p204503, 2017]. Although stress engi-neering has been a critical factor inenabling III-nitrides to be grown on low-cost silicon, overcoming 16.9% lattice and 54% thermal mismatching,the researchers note that the effect ofstress on “performance of LEDs by modifying the band structure and thecarrier recombination process, seems tobe neglected”.LED material (Figure 1) was grown by

metal-organic chemical vapor deposition(MOCVD) on (111) silicon. The stressstate of the ‘luminous’ multiple quantumwell (MQW) upper layers was controlledby varying the thickness of underlyingundoped u-GaN and AlN layers (Table 1).The crystalline quality was assessedthrough full-width at half maximum(FWHM) measurements of peaks in x-rayrocking curves (XRCs) and microscopicinspection of cracks in the surface. Ramanspectroscopy was used to derive the stressstate of the MQW. Increasing GaN thicknessand removal of the AlN interlayer increasedtensile stress. However, if taken too far,cracks appear.The material was fabricated into 1mmx1mm

LED chips. The light output power (LOP) ofLED C with most tensile stress was 19.4%greater than that of LED A (Figure 2). The35A/cm2 forward voltage (Vf) for LED Cwas also lowest, giving the least powerinput at the given current injection and further boosting wall-plug efficiency (WPE). At 70A/cm2, the WPE droop was 36.5% for LED C. This droop was the smallest among the devices. Theresearchers say that the LOP of 528mW at 35A/cm2

for LED C is greater than the ~508mW of devices onsilicon reported by other groups.

Despite its higher tensile stress, the performance ofLED D was worse than that of LED B. “The cracks ofLED D may induce some connotative damage duringthe fabrication of LED chips, resulting in the degradationof the light output power and the increment of electricresistance,” the team comments.

Technology focus: Nitride LEDs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

76

Sample LED A LED B LED C LED D

AlN interlayer (nm) 20 20 0 0 u-GaN (nm) 400 800 800 1200GaN(0002) XRC FWHM (arc sec) 368 355 350 352 GaN(1012) XRC FWHM (arc sec) 434 433 430 435 Stress (GPa) –0.63 0.13 1.03 0.71Crack density (/mm2) 0 0 0 2.45 LOP at 35A/cm2 (mW) 442 510 528 482Vf at 35A/cm2 (V) 3.11 3.08 3.05 3.17WPE at 70A/cm2 32.2% 38.3% 40.0% 34.1%

Table 1. Material and photoelectric properties of LEDs A to D,including thicknesses of AlN interlayer and u-GaN thatprovide stress variation.

Tension increases wall-plug efficiency and reduces droop at high current.

Figure 1. Experimental LED structure.

Boosting InGaN LEDs onsilicon with tensile stress

Page 77: Nitrides for visible light communications Nitrides for visible light communications

Simulations suggested that going from compressivestress of –3GPa to tensile stress of +5GPa shouldimprove luminous output and generally reduce forwardvoltage for an injection current density of 35A/cm2.Actually, the lowering of forward voltage only continuedup to +4GPa. Increasing the tensile stress up to +8GPaimpaired the projected LED performance beyond that at–3GPa compression. On the other hand, at low currentinjection the +8GPa model gave the best performance.Charge polarization due to the partial ionic nature of

the III-N bonds gives rise to sheet charges at heteroin-terfaces and hence electric fields in the structures. The

effect is altered by different stress states and canimpact band structure, carrier recombination, and car-rier concentration in MQWs.The researchers comment: “It is demonstrated by

simulation that tensile stress in the underlying n-GaNalleviates the negative effect from polarization electricfields on multiple quantum wells, but an excessivelylarge tensile stress severely bends the band profile ofthe electron blocking layer, resulting in carrier loss andlarge electric resistance.” https://doi.org/10.1063/1.4993985Author: Mike Cooke

Technology focus: Nitride LEDs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

77

Figure 2. (a) GaN(0002) and (b) GaN(1012) XRCs for LED C, (c) Raman spectra of LEDs A to D. (d) Experimental light output power versus current density, (e) current density versus voltage, and (f) wall-plug efficiency versus current density for LEDs A to D.

Page 78: Nitrides for visible light communications Nitrides for visible light communications

Visible light communication (VLC) has been goingon for a long time, from semaphore betweenships to waving lanterns about to direct smug-

gling operations. And of course, sign languages datefrom prehistory and are still used by deaf communitiesand desperate tourists. Historical communication isbased on writing. And at the dawn of the electronicage, Alexander Graham Bell experimented with a ‘photophone’ in the 1880s. Electronic VLC systems need transmitters, receivers

and a transmission medium. Although air is the mostcommon medium, there is also interest in underwateroptical communication (UWOC) and various waveguidematerials such as short-range plastic optical fiber(POF) communication. For transmission, the use ofsmart lighting as transmitter could avoid the extraenergy consumption needed for dedicated systems. The III-nitride family provides a range of materials

that can emit and detect light efficiently from green(570nm-) to deep into the ultraviolet (-200nm) rangeof wavelengths.

Silicon platformAlthough silicon (Si) absorbs visible light, there ismuch interest in integrating visible light emitters withthe complementary metal-oxide-semiconductor (CMOS)Si-based circuits used in the vast digital consumermarket. Researchers in China have successfully fabricated an

indium gallium nitride (InGaN) microdisk laser on silicon that operated at room temperature under electrical pumping [Meixin Feng et al, Optics Express,vol26, p5043, 2018]. “This is the first observation ofelectrically pumped lasing in InGaN-based microdisklasers grown on Si at room temperature,” the teamfrom Suzhou Institute of Nano-Tech and Nano-Bionics,University of Science and Technology of China, andChangchun Institute of Optics Fine Mechanics andPhysics, writes. Generally, reported microdisk lasers on silicon have

been optically pumped, reducing their usefulness.Microdisk lasers use whispering-gallery modes of lightreflecting/echoing around a circular structure to create

a resonant cavity for laser excitation that can efficientlycouple to on-chip waveguides. The researchers used a sandwich structure on silicon

(Figure 1) rather than the more usual stem-and-capmushroom format normally employed for opticallypumped microdisk lasers. The team adopted the sand-wich format to give more robustness and to reduce theelectrical and thermal resistance of the n-side of thedevice. Since aluminium gallium nitride (AlGaN) has a

lower refractive index than GaN, it was used as lowercladding, confining most of the optical field away fromthe absorptive highly defective buffer. However, the sandwich structure did require a thicker

buffer structure to overcome the 17% lattice mismatchand 54% thermal expansion coefficient difference withsilicon. The buffer layers consisted of 370nm AlNnucleation, 280nm Al0.35Ga0.65N, 415nm Al0.17Ga0.83N,and 1μm GaN. The n-contact layer was 1.6μm n-GaN. The n-side

continued with 1.3μm Al0.07Ga0.93N cladding and 80nm GaN lower waveguide. The active region consisted of three well/barrier pairs

of 2.5nm/7.5nm In0.1Ga0.9N/In0.02Ga0.98N. The upper60nm GaN waveguide was undoped. The p-type layerswere a 20nm Al0.2Ga0.8N electron-blocking layer (EBL),100x(3nm/3nm) Al0.11Ga0.89N/GaN superlatticecladding, and 30nm GaN contact. Circular microdisks were fabricated using a nickel

mask and dry etching. Sidewall damage was removedwith tetramethyl ammonium hydroxide (TMAH) wetetching, smoothing the surface and reducing surfacerecombination centers and associated optical losses.The TMAH treatment reduced threshold currents formicrodisks from ~1500mA to ~1000mA. The researchers also fabricated micro-ring devices

that concentrated current injection at the periphery formore efficient excitation of whispering-gallery modes(Figure 2). This also avoids Joule heating of the centralregion that can degrade performance. The micro-ringinjection was ensured by removing the p-GaN and partof the p-AlGaN/GaN superlattice cladding from, and bydepositing silicon dioxide (SiO2) in, the center of the disk.

Technology focus: Visible light communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

78

Mike Cooke reports on some recent research on various laser and non-laseremitters, along with detectors and waveguides.

III–nitride prospectsfor VLC applications

Page 79: Nitrides for visible light communications Nitrides for visible light communications

Technology focus: Visible light communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

79

Figure 1. (a) Conventional ‘mushroom-like’ InGaN-based microdisk laser on Si with undercut structure and(b) ‘sandwich-like’ InGaN micro-ring laser grown on Si with AlGaN cladding layers. (c) Schematic structureof InGaN micro-ring laser. (d) Scanning electron microscope image. (e) Cross-sectional high-angle annulardark-field scanning transmission electron microscope (STEM) image. (f) Panchromatic cathodoluminescenceimage of GaN film grown on silicon, giving density of threading dislocations (TDs) of ~6x108/cm2.

Page 80: Nitrides for visible light communications Nitrides for visible light communications

The researchers comment: “Compared with the con-ventional microdisk resonators, micro-ring resonatorshave more compact cavity volume and better high-speed modulation characteristics due to less effect ofcarrier space hole-burning and diffusion.”A micro-ring laser with outer and inner radii of 10μm

and 5μm, respectively, emitted a peak wavelength of412.4nm with 0.4nm full-width at half maximum(FWHM) under 250mA pulsed current at room temper-ature. Over a range of devices, the threshold occurredbetween 200mA and 450mA. Without TMAH treatment,micro-ring lasers had a threshold of ~800mA. Nanjing University of Posts and Telecommunications

and Zhengzhou University in China have also beendeveloping III–nitride technology for VLC on a mono-lithic siliconplatform[Xumin Gaoet al, OpticsLetters,vol42, p4853,2017].In particular,

the team created a system of an LED trans-mitter andphotodiodereceiverlinked by anInGaN waveguide inAlGaNcladding.

Transmission at up to 200Mbits per second (Mb/s) wasdemonstrated for both in-plane and out-of-plane setups. The in-plane transmission to an on-chip receivercould be used in practice as a power monitor. The team sees particular benefit arising for ‘Internet of

Things’ applications, along with integrated biomedicalanalysis systems and monolithic photonic circuits.“From a mass-production point of view, the III–nitride-on-silicon platform offers a feasible approach that iscompatible with Si-fab for wafer-level fabrication,” theresearchers add. The device fabrication was performed on 2-inch

III–nitride on Si substrates produced by metal-organicchemical vapor deposition (MOCVD, Figure 3). The further processing (Figure 4) consisted of 590nm mesa isolation etch, formation of annealed nickel/gold(Ni/Au) p-contact, etching to the n-contact layer,plasma-enhanced chemical vapor deposition (PECVD)of 200nm SiO2 isolation, buffered oxide etch of elec-

Technology focus: Visible light communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

80

Figure 4. Fabrication processes of multi-component system on III–nitride-on-Si platform.

Figure 2. Peak light output power of InGaN-basedmicro-ring laser and microdisk laser grown on siliconmeasured under various 400ns, 10kHz pulsedcurrents at room temperature.

Figure 3. Epitaxial structure.

Page 81: Nitrides for visible light communications Nitrides for visible light communications

trode regions, and tita-nium/platinum/gold(Ti/Pt/Au) metalization.The transmitter andreceiver were isolatedfrom each other by theremoval of the p-GaNlayer from the top of thewaveguide. The photonic circuit

consisted of an 8μm-wide200μm-long InGaN waveguide connectingtransmitter and receiver.The transmitter was foundto emit radiation with awavelength around452nm. The transmitterdiode turn-on voltage wasaround 2.5V. The capaci-tance of the device wasaround 2.33pF at 500kHz.A lower capacitance wouldimprove the modulationcapability. The photocurrent

through the receiver diodewas found to follow theincreased emission fromthe transmitter withincreased current injec-tion. The response to anon-off keying modulation,pseudo-random binarysequence (PRBS) datastream gave ‘open eye’diagrams with rates up to200Mb/s, the limit of themeasurement system. Out-of-plane light was

collected by 20x objectivelens with 0.5 numericalaperture (NA) and trans-mitted through a confocalsystem to a photodiode(Figure 5). Under 200Mb/sdata streams the systemagain gave open-eye diagrams.

Semi-polar orienteeringResearchers based in Saudi Arabia and USA have usedsemi-polar InGaN quantum wells (QWs) to create alaser diode (LD) integrated with a semiconductor optical amplifier (SOA) for VLC, smart lighting, andUWOC [Chao Shen et al, Optics Express, vol26, pA219,2018].

The team from King Abdullah University of Scienceand Technology (KAUST) in Saudi Arabia, University ofCalifornia Santa Barbara (UCSB) in the USA, and KingAbdulaziz City for Science and Technology (KACST) inSaudi Arabia, comments: “Since the on-chip integrationof various photonic devices offers the advantages ofsmall footprint, low cost and multi-functionality, it is ofgreat interest to develop III–nitride photonic integ-rated circuits (PICs) at the visible wavelength.”

Technology focus: Visible light communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

81

Figure 5. (a) Schematic out-of-plane visual light communication. (b) Transmittedand received PRBS data at 200Mb/s transmission rate. (c) Eye diagrams measuredat 200Mb/s.

Page 82: Nitrides for visible light communications Nitrides for visible light communications

The epitaxial structure for the device was grown byMOCVD on semi-polar (2021) GaN (Figure 6). Theactive light-emitting region was four pairs ofIn0.1Ga0.9N/GaN quantum wells/barriers. A 16nmAl0.18Ga0.82N layer served as an electron-blocking layer(EBL). The separate-confinement heterostructure

(SCH) waveguides con-sisted of 60nm/60nmp-/n-In0.025Ga0.975N.The p- and n-GaNcladding layers were600nm and 350nm,respectively. The p- and n-

electrodes were palladium/gold (Pd/Au)and Ti/Al/Ni/Au,respectively. The struc-ture of the separateSOA and laser diodesections were definedby patterned etching ofa 2μm-wide ridge.Electrical separation ofthe devices wasachieved by etchingthe p-GaN contactlayer between them,while maintaining aseamless optical connection. When the SOA was

unbiased, the light output power was lowdue to optical losses.The light outputincreased as the SOAbias increased to 6.25V.

In fact, beyond 5V bias, the output was greater thanfor a laser diode without SOA, suggesting light amplifi-cation in the SOA itself beyond 4V bias.With a laser diode current of 250mA, the light output

power increased from 8.2mW to 30.5mW as the SOAbias increased from 0V to 6.25V. Between the same

Technology focus: Visible light communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

82

Figure 6. (a) Cross-sectional layered structure and (b) three-dimensional illustrationof 405nm-emitting dual-section integrated SOA-LD on semi-polar GaN substrate.Inset: fabricated device under optical microscope. (c) Photo of device operating atroom temperature. (d) Emission spectrum of device at laser gain section current of250mA and zero SOA section driving voltage, showing peak at ~404.3nm.

Figure 7. (a) Schematic of non-return-to-zero on-off keying modulation (NRZ-OOK) data transmissionmeasurement using SOA-LD as transmitter and avalanche photodiode (APD) as receiver, along with bit errorrate tester (BERT) and digital communication analyzer (DCA). (b) Eye diagram of 1Gbit/s data rate.

––

Page 83: Nitrides for visible light communications Nitrides for visible light communications

SOA biases, the laser threshold current decreased from229mA to 135mA. With the laser diode at 250mA, theSOA current at 6V bias was 67mA, giving a total inputpower of 2.14W and wall-plug efficiency (WPE) of 1.3%.A separate laser diode with 317mA (250mA+67mA)current injection had a WPE of 0.54%. The rate of increase in effective gain of the SOA as

the bias increased from 4V to 6.25V was 2.36dB/V at250mA laser diode injection. The team comments:“The high gain observed in the device is partiallyattributed to the large electron–hole wavefunctionoverlap in InGaN/GaN QWs grown on semi-polar (2021) GaN substrate, which exhibits reduced polari-zation field compared to that in conventional [polar] c-plane devices.” The peak amplification ratio between0V and 6.25V SOA bias was 18.4 at 404nm wavelengthand 200mA laser diode injection. The combined device achieved ‘open eye’ data trans-

mission at 1 gigabits per second (Gb/s) with SOA modulation and constant 250mA laser diode injection

(Figure 7). The bit error rate was 3.4x10–4, meetingthe limit for forward error correction (FEC) of 3.8x10–3. University of New Mexico and UCSB in the USA have

been studying the effect of crystal orientation (Figure 8)on the modulation bandwidth of InGaN light-emittingdiodes [M. Monavarian et al, Appl. Phys. Lett., vol112,p041104, 2018].In particular, the researchers sought high bandwidth

at lower current injection where the efficiency of InGaNLEDs is higher, reducing ‘droop’ effects. The non-polarm-plane (1010) orientation was found to have thelargest 3dB (half-power) bandwidth, with a value ofmore than 1GHz down to 500A/cm2 injection. The team promote LEDs over laser diodes for VLC,

POF and UWOC applications: “For communicationapplications, advantages of LEDs compared to diodelasers include their lower cost, longer operating life-time, lower temperature dependence, compatibilitywith existing lighting systems, and lower emissiondirectionality.”

Technology focus: Visible light communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

83

Figure 9. (a) Bandwidth and (b) optical output power versus current density for devices with polar (greensquares), semi-polar (blue circles), and non-polar (black triangles) orientations.

Figure 8. (a) Crystallographic planes studied and (b) normalized s-parameter (S21) response of LEDs withpolar (green squares), semi-polar (blue circles), and non-polar (black triangles) orientations with samedevice geometry operating at 1kA/cm2. Inset: operating device probed using GSG RF electrode.

––

Page 84: Nitrides for visible light communications Nitrides for visible light communications

The LEDs studied contained three InGaN quantumwells. The well thicknesses were chosen to maximizeoptical output power: 4nm for the polar c-plane (0001)and semi-polar (2021) orientations, and 6nm for thenon-polar sample. Free-standing semi-polar and non-polar GaN substrates were supplied by MitsubishiChemical. The polar sample was grown on c-plane sapphire. The materials were fabricated into 60μm-diameter

circular mesa LEDs with indium tin oxide (ITO) trans-parent conductor on the p-contact layer, Ti/Al/Ni/Au n-contact, chromium/gold contact pads, and ground-signal-ground radio frequency (GSG RF) electrodes.The micro-LED structures were designed to reduce the impact of parasitic resistance-capacitance timeconstant effects on modulation bandwidth.The 3dB (half-power) bandwidths at 1kA/cm2 current

density injection were 1050MHz, 325MHz and 125MHzfor the non-polar, semi-polar and polar LEDs, respec-tively, correcting for the frequency responses of the RF cables, bias tee and low-noise amplifier. The non-polar LED maintained its ~1GHz bandwidth down to500A/cm2 (Figure 9). The researchers explain the larger bandwidth for the

non-polar LED as being due to better wavefunctionoverlap between the electrons and holes that aredesired to recombine into photons, compared with thesemi-polar and completely polar orientations. At higher current, the polar LEDs begin to benefit

from coulomb screening of the charge polarizationelectric fields that impair performance. Hence, thebandwidth increases more rapidly above 500A/cm2,compared with the semi-polar and non-polar orientations.In terms of raw output power, the semi-polar LED givesmore than twice the intensity of the non-polar device. The researchers used their results to assess the carrier

life-times of the three devices, finding that the non-polar

carriers had the shortest life-times, giving faster responseto modulation. Unsurprisingly, the semi-polar structuregave a shorter life-time than the fully polar devices.Short life-times reflect fast recombination, with the

upshot of lower carrier density for a given injectioncurrent. The team sees the faster recombination of thenon-polar orientation as reflecting the more completeoverlap of electron and hole wavefunctions.As the current density increases, the polar LED

carrier life-time reduces and some c-plane LEDs withbandwidth of the order 1GHz have been reported at5kA/cm2 injection. However, high current injectionmeans lower efficiency as a result of ‘droop’. The researchers comment: “LEDs fabricated on

non-polar and semi-polar orientations are attractive forachieving higher bandwidths at lower operating currents,which is advantageous for maximizing efficiency,reducing power dissipation, and mitigating issues withthermal management.”

Orthogonal-frequency division multiplexingFinally, KAUST claims a record 3.2 gigabit per second(Gbps) data-rate performance for VLC at 405nm wavelength [Kang-Ting Ho et al, Optics Express, vol26, p3037, 2018]. The orthogonal-frequency divisionmultiplex (OFDM) system used an InGaN multiplequantum well microphotodetector (μPD) receiver andlaser diode source with quadrature amplitude modula-tion (QAM) coding. The team wanted to address the bandwidth and

data security challenges for future high-speed mobileinternet, smart traffic, and Internet of Things (IoT).Bandgap limitations make the receiver portion of VLC systems particularly challenging.The μPDs used a multiple quantum well with 15-period

InGaN/GaN structure grown on c-plane sapphire byMOCVD (Figure 10). The p-AlGaN electron-blocking layer

Technology focus: Visible light communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

84

Figure 10. (a) Schematic of InGaN/GaN MQW μPD and transmission electron microscope image showing 15-pairs of 3nm InGaN/13.5nm GaN (scale bar 20nm). (b) Optical microscope image of μPD with diameter of 80μm.

––

Page 85: Nitrides for visible light communications Nitrides for visible light communications

Technology focus: Visible light communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

85

was 100nm and the p-GaN was150nm.The μPD fabrication included

annealed 5nm/250nm Ni/ITOtransparent contact, 200nmSiO2 electrical isolation, and10nm/1μm Ni/Au contacts.The device demonstrated

wavelength selectivity with –3V reverse bias between374nm and 408nm — a pass-band full-width at half-maximum(FWHM) of 34nm. Peakresponse was 70.7mA/W at392nm wavelength. The dark current was 37.4pA. The–3V reverse bias gave the optimal performance in terms of dark current and –3dB cut-offmodulation bandwidth for405nm radiation from a laserdiode (71.5MHz).An OFDM setup consisting of a

405nm laser diode and the μPDmanaged 16-QAM with a bit-error rate (BER) of3.7x10–7 with 853MHz frequency response. This corre-sponds to a 3.2Gbps data-rate transmission (Figure 11).With a 7% overhead for forward-error correction, this is reduced slightly to 2.96Gbps for error-freetransmission. The researchers comment: “Our work features the

record high data rate of VLC link using InGaN μPDs as receiver, which is the first demonstration of such

system. In addition, compared with the prior reportedresults, our device was operated at lower bias voltage,and the carrier lifetime was shorter for high-speedmodulation.”

Author: Mike Cooke is a freelance technology journalist who hasworked in the semiconductor and advanced technologysectors since 1997.

Figure 11. BER versus data rate of VLC link with different OFDM QAM order.Inset: corresponding constellation diagrams at 4-QAM, 8-QAM and 16-QAM.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 86: Nitrides for visible light communications Nitrides for visible light communications

Hamamatsu Photonics K.K. in Japan says that ithas demonstrated room-temperature operationof nitride-based stacked laser diodes (LDs) with

tunnel junctions for the first time [Satoru Okawara etal, Appl. Phys. Express, vol11, p012701, 2018].The researchers hope that multiple-stack nitride-

based laser diodes will lead to high-peak-power appli-cations such as long-range light detection and ranging(LiDAR) for automotive vehicles and industry. In partic-ular, the team points to the much higher maximumpermissible exposure (MPE) for the human eye ofpulsed near-ultraviolet light from III–nitride emitters,compared with the near-infrared produced by otherIII-V compound semiconductor systems. The stackingof laser diodes should reduce peak operating current —high-peak-current devices are difficult to pulse due tohigh reverse voltages from inductance effects. The material structure was grown by metal-organic

vapor phase epitaxy on free-standing GaN (Figure 1).Optically the two laser diodes were designed to ‘fully confine’ the ~395nm-wavelength light fields in thetwo guided region without crosstalk. The laser sectionsconsisted of 1000nm n-aluminium gallium nitrideAl0.07Ga0.93N cladding, a 200nm n-GaN waveguide, a 2-period indium gallium nitride (In0.06Ga0.94N)/GaNmultiple quantum well, a 200nm undoped GaN wave-guide, and 400nm of p- Al0.07Ga0.93N cladding.The tunnel junction connecting the devices was

5nm p++-InGaN and 30nm n++-GaN. The researcherscomment: “The thicknesses, indium composition, anddoping concentrations of the tunnel junction were opti-mized to lower the voltage drop at the tunnel junctionand to suppress the generation of hexagonal thermalpits originating from the tunnel junction layer, becausethe hexagonal pits generally act as current leakagepaths, deteriorating the laser diode performance.”

Technology focus: Lasers

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

86

Figure 1. Schematic device structure of two-stack laser diode with tunnel junction.

Stacking III-nitride laserswith tunnel junctionconnectionsResearchers seek high peak power for long-range light detection and rangingautomotive and industrial applications.

Page 87: Nitrides for visible light communications Nitrides for visible light communications

Twin laser devices were fabricated by etching down tothe n-cladding of the lower laser diode; activation of thep-type layers; deposition of nickel/gold p-electrodes,silicon dioxide insulation and titanium/gold n-electrodes;and cleaving and passivating mirror facets. The p-activation aimed at removal of hydrogen — in thelower layers this entailed lateral diffusion. The mirrorpassivation was aluminium oxide. The gain-guidedbroad-area cavity was 70µmx1000µm. The deviceswere assembled p-side up into TO-9 packages. Current-voltage studies of the double laser diode

gave a series resistance of 45Ω at 50mA injection,compared with 3.5Ω for a single emitter. The corre-sponding turn-on voltages were 6V and 3V. The teammainly blames the high resistance on insufficientremoval of hydrogen from the buried p-type layers.In 5kHz 20ns-pulsed-mode operation (Figure 2), the

stacked laser diodes output 394nm wavelength at 9Ainjection. The radiation from the two laser diode com-ponents could not be distinguished. The comparisonsingle-emitter had a linear 1.5W/A laser slope up 10Woutput power. For the double laser diode, there were two laser

thresholds at 2.4A and 5.2A. The slope after the firstthreshold was 0.8W/A and, after the second, 1.1W/A.The team reports: “The slope efficiencies of the stackedlaser diode were lower than that of the single-emitterlaser diode because of the non-optimized mirror facetcoating of the stacked laser diode.” They also associatethe first threshold with the upper laser diode (0.8W/Aslope efficiency) and the second with the lower device(0.3W/A).Further problems could arise from degradation of the

InGaN layers in the bottom laser diode during subse-quent high-temperature growth steps of the upperlaser diode. “In addition, the p-dopant diffusing fromthe p++-InGaN layer of the tunnel junction into the

cladding layer of LD2 might increase the opticalabsorption loss for lasing,” the researchers add. https://doi.org/10.7567/APEX.11.012701Author: Mike Cooke

Technology focus: Lasers

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

87

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Figure 2. (a) Light output power and (b) slopeefficiency versus current of two-stack (red circles) andsingle-emitter (black triangles) laser diodes underpulsed operation. Inset: optical spectrum of two-stacklaser diode with emission wavelength of 394nm.

Page 88: Nitrides for visible light communications Nitrides for visible light communications

University of California Santa Barbara(UCSB) in the USA has improvedthe continuous wave (CW)

performance of semi-polar blue laserdiodes (LDs) on (2021) gallium nitride(GaN) by using a thin p-type layer incombination with an indium tin oxide(ITO) transparent conductor electrodeas top cladding [Shlomo Mehari et al,Optics Express, vol26, p1564, 2018].This enabled laser output powers up to1.1W per facet, and a wall-plug efficiencyof 15%.Although III-nitride laser diodes are

already applied in projection displaysand optical data storage systems, wideruse is hampered by high operating volt-age, poor differential efficiency, andresulting low wall-plug efficiency. To avoidself-heating reduction in efficiency,pulsed operation is used. The researchershope that development of CW operationcould open up high-intensity lightingapplications such as automobile head-lamps and industrial illumination. Improved differential efficiency can be

attained by semi-polar laser diodes,compared with the more usual polar c-plane devices, from higher indium incorporation and better electron-hole wavefunction overlap, butoperating voltages remain high. The high voltage implieshigh power losses, which give rise to high junctiontemperature and further reduction in efficiency.The UCSB team thinned the p-type GaN and used a

low-refractive-index transparent conductive oxide (TCO)as cladding in the hopes of reducing the operating volt-age.The epitaxial structure for the laser diode (Figure 1)

was grown on (2021) free-standing GaN supplied byMitsubishi Chemical. The active light-emitting regionwas a pair of 3.5nm quantum wells with 7nm barriers,grown by 840°C metal-organic chemical vapor deposition(MOCVD). After a 15nm top barrier, the p-type layerswere grown at 1000°C.Ridge-waveguide lasers were fabricated with

230nm sputtered silicon dioxide (SnO2) and 150nmelectron-beam evaporated indium tin oxide (ITO) and

titanium/gold p-contact structure. The facets wereformed by a combination of wet etching and chemicallyassisted ion beam etching. There was no coating onthe facets. Electron-beam evaporation was also usedto deposit the back n-contact. The samples were soldered to a copper block for testing.Reducing the p-GaN thickness from 650nm to 250nm

reduced the operating voltage from 7.3V to 5.35V,respectively, for 10kA/cm2 current through the laserdiode. “This low operating voltage is much lower thanpreviously reported for blue laser diodes on semi-polarGaN substrates, and is comparable to highly optimizedc-plane laser diodes,” the team comments.The voltage efficiency for photons of 430nm wave-

length was 54% for 250nm p-GaN, compared with40% for the 650nm layer. The wall-plug efficiency was14% for 250nm p-GaN and 10.9% for 650nm.The researchers point out that not all the improvement

comes from the reduced series resistance of the thinner

Technology focus: Lasers

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

88

Operating voltage reduced by using thin p-type layer in combination withindium tin oxide (ITO) transparent conductor electrode as top cladding.

Figure 1. Schematic cross section of fabricated laser diodes with ITO p-contact layer.

Continuous-wave operation ofsemi-polar blue laser diodes

––

––

Page 89: Nitrides for visible light communications Nitrides for visible light communications

250nm p-GaN layer. They suggest that at higher biasesSchottky barriers may become thinner, allowing fortunneling effects to contribute to increased current flow. The light output power performance of the devices

was very similar under pulsed operation. The thresholdcurrent density was 2.2kA/cm2. The thinner p-GaNallowed higher power under CW operation as a resultof reduced heat dissipation. The peak CW light outputwas 0.55W for 650nm p-GaN and 0.76W for 250nm.Infrared thermography showed a reduced average

temperature in the ridge at 58°C for 250nm p-GaN for1A current (14kA/cm2 density), compared with 85°Cfor 650nm. The researchers comment: “This tempera-ture is much lower than the previously reported peaktemperature of 70°C for c-plane blue laser diodesmounted on a submount and tested at drive current of

0.1A (6.2kA/cm2).”The differential efficiency of the laser diodes with

650nm p-GaN was slightly higher than that of the250nm laser diodes. The team believes that the differ-ence suggests “some modal overlap with the ITO,which has a high material optical loss”. The researchersbelieve that improved performance could be obtainedby using a lower-absorption transparent conductivematerial such as zinc oxide.Reducing the silicon doping in the n-type layers

(Figure 2) allowed an increase in wall-plug efficiency to15% at 0.96A (10kA/cm2) and peak output power of2.2W (1.1W from each facet, current ~2.5A). The lasing wavelength in this case was 445nm. https://doi.org/10.1364/OE.26.001564Author: Mike Cooke

Technology focus: Lasers

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

89

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Figure 2. (a) CW light-current-voltage characteristics of 8µmx1200µm laser diodes with p-GaN thicknessesof 250nm and 150nm and lower Si doping. (b) Comparison of differential efficiencies for laser diodes with250nm and 150nm p-GaN thicknesses and low and high Si doping levels.

Page 90: Nitrides for visible light communications Nitrides for visible light communications

Jun Ma and Elison Matioli of École polytechniquefédérale de Lausanne (EPFL) in Switzerland haveused a hybrid tri-anode/slanted tri-gate structure

to reduce leakage current and increase breakdownvoltages in gallium nitride (GaN) lateral Schottky barrier diodes (SBDs) produced on silicon substrates[Appl. Phys. Lett., vol112, p052101, 2018].Ma and Matioli comment: “The hybrid tri-anode pins

the voltage drop at the Schottky junction (VSCH),

despite a large applied reverse bias, fixing the reverseleakage current (IR) of the SBD.” They see the devicesas having potential for power converters with high performance and competitive cost.The researchers used templates with an aluminium

gallium nitride (Al0.25Ga0.75N) barrier and 5µm GaNbuffer on silicon substrate. The slanted tri-gate SBDstructures were etched to a depth of 180nm withinductively coupled plasma (Figure 1). The width in the

Technology focus: GaN diodes

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

90

Structure reduces leakage current and increases breakdown voltage for high-performance, competitive-cost power converters.

Figure 1. (a) Schematic of slanted tri-gate SBD and (b) top-view scanning electron microscopy (SEM) imageof anode region. Cross-sectional schematics of (c) planar (P), (d) slanted tri-gate (sTG), (e) tri-gate (TG),and (f) tri-anode (TA) regions comprising anode.

Tri-anode/slant-gate GaN Schottky barrier diodeon silicon

Page 91: Nitrides for visible light communications Nitrides for visible light communications

anode region was 200nm. The slanted tri-gate regionincreased from 200nm up to 600nm towards the cathode.The 60µm-wide devices were isolated with mesa

etching. The ohmic cathode consisted of alloyed titanium/aluminium/titanium/nickel/gold. After cathode formation, 10nm of silicon dioxide and 10nmof aluminium oxide were deposited. The oxides wereremoved and replaced with nickel/gold to form the4µm-long Schottky tri-anode. The nickel/gold alsoformed a 1.3µm-long planar single gate, 0.7µm slantedtri-gate, and 0.5µm tri-gate leading into the anode.The researchers modeled the devices as a tri-anode

SBD connected in series with a tri-gate, a slanted tri-gate, and a planar-gate transistor. The slanted andplanar gate sections also operate as field plates,designed to increase breakdown voltage.Devices with anode-cathode distances of 15µm and

25µm demonstrated,respectively, 14Ω-mm and22Ω-mm on-resistanceat room temperature.These respective valuesincreased to 28Ω-mmand 37Ω-mm at 150°C.The 1mA/mm on-voltagewas as low as 0.61V. The ideality was 1.40 atroom temperature and1.27 at 150°C.

Under reverse bias, the devices pinched-off around–1.7V. Increasing the magnitude of the reverse bias to-650V did not change the ~5.5nA/mm leakage (IR)significantly. At –830V, the leakage was still only10nA/mm. Ma and Matioli add: “Extremely low IR of51±5.9nA/mm was observed at –1000V, which is significantly smaller than in any other reports of GaN-on-Si SBDs.”The leakage was increased by around 50nA/mm at

150°C. For –200V, the reverse current of 57±13nA/mmis claimed to be the smallest value among reported lateral GaN SBDs at such a high temperature.The 1µA/mm breakdown points came at –1450V

and –2000V, respectively, for the 15µm and 25µmanode–cathode devices with floating substrate bias.The corresponding hard breakdown voltages (VBR)were –1500V and –2500V. The researchers estimatethe critical field at 1MV/cm. Grounding the substrategave a unified 1µA/mm breakdown of –1060V withhard failure at –1200V.The performance puts the shorter device in the frame

for 600V/650V applications, while the longer devicescould handle 1200V ratings with ~100% safety margin.The researchers compared their breakdown voltage

and specific on-resistance (RON,SP) results with otherreports (Figure 2). The team also gives a high powerfigure of merit of 1.16GW/cm2 (VBR2/RON,SP). https://doi.org/10.1063/1.5012866Author: Mike Cooke

The performance putsthe shorter device inthe frame for600V/650Vapplications, whilethe longer devicescould handle 1200Vratings with ~100%safety margin

Technology focus: GaN diodes

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

91

Figure 2. RON,SP versus VBR benchmark of slanted tri-gate SBDs against state-of-the-art lateral GaN-on-Si SBDs.

Page 92: Nitrides for visible light communications Nitrides for visible light communications

Cornell University in the USA has demonstrated a gallium nitride (GaN) phase-transition field-effect transistor (FET) based on loading a

metal-oxide-semiconductor high-electron-mobilitytransistor (MOS-HEMT) with a vanadium dioxide (VO2)resistor [Amit Verma et al, IEEE Transactions on Electron Devices, vol65, p945, 2018]. The combinationenabled very low leakage along with ‘sub-thermionic’subthreshold steep-switching behavior.The researchers comment: “This first demonstration

of ultralow-leakage steep switching in GaN phase-FETsusing integration-friendly ALD VO2 opens the door tointroducing new functionalities in nitride low-powerdigital devices, microwave circuits, photonic devices,and power electronics in the GaN-on-silicon platform.” Heating the VO2 resistor above ~67°C gave an insula-

tor to metal transition. An electrically driven transitionto the metallic phase occurred above a critical field of~27kV/cm at 60°C. The current density threshold was~20µA/µm.The VO2 was grown by atomic layer deposition (ALD)

using tetrakis-ethylmethylamino-vanadium (TEMAV)and ozone precursors on sapphire. The layer was

50nm thick with amorphous structure. Annealing crys-tallized the VO2. The contacts on the 100µm-wide VO2

resistors were titanium/gold. The team comments: “Though this transition can be

achieved at room temperature, an elevated tempera-ture of 60°C was used to keep the transition voltagelower.” The resistor was connected to an aluminium gallium

nitride barrier (AlGaN) MOS-HEMT on silicon (Figure 1).The GaN buffer layer was 1.3µm. The ohmic source/draincontacts were alloyed titanium/aluminium/nickel/gold.The gate stack consisted of ALD silicon nitride (SiNx)and aluminium oxide (Al2O3) with nickel/gold electrode.Without the VO2 load resistor, the pinch-off voltage

was –5V, while the on/off current ratio was 12 ordersof magnitude. At 60°C, the subthreshold swing/slope(SS) was ~90mV/decade, which compares with thethermionic ‘Boltzmann’ limit of 66mV/decade. Goodsaturation gave current densities of ~0.4mA/µm.The phase FET consisted of a 2µmx100µm VO2 source

load on a 200µm-wide GaN MOS-HEMT with 3µm gatelength, and 2µm gate–source and 4.5µm gate–drainseparations. The performance of the combined device

Technology focus: Nitride transistors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

92

Figure 1. Schematic of phase-FET. AlGaN/GaN MOS-HEMT on Si (right) loaded at source with ALD VO2 resistor.

Vanadium dioxide enablesIII-nitride phase-transitionfield-effect transistor Combination gives very low leakage and sub-thermionic steep-switching.

Page 93: Nitrides for visible light communications Nitrides for visible light communications

depended on the direction of sweep (hysteresis).In the insulating state, the VO2 load reduces the

effective gate–source bias, suppressing the drain cur-rent. There is also an impact when the VO2 resistorgoes metallic: with the drain bias at 10V and the gateat +2V, the drain current was 337µA/µm, while in thebare MOS-HEMT the current was 427µA/µm under thesame conditions. Due to the extremely low off-current,the VO2 resistor has “no effect” in the off-state. The transition from insulating to metallic phase for

the VO2 resistor occurredat a drain bias of about4V in up-sweeps. Thechange back to insulatingVO2 was more gradual inthe down sweep.The SS behavior showed

the MOS-HEMT beingabove the thermionic limitat all times (Figure 2).

However, the phase FET had values reaching down to~9mV/decade in the up-sweep and ~29.2mV/decadein the down-sweep. At the same drain currents asthese minima, the MOS-HEMT SS was ~720mV/decade.The researchers comment: “This experimental result

is an initial proof of concept to access sub-Boltzmannlimit modulation using ALD VO2. To move the steeptransition gate voltages to the subthreshold regime for low-power digital switching, and potentially formemory–logic hybrids, it will be necessary to matchthe device geometries and the VO2 impedance. Thiswill also enable shaping of the hysteresis.”The team sees the next steps of the research as being

on-wafer integration of the two components of thephase-FET, reducing hysteresis, reducing the VO2

phase transition voltage at room temperature, andobtaining steep switching over a larger drain currentrange. https://doi.org/10.1109/TED.2018.2795105Author: Mike Cooke

This first demonstrationof ultralow-leakagesteep switching inGaN phase-FETs usingintegration-friendlyALD VO2 opens thedoor to introducingnew functionalities

Technology focus: Nitride transistors

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

93

Figure 2. Measured transconductance of (a) GaN MOS-HEMT and (b) GaN phase-FET as function of gate bias.Measured SS as function of drain current for (c) GaN MOS-HEMT and (d) GaN phase-FET device at 60°C.

Page 94: Nitrides for visible light communications Nitrides for visible light communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

94

suppliers’ directory

1 Bulk crystal source materials p94

2 Bulk crystal growth equipment p94

3 Substrates p94

4 Epiwafer foundry p95

5 Deposition materials p95

6 Deposition equipment p96

7 Wafer processing materials p96

8 Wafer processing equipment p96

9 Materials and metals p97

10 Gas & liquid handling equipment p97

11 Process monitoring and control p97

12 Inspection equipment p97

13 Characterization equipment p98

14 Chip test equipment p98

15 Assembly/packaging materials p98

16 Assembly/packaging equipment p98

17 Assembly/packaging foundry p98

18 Chip foundry p98

19 Facility equipment p98

20 Facility consumables p98

21 Computer hardware & software p98

22 Used equipment p99

23 Services p99

24 Consulting p99

25 Resources p99

1 Bulk crystal sourcematerials

Mining & Chemical Products Ltd(part of 5N Plus, Inc) 1-4, Nielson Road, Finedon Road Industrial Estate, Wellingborough, Northants NN8 4PE, UK Tel: +44 1933 220626 Fax: +44 1933 227814 www.MCP-group.com

Umicore Indium Products 50 Simms Avenue, Providence, RI 02902, USA Tel: +1 401 456 0800 Fax: +1 401 421 2419 www.thinfilmproducts.umicore.com

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

MR Semicon Inc PO Box 91687, Albuquerque, NM 87199-1687, USA Tel: +1 505 899 8183 Fax: +1 505 899 8172 www.mrsemicon.com

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700Fax: +1 510 683 5901 www.axt.com Supplies GaAs, InP, and Ge wafersusing VGF technology withmanufacturing facilities in Beijingand five joint ventures in Chinaproducing raw materials, includingGa, As, Ge, pBN, B2O3.

CrystAl-N GmbHDr.-Mack-Straße 77, D-90762Fürth, GermanyTel: +49 (0)911 650 78 650 90Fax: +49 (0)911 650 78 650 93E-mail: [email protected] www.crystal-n.com

Crystal IS Inc70 Cohoes AvenueGreen Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Page 95: Nitrides for visible light communications Nitrides for visible light communications

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

95

MARUWA CO LTD 3-83, Minamihonjigahara-cho,Owariasahi, Aichi 488-0044, JapanTel: +81 572 52 2317www.maruwa-g.com/e/products/ceramic

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.deIII/V-Reclaim offers reclaim(recycling) of GaAs and InP wafers,removing all kinds of layers andstructures from customers’ wafers.All formats and sizes can behandled. The firm offers single-sideand double-side-polishing andready-to-use surface treatment.

Umicore Electro-Optic MaterialsWatertorenstraat 33, B-2250 Olen, BelgiumTel: +32-14 24 53 67Fax: +32-14 24 58 00www.substrates.umicore.com

Wafer World Inc1100 Technology Place, Suite 104,West Palm Beach, FL 33407, USATel: +1-561-842-4441Fax: +1-561-842-2677E-mail: [email protected]

4 Epiwafer foundry

Spire Semiconductor LLC 25 Sagamore Park Drive, Hudson, NH 03051, USA Tel: +1 603 595 8900 Fax: +1 603 595 0975 www.spirecorp.com

Albemarle Cambridge Chemical LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, CardiffCF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

SoitecPlace Marcel Rebuffat, Parc deVillejust, 91971 Courtabouef,France Tel: +33 (0)1 69 31 61 30 Fax: +33 (0)1 69 31 61 79www.picogiga.com

5 Depositionmaterials

Akzo Nobel High Purity Metalorganics www.akzonobel.com/hpmo Asia Pacific: Akzo Nobel (Asia) Co Ltd,Shanghai, ChinaTel. +86 21 2216 3600Fax: +86 21 3360 [email protected] Americas: AkzoNobel Functional Chemicals,Chicago, USA Tel. +31 800 828 7929 (US only)Tel: +1 312 544 7000Fax: +1 312 544 [email protected] Europe, Middle East and Africa: AkzoNobel Functional Chemicals,Amersfoort, The NetherlandsTel. +31 33 467 6656Fax: +31 33 467 [email protected]

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Dow Electronic Materials 60 Willow Street, North Andover, MA 01845, USA Tel: +1 978 557 1700 Fax: +1 978 557 1701 www.metalorganics.com

Matheson Tri-Gas6775 Central Avenue, Newark, CA 94560, USATel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Mining & Chemical Products Ltd (see section 1 for full contact details)

Page 96: Nitrides for visible light communications Nitrides for visible light communications

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

SAFC HitechPower Road, Bromborough, Wirral, Merseyside CH62 3QF, UKTel: +44 151 334 2774Fax: +44 151 334 6422www.safchitech.com

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

6 Depositionequipment

AIXTRON SEDornkaulstr. 2, 52134 Herzogenrath, Germany Tel: +49 2407 9030 0 Fax: +49 2407 9030 40 www.aixtron.com

AIXTRON is a leading provider ofdeposition equipment to thesemiconductor industry. Thecompany’s technology solutions areused by a diverse range of customersworldwide to build advancedcomponents for electronic andoptoelectronic applications(photonic) based on compound,silicon, or organic semiconductormaterials and, more recently,carbon nanotubes (CNT), grapheneand other nanomaterials.

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, Switzerland Tel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

Ferrotec-Temescal 4569-C Las Positas Rd, Livermore, CA 94551,

USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net Temescal, the expert inmetallization systems for theprocessing of compoundsemiconductor-based substrates,provides the finest evaporationsystems available. Multi-layercoatings of materials such as Ti, Pt, Au, Pd, Ag, NiCr, Al, Cr, Cu,Mo, Nb, SiO2, with high uniformityare guaranteed. Today the world’smost sophisticated handsets,optical, wireless and telecomsystems rely on millions of devices that are made usingTemescal deposition systems andcomponents.

Oxford Instruments Plasma Technology North End, Yatton, Bristol, Avon BS49 4AP, UK Tel: +44 1934 837 000 Fax: +44 1934 837 001 www.oxford-instruments.co.uk

Plasma-Therm LLC10050 16th Street North, St. Petersburg, FL 33716, USA Tel: +1 727 577 4999 Fax: +1 727 577 7035 www.plasmatherm.com

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

Veeco is a world-leading supplier ofcompound semiconductor equipment,and the only company offering bothMOCVD and MBE solutions. Withcomplementary AFM technology andthe industry’s most advanced ProcessIntegration Center, Veeco tools helpgrow and measure nanoscale devicesin worldwide LED/wireless, datastorage, semiconductor and scientificresearch markets—offering importantchoices, delivering ideal solutions.

7 Wafer processingmaterials

Air Products and Chemicals Inc 7201 Hamilton Blvd., Allentown, PA 18195, USA Tel: +1 610 481 4911 www.airproducts.com/compound

MicroChem Corp1254 Chestnut St. Newton, MA 02464, USA Tel: +1 617 965 5511Fax: +1 617 965 5818www.microchem.com

Praxair Electronics (see section 5 for full contact details)

8 Wafer processingequipment

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.com

Logitech LtdErskine Ferry Road, Old Kilpatrick, near Glasgow G60 5EU,

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

96

Page 97: Nitrides for visible light communications Nitrides for visible light communications

Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.com

Oxford Instruments Plasma Technology (see section 6 for full contact details)

Plasma-Therm LLC(see section 6 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPTS Technology Ltd Ringland Way, Newport NP18 2TA, UK Tel: +44 (0)1633 414000 Fax: +44 (0)1633 414141 www.spts.com

SUSS MicroTec AGSchleißheimer Strasse 90, 85748 Garching, Germany Tel: +49 89 32007 0 Fax: +49 89 32007 162 www.suss.com

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

Goodfellow supplies smallquantities of metals and materialsfor research, development,prototyping and specialisedmanufacturing operations.

10 Gas and liquidhandling equipment

Air Products and Chemicals Inc (see section 7 for full contact details)

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SOLUTIONS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24000 Fax: +49 89 96 2400122 www.csclean.com

SAES Pure Gas Inc 4175 Santa Fe Road, San Luis Obispo, CA 93401, USA Tel: +1 805 541 9299 Fax: +1 805 541 9399 www.saesgetters.com

11 Process monitoringand control

Conax Technologies 2300 Walden Avenue, Buffalo, NY 14225, USA Tel: +1 800 223 2389 Tel: +1 716 684 4500E-mail: [email protected]

Conax Technologies is a designerand manufacturer of standard andcustom-engineered temperaturesensors, compression seal fittingsand feedthroughs, probes, wires,electrodes and fiber-optic cables.The company is headquartered inBuffalo, New York, with locations onthe US West Coast, Canada, Europeand Asia.

k-Space Associates Inc 2182 Bishop Circle East, Dexter, MI 48130, USA Tel: +1 734 426 7977 Fax: +1 734 426 7955www.k-space.com

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

LayTec AG Seesener Str. 10–13,10709 Berlin,Germany Tel: +49 30 89 00 55 0 Fax: +49 30 89 00 180 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is based on optical techniques:reflectometry, emissivity correctedpyrometry, curvaturemeasurements and reflectanceanisotropy spectroscopy.

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120 Furtwangen imSchwarzwald, GermanyTel: +49 7723 9197 0 Fax: +49 7723 9197 22 www.wepcontrol.com

12 Inspection equipment

Bruker AXS GmbH Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker-axs.de

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

97

Page 98: Nitrides for visible light communications Nitrides for visible light communications

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

98

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Keithley Instruments Inc28775 Aurora Road, Cleveland, OH 44139, USA Tel: +1 440.248.0400 Fax: +1 440.248.6168 www.keithley.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Wafer World Inc (see section 3 for full contact details)

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

Ismeca Europe Semiconductor SAHelvetie 283, La Chaux-de-Fonds,2301, Switzerland Tel: +41 329257111 Fax: +41 329257115 www.ismeca.com

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

Compound SemiconductorTechnologies Ltd Block 7, Kelvin Campus, West of Scotland, Glasgow, Scotland G20 0TH, UK Tel: +44 141 579 3000 Fax: +44 141 579 3040 www.compoundsemi.co.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

MEI, LLC3474 18th Avenue SE, Albany, OR 97322-7014, USA Tel: +1 541 917 3626Fax: +1 541 917 3623www.marlerenterprises.net

20 Facility consumables

W.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Ansoft Corp4 Station Square, Suite 200, Pittsburgh, PA 15219, USATel: +1 412 261 3200 Fax: +1 412 471 9427 www.ansoft.com

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108, Richmond, VA 23238, USATel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

Page 99: Nitrides for visible light communications Nitrides for visible light communications

22 Used equipment

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USATel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Henry Butcher InternationalBrownlow House, 50–51 High Holborn, London WC1V 6EG, UK Tel: +44 (0)20 7405 8411 Fax: +44 (0)20 7405 9772 www.henrybutcher.com

M+W Zander Holding AGLotterbergstrasse 30, Stuttgart, Germany Tel: +49 711 8804 1141 Fax: +49 711 8804 1950 www.mw-zander.com

24 Consulting

Fishbone Consulting SARL8 Rue de la Grange aux Moines, 78460 Choisel, France Tel: + 33 (0)1 30 47 29 03E-mail: [email protected]

25 Resources

Al Shultz Advertising Marketing for AdvancedTechnology Companies

1346 The Alameda, 7140 San Jose, CA 95126, USA Tel: +1 408 289 9555 www.alshuktz.com

SEMI Global Headquarters3081 Zanker Road, San Jose, CA 95134, USATel: +1 408 943 6900Fax: +1 408 428 9600www.semi.org

Yole Développement 45 rue Sainte Geneviève, 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

REGISTERfor Semiconductor Today

free at

www.semiconductor-today.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

99

Page 100: Nitrides for visible light communications Nitrides for visible light communications

12–13 April 2018 UK MBE 2018 — Nottingham University of Nottingham, UK E-mail: [email protected] https://ukmbe.wordpress.com

15–19 April 2018 SPIE Defense + Commercial Sensing Gaylord Palms Resort & Convention Center, Orlando, Florida, USA E-mail: [email protected] http://spie.org/conferences-and-exhibitions/defense--commercial-sensing

16–18 April 2018 14th International Conference onConcentrator Photovoltaics (CPV-14) La Central Puertollano Ferial, Puertollano, Spain E-mail: [email protected] www.cpv-14.org

22–25 April 2018 UV LED Technologies & Applications MELIÃ Hotel Berlin, Germany E-mail: [email protected] www.iuva.org/BerlinConference

22–26 April 2018SPIE Photonics Europe 2018Strasbourg Convention & Exhibition Centre, FranceE-mail: [email protected] http://spie.org/SPIE_Photonics_Europe_Conference

23–24 April 2018 ARMMS RF & Microwave Society Conference The Oxford Belfry, Milton Common, near Thame, UK E-mail: [email protected] www.armms.org/conferences

25 April 2018 Microwave and Millimeter-wave GaN –Wafer to IC Workshop Centre for High Frequency Engineering, Cardiff University, UK E-mail: [email protected] www.eventbrite.co.uk/e/microwave-and-millimeter-wave-gan-wafer-to-ic-workshop-tickets-43343519634

7–10 May 20182018 International Conference onCompound Semiconductor ManufacturingTechnology (CS ManTech) Hyatt Regency, Austin, TX, USA E-mail: [email protected] www.csmantech.org

13–17 May 2018 30th IEEE International Symposium onPower Semiconductor Devices and ICs(ISPSD 2018) Palmer House Hilton Hotel, Chicago, IL USA E-mail: [email protected] www.ispsd2018.org

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018 www.semiconductor-today.com

100

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Aixtron SE 5Conax Technologies 31CS Clean Solutions 41Evatec 9, 11, 13Ferrotec-Temescal 45Fuji Electric 19III/V-Reclaim 36IQE 33

LayTec 37NAsPIII/V 29RIFF Company 53SEMI-GAS 43Umicore 63University Wafer 47Veeco Instruments — MOCVD 2

Advertiser Page no. Advertiser Page no.

advertisers’ index

Page 101: Nitrides for visible light communications Nitrides for visible light communications

16–18 May 2018 IEEE Workshop on Wide Bandgap PowerDevices and Applications in Asia (WiPDAAsia 2018)Xi'an, Shaanxi, ChinaE-mail: [email protected] www.wipda-asia.org

20–24 May 2018 2018 International Power ElectronicsConference (IPEC-Niigata 2018 – ECCE Asia) TOKI MESSE Niigata Convention Center, Japan E-mail: [email protected] www.ipec2018.org

23–24 May 2018 Imec Technology Forum (ITF Belgium 2018) Antwerp, Belgium E-mail: [email protected] www.itf2018.com/en

5–7 June 2018 PCIM Europe (Power conversion andIntelligent Motion) 2018 Nuremberg Messe, Germany E-mail: [email protected] www.mesago.de/en/PCIM/main.htm

11–12 June 2018 SCAPE 2018 - International Wide-BandgapPower Electronics Applications Workshop(previously ISiCPEAW and IWBGPEAW) Stockholm, Sweden E-mail: [email protected] www.ri.se/kalendarium/scape2018

12–14 June 2018 ANGACOM 2018 Exhibition & Congress forBroadband, Cable and Satellite Messe Köln, Cologne, Germany E-mail: [email protected] www.angacom.de/en.html

18–22 June 2018 2018 IEEE Symposium on VLSI Technologyand Circuits Hilton Hawaiian Village, Honolulu, HI, USA E-mail: [email protected] www.vlsisymposium.org

20–22 June 2018 Intersolar Europe 2018 Messe München, Munich, Germany E-mail: [email protected] www.intersolar.de

24–29 June 2018 IEEE 45th Photovoltaic SpecialistsConference (PVSC 2018) Washington DC, USA E-mail: [email protected] www.ieee-pvsc.org

26–28 June 2018 PCIM Asia (Power Conversion and Intelligent Motion)2018 Shanghai, China www.mesago.de/en/PCC/home.htm

9–11 July 2018 IEEE Photonics Society’s 2018 SummerTopicals Meeting Series Waikoloa, Hawaii, USAE-mail: [email protected] www.sum-ieee.org

10–12 July 2018 Intersolar North America San Francisco, CA, USA E-mail: [email protected] www.intersolar.us

10–12 July 2018 SEMICON West 2018 Moscone Center, San Francisco, CA, USA E-mail: [email protected] www.semiconwest.org

19–23 August 2018SPIE Optics + Photonics 2018San Diego Convention Center, California, USAE-mail: [email protected] http://spie.org/Optics_Photonics

17–21 September 2018 EPE’18 ECCE Europe (20th EuropeanConference on Power Electronics andApplications) Riga, Latvia E-mail: [email protected] www.epe2018.com

23–28 September 201813th European Microwave IntegratedCircuits Conference (EuMIC 2018), part of 21st European Microwave Week(EuMW 2018) IFEMA, Madrid, Spain E-mail: [email protected] www.eumweek.com/conferences/eumic.html

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 2 • March/April 2018

101

Page 102: Nitrides for visible light communications Nitrides for visible light communications

www.semiconductor-today.com

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductorand advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 82,000+ international circulation

WEB SITE

Average of over 26,000 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today