nocalert : an on-line and real-time fault detection mechanism for network-on-chip architectures

4
University of Cyprus International Symposium on Microarchitecture, December 3 2012, Vancouver, Canada The Multicore Computer Architecture Laboratory (multiCAL) Ξ - Computer Architecture Research Group (Ξ - CARCH) EuroCloud FP7 Project NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides

Upload: neorah

Post on 16-Feb-2016

57 views

Category:

Documents


0 download

DESCRIPTION

NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures. Andreas Prodromou , Andreas Panteli , Chrysostomos Nicopoulos , Yiannakis Sazeides. Wrong is NOT Always Illegal. - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

1NoCAlert (MICRO-2012)University of Cyprus

University of Cyprus

International Symposium on Microarchitecture, December 3 2012, Vancouver, Canada

The Multicore Computer Architecture Laboratory (multiCAL)Ξ - Computer Architecture Research Group (Ξ - CARCH)

EuroCloud FP7 Project

NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides

Page 2: NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

2NoCAlert (MICRO-2012)University of Cyprus

Wrong is NOT Always Illegal

• In Networks-on-Chip, checking for legality is the same as checking for correctness.

Let the values be wrong. As long as they are legal…

• But checking for legality is much simpler• Extremely fast and efficient fault detection• Instantaneous detection

Page 3: NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

3NoCAlert (MICRO-2012)University of Cyprus

Invariance Checking

• How to achieve this: Invariance Checking• Inputs and outputs of the main components are constantly

monitored

• Extremely lightweight solution• 3% Area Overhead• 0.7% Power Overhead• 1% Delay Overhead

• Scales efficiently to more complex designs

Page 4: NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

4NoCAlert (MICRO-2012)University of Cyprus

Where and When

• 14:30 – 15:00• Section IB – Fault Tolerance

We would be glad to see you there