photoresist materials and processing.pdf

58
19 Photoresist Materials and Processing Ce ´sar M. Garza Will Conley Freescale Semiconductor, Inc. Jeff Byers KLA-Tencor 19.1 Formation of the Relief Image ........................................ 19-1 Overview Description of the Lithographic Process 19.2 Formation of a Relief Image in Novolac-Based Photoresists ....................................................................... 19-5 Overview Elements of the Dissolution Mechanism of Novolac-Based Photoresists Development Mechanisms in Novolac-Based Photoresists 19.3 Formation of the Relief Image in Chemically Amplified Resists............................................................. 19-23 Overview Exposure Step 19.4 ArF Materials, Immersion Lithography and Extension of ArF ............................................................. 19-40 ArF Materials ArF Transparent Polymer Systems Extending ArF Topcoats for Immersion Lithography New Immersion Fluids High Refractive Index (RI) Polymers Post-ArF-Material Requirements References .................................................................................... 19-53 19.1 Formation of the Relief Image Optical microlithography is the technology that determines, in practical terms, the smallest transistor dimensions that can be manufactured on a semiconductor chip. As such it has been the primary driver for the remarkable improvements in performance and reduction in cost per function, the hallmark of the microelectronics industry. Optical microlithography involves the practice of multiple disciplines: physics, chemistry, and engineering specialties. Physics is used to form the aerial image; and it has been covered in the previous chapter. Chemistry is involved in the formation of the latent and relief images on the recording medium, know as photoresist, and it is the subject matter of the present chapter. 19.1.1 Overview As it was covered in the previous chapter, the smallest dimension that be printed is given by the Rayleigh criteria: Resolution Z k 1 l=NA ð19:1Þ where l is the actinic wavelength used in the formation of the aerial image, k 1 is a proportionality 19-1 q 2007 by Taylor & Francis Group, LLC

Upload: qui-lam-phu

Post on 26-Oct-2014

674 views

Category:

Documents


8 download

TRANSCRIPT

Page 1: Photoresist Materials and Processing.pdf

19

q 2007 by Taylor & Francis Group, LLC

Photoresist Materialsand Processing

Cesar M. Garza

Will ConleyFreescale Semiconductor, Inc.

Jeff Byers

KLA-Tencor

19.1 Formation of the Relief Image ........................................ 19-1

Overview † Description of the Lithographic Process

19.2 Formation of a Relief Image in Novolac-BasedPhotoresists ....................................................................... 19-5

Overview † Elements of the Dissolution Mechanism of

Novolac-Based Photoresists † Development Mechanisms in

Novolac-Based Photoresists

19.3 Formation of the Relief Image in ChemicallyAmplified Resists............................................................. 19-23

Overview † Exposure Step

19.4 ArF Materials, Immersion Lithography andExtension of ArF............................................................. 19-40

ArF Materials † ArF Transparent Polymer Systems †

Extending ArF † Topcoats for Immersion

Lithography † New Immersion Fluids † High Refractive

Index (RI) Polymers † Post-ArF-Material Requirements

References .................................................................................... 19-53

19.1 Formation of the Relief Image

Optical microlithography is the technology that determines, in practical terms, the smallest transistor

dimensions that can be manufactured on a semiconductor chip. As such it has been the primary driver

for the remarkable improvements in performance and reduction in cost per function, the hallmark of the

microelectronics industry. Optical microlithography involves the practice of multiple disciplines: physics,

chemistry, and engineering specialties. Physics is used to form the aerial image; and it has been covered in

the previous chapter. Chemistry is involved in the formation of the latent and relief images on the

recording medium, know as photoresist, and it is the subject matter of the present chapter.

19.1.1 Overview

As it was covered in the previous chapter, the smallest dimension that be printed is given by the Rayleigh

criteria:

Resolution Z k1l=NA ð19:1Þ

where l is the actinic wavelength used in the formation of the aerial image, k1 is a proportionality

19-1

Page 2: Photoresist Materials and Processing.pdf

248 nm

365 nm

193 nmVersion 2

193 nmVersion 1

436 nm

Etc

h ra

te(R

elat

ive

to n

ovol

ak r

esis

ts)

Resist formulation

2

1

FIGURE 19.1 Etch rates of 365, 248, and 193 nm resists relative to 436 nm resists.

19-2 Handbook of Semiconductor Manufacturing Technology

constant, and NA is the numerical aperture of the lens. The proportionality constant, k1, can be used to

assess the maturity of the process; the theoretical limit is 0.25.

The path that the industry has followed to improve resolution has been to first increase the NA of the

lens to its practical limit; and then reduce the wavelength. This has deep implications in the formation of

the relief image, for the resist chemistry is optimized for a specific wavelength. The wavelengths that have

been used in optical microlithography are: (a) 436 nm, which corresponds to the g-line of a mercury

lamp; (b) 365 nm, which corresponds to the i-line of a mercury lamp; (c) 248 nm, which corresponds to

a KrF excimer laser; and (d) 193 nm, which corresponds to an ArF excimer laser. Photoresists used on the

first two wavelengths, 436 and 365 nm, are made using the same basic chemistry, and it involves using a

novolak-resin and a diazoquinone sensitizer. Despite the similarity in their chemistry, the resist

formulations at 436 and 365 nm are different because they need to be optimized for each wavelength.

This chemistry is covered in Section 19.2.

Because of low intensity at the resist level, a completely new technology called chemical amplification

had to be developed to formulate the resists at 248 and 193 nm. Like in the case of 436 and 365 nm, the

resist formulations at 248 and 193 nm are different because they need to be optimized for a specific

wavelength. The chemistry for these resists is covered in Section 19.3 and Section 19.4. One unfortunate

drawback in moving from a novolak-resist to a chemically amplified resist (CAR) formulation is a

decrease1 in etch resistance, one of the primary qualities of interest in a photoresist. This is shown in

Figure 19.1, where we plot the etch rate of 248 and 193 nm relative to that of novolak-based photoresists.

Notwithstanding this drawback, and others that will be mentioned later in the chapter, the performance

of resists in terms of resolution has improved over time.2

This point is made in Figure 19.2, where we show a plot of the proportionality constant, k1, over time.

This shows that the improvement in resolution-realized overtime has been greater than what can be

expected by increasing the NA or decreasing the wavelength. A number of factors have contributed to this

improvement, like better equipment and control methodologies. But the resist formulations have also

improved, because acceptable resist patterns are being printed despite a decrease in contrast on the

aerial image.

19.1.2 Description of the Lithographic Process

A schematic representation of the microlithographic process is shown in Figure 19.3, and a flowchart is

shown in Figure 19.4. Excluding the hard bake, which is not always required, the formation of the relief

image is the last step and goal of the microlithographic process. The relief image is formed by developing

q 2007 by Taylor & Francis Group, LLC

Page 3: Photoresist Materials and Processing.pdf

Year

k 1 fa

ctor

1980 1985 1990 1995 2000 2005 20100.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9436 nm365 nm248 nm193 nm

g-line i-line 248nm 193nm

FIGURE 19.2 Proportionality constant, k1, of the Rayleigh criterion (Equation 19.1) plotted vs. time, showing an

improvement of the lithographic process over time.

Prime

Spin-coatand bake

Expose

Post-exposurebake

Develop

Hardbake

Decreases the likelihood offeatures lifting during develop.

The resist is spin-coated, andthe solvent is evaporated by

baking the wafer in a hot plate.

The resist is exposed, and alatent image generated.

In some resists a bake afterexposure enhances the process

performance.

The latent image is developed,forming a relief pattern.

Baking the wafer after developmentcan improve the thermal stability of

the relief pattern.

FIGURE 19.3 Flowchart of the microlithographic process. The postexposure and hard-bake steps can be omitted,

depending on the process.

Photoresist Materials and Processing 19-3

q 2007 by Taylor & Francis Group, LLC

Page 4: Photoresist Materials and Processing.pdf

OHOH OH OH

CH3CH3CH3CH3

HHn+

H+

Mixtureof

cresolsFormaldehyde Novolac resin

O

FIGURE 19.4 Schematic representation of the formation of novolac resins.

19-4 Handbook of Semiconductor Manufacturing Technology

the latent image, which is generated during the exposure step in an appropriate medium. This medium is

called the developer. The developer is usually a liquid, but it can also be a plasma gas.

Over the years, a large number of chemistries have been proposed for optical photoresists, but only a

few of them have gained wide acceptance in their practical use. Regardless of how different the

chemistries might be, all of them have these two common characteristics:

1. A base material, usually an organic resin, provides the required physical and mechanical

properties. In broad terms, these properties are the ability to form very uniform films and good

thermal, mechanical, and etch stability.

2. Upon exposure, at least one of the components in the photoresist must undergo one or more

chemical changes. The end result is that in the appropriate developer, the exposed-resist areas turn

either more soluble (positive-tone) or less soluble (negative-tone) than the unexposed-resist areas.

The change in solubility induced by the photochemical change is what permits the formation of

the relief image. This principle is responsible for the formation of relief images in all photoresists;

however, given the degree of sophistication, the current, and the demands on the photoresist

performance, many variables must be carefully controlled for the successful generation of

relief images.

Photoresist systems have been classified as positive-tone or negative-tone. Positive-tone photoresist

systems are those, where the relief image formed at the wafer level is the same as the one in the mask

or reticle. In negative-tone systems, the relief image is the complement or opposite of the mask or

reticle. Resists can also be classified as one- or two-component, according to the number of active

materials. For instance, if the same material that is sensitive to light also provides the required physical,

chemical, and mechanical properties, the photoresist is said to be a one-component system. If two

materials are needed, it is said to be a two-component system, and so on. Traditionally, photoresist

systems have also been classified according to the form of development. A wet-developed system is one

where a liquid is used as the developer, and a dry-developed system is one where the relief image is

formed in a plasma gas. By far, wet-development is the most common means of forming the

relief image.

The specific mechanism by which the relief image is formed has significant implications on the

processing characteristics of the photoresist. The very first photoresists used in the microelectronics

industry, before novolak-based photoresists, were rubber-based. In this kind of material, a cross-linking

reaction that takes place in the exposed areas increases the molecular weight. The increased molecular

weight, in turn, decreases the solubility of the exposed areas in a non-polar, organic solvent such as

toluene or xylene. The solubility differential permits the formation of the relief image. On this kind of

chemistry, lifting of resist features during development is not an issue nor is the physical and chemical

stability of the printed images during the subsequent steps, particularly wet-etching. The reason is that

the in situ cross-linking reaction produces a very stable polymer with very good adhesion to the substrate.

q 2007 by Taylor & Francis Group, LLC

Page 5: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-5

However, it also has the detrimental side effect of trapping solvent and monomer molecules inside the

cross-linked structure. This leads to a swelling effect that makes this type of processing uncontrollable for

printing features smaller than 1.5 mm. Reducing the minimum features and controlling their width

became an intractable problem that eventually made these resists obsolete in state-of-the-art wafer fabs.

Positive-tone, novolac-based photoresists with a diazonaphthoquinone (DNQ) sensitizer began

replacing rubber-based photoresists in the mid 1970s as greater resolution was needed. A large change

in the dissolution rate of the exposed vs. the unexposed resist is the fundamental principle that makes all

novolac photoresists work. Very high resolution is possible, almost all the way to the molecular level, but

these resists do not have the same adhesion properties of cross-linked materials. The wafers now need to

be primed to address this problem. The etch resistance is somewhat inferior but still sufficient,

particularly, in a plasma etch. The same basic chemistry works at 436 and 365 nm, but the formulation

is different, mainly in the sensitizer and resin composition, to address the higher absorption of the 436-

nm materials at 365 nm.

Because the trend for most organic materials is to become more absorptive as the wavelength

decreases, new materials had to be developed with the advent of 248-nm lithography. Another significant

problem that had to be overcome is a much lower number of photons available at 248 nm as compared to

365 nm. This led to the development of new families of materials generically known as chemically

amplified photoresists. In those type of materials, like novolac-based photoresists, the dissolution rate of

the exposed is much higher than the unexposed resist. The way this is accomplished, however, is

completely different. In a chemical amplified resist, there is a chemical deprotection reaction on the resin

that is catalytic in nature. In this deprotection reaction, a segment of the original resin is removed as a

gas. This can lead to a contamination-deposition on the imaging tool, if it is not properly managed. The

deprotection reaction can be affected by airborne contaminants, and it can also be induced by other

means, like temperature and exposure to an electron beam. This requires a very tight control of the

environment, temperature, and timing between exposure and development. The way to address these

problems was to couple the coater-developer unit with the exposure tool, so that the two works as a single

unit, known as a cell. A further decrease in the adhesion and etch resistance of chemically amplified resist

can also be traced by these deprotection reaction.

Absorption again became a problem when the wavelength had to be reduced from 248 down to 193 nm

to further reduce the transistor size. Chemical-amplification is also used in the formulation 193 nm, but

the chemical composition of the resin and sensitizer is different. The etch resistance of 193 nm is lower

yet than that of 248 nm. The new issue with 193 nm is line edge roughness (LER). The printed resist

images are rougher compared to previous materials, although this problem is being resolved by further

refining the resist formulations. The resolution of 193-nm lithography is likely to be extended to the

45-nm node by the used of a liquid to bend the light rays, so that in practice, the NA is greater than one.

This undoubtedly will require further changes to the resist formulation.

19.2 Formation of a Relief Image in Novolac-Based Photoresists

19.2.1 Overview

Novolac-based photoresists have been classified as two-component photoresist systems3,4 because the

two main components are a novolac resin and DNQ sensitizer. In more advanced photoresist

formulations, this can be an oversimplification due to the presence of other important additives such

as dyes to minimize reflections from the substrate and surfactants to improve the coating uniformity.

Nevertheless, it still makes sense to keep this classification because the resin and the sensitizer play the

most important roles in the formation of the relief image.

Novolac resins are phenolic resins formed by the condensation of various cresols with formaldehyde,

as shown in Figure 19.5. They are very suitable as a basis for the formulation of photoresists because they

can form very uniform, thin films when spun-coat. Also, the aromatic rings that form the resin backbone

produce a great deal of chemical stability, allowing the resist to withstand the harsh environments

q 2007 by Taylor & Francis Group, LLC

Page 6: Photoresist Materials and Processing.pdf

O

COOHN2

N2H2O

hu+

Diazonaphthoquinone Indene carboxylic acid

FIGURE 19.5 Photochemical reaction of a diazonaphthoquinone (DNQ).

19-6 Handbook of Semiconductor Manufacturing Technology

encountered in subsequent processing steps. This is particularly important when the next step is a pattern

transfer step like plasma etching. Even though these are important contributions to the overall

requirements of a photoresist system, the role of the resin goes beyond that; the resin structure has a

great deal of influence in the dissolution process. As such, it has a large effect on the formation of the

relief image.

Since novolac resins are not sensitive to light, a second component is needed. This is the role of the

sensitizer, which is a DNQ sulfonate derivative. Upon exposure, it undergoes a photochemical

transformation, the end result of which is the formation of an indene-carboxylic acid, or photoacid

(see Figure 19.6).

1000

100

10

1.0

0.1302520151050

Exposed

Unexposed

Diazoquinone concentration (wt.%)

Dis

solu

tion

rate

(nm

. s1 )

FIGURE 19.6 Dissolution rates of exposed and unexposed photoresists. (From Introduction to Microlithography,

edited by Thompson, L. F., Willson, C. G., and Bowden, M. J., ACS Symposium Series 219, American Chemical

Society, Washington, DC, 90–91, 1983. Copyright IEEE, 1980.)

q 2007 by Taylor & Francis Group, LLC

Page 7: Photoresist Materials and Processing.pdf

12,500

Time (s)

00 20

U

R

A

E

Thi

ckne

ss (

Å)

FIGURE 19.7 Dissolution rates of exposed, E, and unexposed, U, photoresist; novolac resin, R; and novolac resin

mixed with an indene-carboxylic acid, A. (From Introduction to Microlithography, edited by Thompson, L. F.,

Willson, C. G., and Bowden, M. J., ACS Symposium Series 219, American Chemical Society, Washington, DC,

111–16, 1983.)

Photoresist Materials and Processing 19-7

Novolac resins are soluble in aqueous alkali, but the addition of a DNQ sulfonate inhibits this

dissolution process. Figure 19.75 shows, in a graphic way, the dramatic effect of adding a DNQ sulfonate

on the dissolution rates of novolac resins. From this plot, we can see that the difference in the dissolution

rates between exposed and unexposed photoresist can be as much as a factor of 200.

The photoacid, on the other hand, not only does not inhibit the dissolution process, but it may

also actually enhance it, although this is somewhat controversial because of contradictory reports in

the literature. Hinsberg et al.6 measured the dissolution rates of fast-dissolving resins and fast-

dissolving resins mixed with photoacids. A summary of their data is shown in Figure 19.8. On this

OH OH OH OH OHO−

n n+ + H2OOH−

Deprotonation of phenol groups

OHOHOHOH

(a)

(b)

(c)

O− O−

nn

+ H2OH2O

Solvation of phenolate ions

OH OH OH OHO−O−

n n

+ M+ M+

Charge compensation and formation of ion-pair

FIGURE 19.8 (a) Deprotonation of phenol groups, (b) Solvation of phenolate ions, (c) Charge compensation and

formation of ion-pair.)

q 2007 by Taylor & Francis Group, LLC

Page 8: Photoresist Materials and Processing.pdf

19-8 Handbook of Semiconductor Manufacturing Technology

data, we see that the exposed photoresist dissolves faster than the original resin, although the resin

mixed separately with the acid does not. It could be as Ouano7 proposes that the evolution of

nitrogen gas, a byproduct of the photochemical reaction shown in Figure 19.9, creates free volume

that facilitates the diffusion of the developer into the resin matrix, enhancing the dissolution process.

On the other hand, Blum et al.8 report a significant increase of the dissolution rates for slower-

dissolving resins mixed with a photoacid.

Whether the presence of the photoacid enhances the dissolution rate of the novolac resin or not, is not

as important as identifying the two determining factors for the formation of the relief image:

1. The difference in the dissolution rates between the exposed and unexposed photoresists.

2. The rate of change of the dissolution rates as a function of change of the amount of irradiated light.

The nature of these two factors is clearly kinetic and provides the foundation upon which the

formation of the relief image rests. It is of vital importance to determine the factors that has an effect

on these two phenomena, not only to develop optimum resist formulations, but also to optimize and

control the process in a manufacturing environment. For many years, the industry approached this goal

in a highly empirical manner. Fortunately in recent years, great progress has been made in

understanding the mechanism of the dissolution process and modeling of the overall

lithographic process.

The mechanism of a chemical reaction is the detailed description of the step or steps that lead to the

formation of the chemical products from the starting materials. In our case, the starting materials are

the resin, the sensitizer (the two major photoresist components), light, and the developer. The product

is the solvated, ionized resin, i.e., the dissolution of the novolac resin in the developer. The benefits of

having a clear and detailed understanding of the mechanism of the dissolution process should

be obvious.

1

3

5

79

10

30

50

7090

100

300

500

(2267, 369.2)

(5447, 39.1)

(9486, 11.9)

(12882, 4.7)

(16200, 2.6)

1,000 10,000Molecular weight plotted on log scale

Dev

elop

men

t rat

e (n

m/s

) on

log

scal

e (MW . dissolution rate)−

FIGURE 19.9 Effect of the molecular weight on the dissolution rate of photoresists. (From Ouano, A. C., Polym.

Eng. Sci., 18, 306, 1978.)

q 2007 by Taylor & Francis Group, LLC

Page 9: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-9

To the resist manufacturer, it provides a solid base from which to manipulate the chemical

quality and quantity of the individual components required to optimize the resist formulation. To

the process engineer, it provides the foundation for selecting the best processing conditions

and troubleshooting.

19.2.2 Elements of the Dissolution Mechanism of Novolac-BasedPhotoresists

As previously stated, the mechanism of a chemical reaction is the detailed description of the steps

involved in the chemical transformation of interest. In our case, the transformation of interest is the

dissolution of the novolac resin into the aqueous developer. The generally accepted steps involved in the

dissolution process are:

1. Diffusion of –OH ions and water into the polymer matrix.

2. Deprotonation of phenol groups of the novolac resin to polymer-bound phenolate ions, as shown

in Figure 19.10a.

3. Solvation of the phenolate ions, as shown in Figure 19.10b.

4. Compensation of the negative charge of the phenolate ions by the positive charge of the base

cation and formation of ion pairs, as shown in Figure 19.10c.

5. Rearrangement of the ionized polymer chains, detachment from the polymer matrix, and transfer

into solution.

The structures of the resin, the sensitizer, and the developer are all involved in this multi-step process.

It is convenient to start by analyzing the contributions of each of these variables before examining the

proposed dissolution mechanisms.

(Exposed

part)

(Unexposedpart)

Azocouplinereactionproduct

COOH

COOH

COOH

COOH

H

H H

H

H H

HH H

HH

H

H HHL

L L

L

L

N

N

NN

N

N

N

N

N

N

N

N

LS

H: High molecular weightnovalac resin

L: lowN: NQD (Naphthoquinone-

diazode)N COOH: Photoacid

"

FIGURE 19.10 Schematic representation of the stone-wall model. (From Blum, L., Perkins, M. E., and

McCullough, A. W., Proc. SPIE, 771, 148, 1987.)

q 2007 by Taylor & Francis Group, LLC

Page 10: Photoresist Materials and Processing.pdf

19-10 Handbook of Semiconductor Manufacturing Technology

19.2.2.1 Novolac Resin

The molecular weight, Mw, of the novolac resins used in photoresists usually lies in the range 1000–3000,

corresponding to 8–25 repeating units, n, in Figure 19.4. As a general rule, the higher the molecular

weight of the resin, the slower is the dissolution rate of the photoresist. This trend is clearly shown in

Figure 19.9, where Arcus9 plots the dissolution rates of a group of photoresists that vary only by their

average molecular weight. The exceptions to this general rule mainly relate to the isomeric composition

of the resin, as we shall see later in this section.

The slower dissolution rate of higher-molecular-weight resins tends to degrade the photoresist

performance in particular resolution.10,11 However, high-molecular-weight components can enhance

other required photoresist properties, like thermal stability, because their glass transition temperature is

higher. Since Mw has an effect on the photoresist performance, intuitively one would also think that its

variation, or molecular dispersity, Mw/Mn, would also have an effect on the photoresist performance.

This is shown in Table 19.1, where the contrast and photosensitivity are tabulated for photoresists made

from resins with different Mw and Mw/Mn. If the photoresist performance is affected by Mw and

Mw/Mn, the repeatability of the process over time clearly would depend on how well Mw and Mw/Mn

are controlled. This is an important point that needs to be made: microlithographic engineers place as

much importance on the repeatability as in performance of the process itself.

A different approach to narrowly controlling the molecular-weight dispersity to enhance the performance

of novolac-based photoresists is advocated by Hanabata et al.12 This approach is best known as stone-wall

model, which invokes the formation of a base-catalyzed azo-coupling between the DNQ and the phenolic

resin in the unexposed areas of the resist film. The model derives its name from the analogy made between the

photoresist structure and a “stone wall”. The azo-coupling reaction causes an increase in molecular weight

and, thereby, creates a stone wall that is resistant to dissolution in base. The lower-molecular-weight

components are like the small stones that fit in-between the larger stones, or higher-molecular-

weight components, in a stone-wall-like structure. After the photoresist has been exposed and the DNQ

turned into an acid, the lower-molecular-weight components will readily dissolve. This will bring about the

collapse of the wall, which in turn will facilitate the dissolution of the higher-molecular-weight components,

since they will be surrounded by the developer. Figure 19.10 graphically describes this model.

The stone-wall model is intuitively appealing and complements nicely some more recent models, such

as the domain theory. One advantage of this approach is blending: the physical properties of the

different-molecular-weight components, such as dissolution rate and thermal stability can be blended

together, producing a photoresist with the best compromise in terms of resolution and temperature

stability. This model describes many aspects of photoresist–dissolution response, but it has fallen from

favor because many compounds that have been demonstrated to have a powerful dissolution–inhibition

response do not undergo azo-coupling. The 1,3-diacyl-2-diazo compounds described by Grant et al.13 are

functional examples, and the dissolution inhibition of the naphthalene sulfonate of hydroxybenzophe-

none is essentially equal to that of the corresponding DNQ.

TABLE 19.1 Photosensitivity and Contrast of Photoresists Made from Resins with Different Average Molecular

Novolac

Resin

Weight

(g)

Mw M/Mn Resist

Visc. (cSt)

Devel.

Strength

Erosion Rate

(A/min)

Photosensitivity

(mJ/cm2)

Contrast

Unfractionated 350 19,100 72.1 32.3 1:1 10 109 1.66

C 104 45,800 70.0 120.7 Conc. 47 167 1.71

D 59 12,300 38.7 21.1 1:1 16 149 1.65

E 101 4,870 20.8 11.0 1:4 32 142 1:10

F 38 540 6.8 Not made

into a

resist

Weight, Mw, and dispersity, Mw/Mn.

Source: Reproduced from Pampalone, T. R., Solid State Technol., 27(6), 115, 1984. With permission.

q 2007 by Taylor & Francis Group, LLC

Page 11: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-11

The isomeric composition of the resin also plays a very important role in the photoresist performance.

Isomers are chemicals with the same formula, but with different configurations in space. Cresol, the

starting material for novolac resins, has three isomers: ortho-, meta-, and para-cresol (o-cresol, m-cresol

and p-cresol, for short). They are shown in Figure 19.11. Notice that the only difference between the three

is the relative position of the –OH and –CH3 groups in the phenyl ring.

Since, cresol is the starting material for the manufacture of novolac resins, the relative position of the

methylene links within the resin will vary, depending on which cresol isomer is involved in the

polymerization reaction. The implication of this phenomenon is that the three-dimensional, or

secondary structure of the resin will greatly vary for each one of these isomeric novolac resins. This

was the subject of a landmark paper by Templeton, Szmanda, and Zampini.14 According to this study,

ortho–ortho linked phenolic polymers (e.g., p-cresol novolac) demonstrate considerable intramolecular

hydrogen bonding, whereas (pHOST) and ortho–para linked novolac polymers display primarily

intermolecular hydrogen bonding. Pawloski et al.15 reached the same conclusion regarding secondary

structure in their recent molecular-dynamics study of the clustering of hydroxyl groups in phenolic

polymers. The two- and three-dimensional structure of an ortho–ortho novolac trimer is shown in

Figure 19.12. Note that the two-dimensional representation of the trimer does not provide information

on the close proximity of the –OH groups to each other. This close proximity leads to strong

intermolecular hydrogen bonding. On the other hand, an ortho–para-coupled trimer such as the one

shown in Figure 19.13, has a three-dimensional structure, where the –OH groups are directed outward.

In this case intramolecular, and not intermolecular, hydrogen bonding takes place. Templeton et al.

showed that the bulk dissolution rate of resins, where intermolecular hydrogen bonding is prevalent is

significantly higher than the dissolution rate of resins, where intramolecular hydrogen bonding prevails.

The secondary structure of ortho–ortho novolac resins allows stronger interactions with the sensitizer

via hydrogen bonds than those that appear with ortho–para novolac resins. The reason is the location in

space of the –OH groups and their close proximity to each other. Experimental evidence of this

interaction has been reported in the literature16 as early as 1988, by pointing out the shift towards the red

in the infrared spectrum of DNQs after mixing them with novolac resin. However, it was Honda17 who

clearly proposed this interaction in his domain theory, a schematic representation, of which is shown in

Figure 19.14. The evidence that Honda et al. provide in support of this theory is two-fold: (a) infrared

and C-13 NMR spectroscopy, and (b) correlation between the structure of the resin and lithographic

performance. A similar model to the domain theory is the Host Guest Complex, postulated

independently by Kajita et al.18 Like Honda, they offer spectroscopic data and lithographic performance

as evidence to postulate the existence of strong resin–sensitizer hydrogen bonding as required for

optimum lithographic performance.

Borzo et al.19 presented evidence from NMR and spectroscopic techniques that the spectroscopic shifts

reported by Honda et al. reflected a larger Fermi-resonance effect rather than hydrogen bonding between

the DNQ and the phenolic resins. Shifts in the 15 N spectra were small compared to the broadening of the

resonance, and the ortho–ortho bonded resins did not show differences that would exist for

OHOH

OH

n

n

nCH2CH2

CH2CH3

CH3

CH3

ortho meta para

FIGURE 19.11 The ortho-, meta-, and para-cresol isomers.

q 2007 by Taylor & Francis Group, LLC

Page 12: Photoresist Materials and Processing.pdf

OH OH

CH3 CH3 CH3

OH

The two-dimensional structure of anortho, ortho' -coupled novolac trimer.

The three-dimensional structure of anortho, ortho' -coupled novolac trimer.

FIGURE 19.12 Comparison between the two- and three-dimensional structure of an ortho, ortho’-coupled novolac

trimer. Note the close proximity between the OH groups that leads to strong intermolecular hydrogen bonding.

19-12 Handbook of Semiconductor Manufacturing Technology

macromolecular complexes of the sort described by the Honda’s model. These results suggest that the

domain theory cannot alone account for the dissolution mechanism.

19.2.2.2 Sensitizer

As previously described, the sensitizer a DNQ derivative, inhibits the resin’s dissolution process.

However, after being exposed to the appropriate wavelength, it turns into an acid and ceases to

inhibit the dissolution process. Figure 19.6 shows how remarkable the inhibition effect of the sensitizer is;

addition of some 20% in weight of a DNQ derivative slows the dissolution process by more than two

orders of magnitude. Clearly, this effect is out of proportion to its concentration, and it implies that the

role the inhibitor plays must take place at a critical stage in the development process.

For many years, there has been little information in the literature on the exact sensitizer composition

of commercial photoresists, and even less on how they inhibit the dissolution process. This is not very

surprising since this kind of information is regarded as a trade secret by resist manufacturers. However, in

recent years, a number of papers have been published that shed light on this subject. It was generally

accepted that the diazoquinone moiety was crucial to the inhibition effect. This belief was supported by

the number of chemical reactions reported in the chemical literature between diazoquinone moieties and

phenolic derivatives. However, hard evidence had been lacking linking any of these reactions with the

inhibition effect. Hard evidence that strong resin–sensitizer interactions are important to the inhibition

effect was provided by Beauchemin et al.20 They showed that the ratio of the infrared peak intensities at

2118 cmK1, P1; and 2159 cmK1, P2; can be used to measure the molecular interaction between the resin

and the sensitizer. The reasoning is that the stronger the interaction between the resin and the sensitizer,

the lower the energy, and the further the shift of the infrared spectra to the red. Furthermore, they

q 2007 by Taylor & Francis Group, LLC

Page 13: Photoresist Materials and Processing.pdf

CH3 CH3OH OH OHCH3

Two-dimensional structure of an ortho,para -coupled m-cresol novolac trimer

,

The three-dimensional representation of an ortho, para -coupled m-cresol novolac trimer

,

FIGURE 19.13 Comparison between the two- and three-dimensional structure of an ortho, para’-coupled novolac

trimer. In this case, the OH groups are pointing outward, which leads to strong intramolecular, instead of

intermolecular, hydrogen bonding.

Photoresist Materials and Processing 19-13

showed that this ratio, P2/P1, can be correlated to the dissolution inhibition ability of the sensitizer: the

stronger the resin–sensitizer interaction, the stronger the dissolution inhibition. This is in very good

agreement with the Domain and the host-guest theories explained in the preceding section.

The hypothesis that the ability of the sensitizer to inhibit the dissolution process is related to the extent

to which it interacts with the resin is further explored by Uenishi et al.21 Like Beauchemin et al. they

correlate the shift to the red of infrared spectra of resin–sensitizer mixtures with the dissolution

inhibition ability of the sensitizer. Comparing a series of inhibitor structures, they reached the conclusion

that the inhibition-ability of the sensitizer is enhanced by keeping the DNQ functional groups in the

sensitizer molecule as far apart as possible. The explanation for this observation is that DNQ groups in

close proximity compete for the same hydrogen-bonding sites of the resin, weakening the strength of the

interaction. They also conclude that the number of DNQ groups should be kept to a minimum, which

contradicts early results from other authors.22

A key point made by Uenishi et al. is the correlation between the sensitizer hydrophobicity and its

dissolution inhibition ability. The parameter they use to measure inhibition is the ratio Rn/Rp, where Rp

is the dissolution rate of the resin–sensitizer mixture, and Rn is the dissolution rate of the resin by itself.

The retention time in a reverse-phase high-pressure liquid chromatography column was used to estimate

the hydrophobicity of the sensitizer. Figure 19.15 and Figure 19.16 show a correlation between the

hydrophobicity of dissolution inhibitors and the dissolution inhibition parameter Rn/Rp; this

correlation holds true for two different developer concentrations. Furthermore, they show that molecules

without the diazoquinone derivative can be effective dissolution inhibitors as long as they are strongly

hydrophobic in nature. It is important to clarify that, presently, we are focusing only on the dissolution

inhibition properties of the sensitizer. We are neglecting other properties that the sensitizer must have, in

order to get incorporated into a photoresist formulation, like adequate sensitivity to the actinic

wavelength and chemical compatibility with the resin and solvent.

q 2007 by Taylor & Francis Group, LLC

Page 14: Photoresist Materials and Processing.pdf

BCP Novolac

P3 domain

Diazo-group

DNQ / SBI

FIGURE 19.14 Molecular models representing the resin–sensitizer interactions according to the domain theory.

(From Pawloski, A. R., Torres, J. A., Nealey, P. F., and de Pablo, J. J., J. Vac. Sci. Technol. B, 17, no. 6 1999.)

19-14 Handbook of Semiconductor Manufacturing Technology

The statement made by Uenishi et al. that inhibition is possible without a diazoquinone moiety is in

agreement with an earlier paper from Murata et al.23

Notice from these data that there is no difference in the dissolution inhibition produced by

structures I and II, despite the fact that structure II does not have a diazoquinone moiety. Furthermore,

without the hydrophobic group SO2Cl, the inhibition effect of structure III has degraded. Finally,

structure IV, which contains a diazoquinone group, not only does not inhibit the dissolution process,

but also it actually enhances it. This can only be explained in the light of the statement made by

Uenishi et al. that within the sensitizer molecule, certain positions of the diazoquinone moiety allow

for stronger interaction with the resin than others. Thus, the presence of the diazoquinone moiety is

not sufficient for inhibition to take place; there must be an interaction with the resin, and the stronger

the better.

In summary, we now know that the dissolution inhibition ability of the sensitizer is affected by:

1. The position and number of DNQ functional groups. The presence of the diazoquinone moiety is

not sufficient; its position must be such that strong interactions with the resin take place.

2. Hydrophobic groups must also be present in the structure of the dissolution inhibitor.

q 2007 by Taylor & Francis Group, LLC

Page 15: Photoresist Materials and Processing.pdf

102

101

Inhi

bitio

n (R

n/R

p)

100

100 101

Retention time (min)Inhibition vs. retention time

(Model backbones)Developer; 0.331N TMAH

102

Without polar group

With polar group

FIGURE 19.15 Dissolution inhibition vs. sensitizer hydrophobicity for novolac resins developed in 0.331 N

tetramethylammonium hydroxide (TMAH). The dissolution inhibition effect is measured by the parameter

Rn/Rp, where Rp is the dissolution rate of the resin–sensitizer mixture, and Rn is the dissolution rate of the resin

by itself. The sensitizer hydrophobicity is measured by the retention time in a reverse-phase high-pressure liquid

chromatography column. (From Borzo, M., Rafalko, J. J., Joe, M., Dammel, R. R., Rahman, M. D., and Ziliox, M. A.,

Proc. SPIE, 2438, 294 1995.)

Photoresist Materials and Processing 19-15

19.2.2.3 Developer

Novolac resins are soluble in strong bases. The first developers were buffered solutions of alkaline bases,

typically sodium hydroxide, NaOH. However, when it was discovered that the alkaline metals have an

adverse effect on the reliability of semiconductor devices, aqueous solutions of tetramethylammonium

hydroxide (TMAH) began being used instead. The chemical formula of TMAH is N(CH3)4OH, but it is

known in the semiconductor industry as TMAH. Today, TMAH-based developers, also called metal-ion-

free developers because they do not contain any alkaline cations, are by the only developers used in wafer

fabs. Nevertheless, metal-ion developers receive wide coverage in this section. The reason is that they

must be included to determine trends important in understanding the mechanism of the

dissolution process.

For novolac resins to dissolve at a measurable rate, a minimum base concentration is required, around

a pH value of 12.5. This is a clear indication that dissolution of the resin cannot take place without a

significant degree of deprotonation. Above that critical concentration, the dissolution rate of exposed

and unexposed photoresists increases rapidly as the concentration of the base increases.24 This holds true

for alkaline or TMAH-based developers. Figure 19.17 shows a plot of the dissolution rate of unexposed

and exposed photoresist when NaOH is used as developer; and Figure 19.18 plots the dissolution rate for

unexposed photoresist using a TMAH-based solution as developer.

q 2007 by Taylor & Francis Group, LLC

Page 16: Photoresist Materials and Processing.pdf

102

101

Inhi

bitio

n (R

n/R

p)

100

100 101 102

Without polar group

Retention time (min)

With polar group

FIGURE 19.16 Dissolution inhibition vs. sensitizer hydrophobicity for novolac resins developed in 0.262 N

TMAH. The dissolution inhibition effect is measured by the parameter Rn/Rp, where Rp is the dissolution rate of the

resin–sensitizer mixture, and Rn is the dissolution rate of the resin by itself. The sensitizer hydrophobicity is

measured by the retention time in a reverse-phase high-pressure liquid chromatography column. (From Borzo, M. J.,

Rafalko, J., Joe, M., Dammel, R. R., Rahman, M. D., and Ziliox, M. A., Proc. SPIE, 2438, 294, 1995.)

19-16 Handbook of Semiconductor Manufacturing Technology

Hinsberg et al. found that the dissolution rate of some commercial photoresists developed with NaOH

can be described by the empirical equation shown below:

Rate Z 1:3!105½NaC�½OHK�3 ð19:2Þ

For exposed photoresist, the dissolution rate’s dependence on the concentration of the base is much more

complex. Figure 19.18 shows a log–log curve of the dissolution rate of exposed photoresist as a function

of [OHK]. In it we see that, for exposed photoresists, the rate-enhancing effect with increasing [OH]

eventually levels off.

As pointed out by Reiser,25 the rate of dissolution can be expressed in the general form:

Rate Z k½cationC�m½OHK�n ð19:3Þ

where the exponents m and n are formal reaction orders. This implies that the OH anion and the

corresponding cation are involved in the rate-determining step of the dissolution process.

Hinsberg et al. and Huang et al.26 have studied the effect of the nature and size of the base cation on the

dissolution rate. Figure 19.19 shows the dissolution rate of para-nitrosubstituted novolac resins

developed with 0.08 N solutions of bases of different monovalent cations. Table 19.2 lists the radii of

hydrated and unhydrated alkali ions. As it can clearly be seen in Figure 19.20, the dissolution rate

correlates with the radii of the unhydrated, not the hydrated, cation.

q 2007 by Taylor & Francis Group, LLC

Page 17: Photoresist Materials and Processing.pdf

1500

1000

Exposed90 mJ/cm2

Dis

solu

tion

rate

/s)

500

00.0 0.05 0.10 0.15

(NaOH) moles/l

0.20 0.25

Unexposed

2 mm AZ 1375

0.30 0.35

FIGURE 19.17 Dissolution rates of exposed and unexposed AZ1375 photoresist as a function of developer sodium

hydroxide concentration. (From Trefonas, P. III, and Daniels, B. K., Proc. SPIE, 771, 194,1987.)

4.0

3.0

Log

rate

/s)

2.0

1.0

–1.6 –1.4 –1.2

Log [OH–] (moles/l)

–1.0

2 mm AZ 1375Exposed90 mJ/cm2

–0.8 –0.6

FIGURE 19.18 Dissolution rate of exposed AZ1375 photoresist as a function of developer hydroxide ion

concentration. (From Trefonas, P. III and Daniels, B. K., Proc. SPIE, 771, 194, 1987.)

Photoresist Materials and Processing 19-17

q 2007 by Taylor & Francis Group, LLC

Page 18: Photoresist Materials and Processing.pdf

0

Nor

mal

thic

knes

s(1

cm

237

.8 m

n)

50Time (s)

100

Developing temperature: 27.5°C[Base] = 0.08 N

150

NaOH

KOH

RbOH

CsOH

(CH3)4NOH(C2H5)4NOH

FIGURE 19.19 Effect of the cation of the developer base. Dissolution curves (resist thickness vs. time) of an

experimental phenol-novolac resin in 0.08 M solution of the hydroxides indicated in the figure. (With permission

from W.D. Hinsberg, et al., personal communication.)

19-18 Handbook of Semiconductor Manufacturing Technology

The trends shown thus far have applied to metal-based or metal-ion-free developers equally. One

important difference between the two types of developers, however, is the change in the dissolution rate

as a function of temperature. Metal-ion-based developers show an increase in the dissolution rates as a

function of temperature, as we can see in Figure 19.21. This is the expected trend from the Arrhenius

Law:

k Z AeKðE=RTÞ ð19:4Þ

where k is the chemical reaction rate constant, A is a proportionality constant related to the activation

entropy, E is the activation energy, R is the universal gas constant, and T is the absolute temperature.

Metal-ion-free developers, however, seem to follow the opposite trend, as shown in Figure 19.22.

In summary, the concentration, chemical make-up, and temperature of the developer have a great

impact on the dissolution rate:

1. The dissolution rates for exposed and unexposed photoresist increase with the concentration of

the base and pH.

2. The dissolution rates decrease with the radii of the unsolvated base cation.

3. The dissolution rate increases with temperature for alkaline-base developers but decreases (within

a certain range) for metal-ion-free developers.

TABLE 19.2 Radii of Hydrated and Unhydrated Alkali Ions (A)

LiC NaC KC RbC CsC

Crystalline Radii 0.68 0.98 1.33 1.48 1.67

Hydrated Ions 3.40 2.76 2.32 2.28 2.28

Source: From Huang, J. P., Kwei, T. K., and Reiser, A. Proc. SPIE 1086, 74, 1989.

q 2007 by Taylor & Francis Group, LLC

Page 19: Photoresist Materials and Processing.pdf

15

10R

ate

(μm

/min

)

5

00 0.2

1/pr2 (Å–2)

0.4

NaOH

KOH

RbOH

CsOH(CH3)4NOH

(C2H5)4NOH

FIGURE 19.20 Dissolution rates from blends of para-nitrosubstituted novolac with 10% by weight of poly (2-

methylpentene-1-sulfone) (PMPS) in hydroxide solutions of different cations (0.08 N, 27.58C). The rate is plotted as

a function of the reciprocal of the cation cross-section, calculated from crystallographic data. (With permission from

W. D. Hinsberg, et al., personal communication.)

Photoresist Materials and Processing 19-19

Any mechanism proposed to explain the dissolution of novolac resins and formation of relief images

must be consistent with these observations.

19.2.3 Development Mechanisms in Novolac-Based Photoresists

Ueberreiter and Asmussen27,28 studied the dissolution of high-molecular-weight polymers in solvents.

They found that the dissolution process takes place in two stages:

1.0

0.8

Res

ist l

oss

(μm

)

0.6

0.4

0.2

010 20

Development temperature (°C)

30

Unexposed photoresist

Exposed photoresist

FIGURE 19.21 Relative rate of exposed and unexposed photoresist as a function of development temperature for a

metal-ion base developer.

q 2007 by Taylor & Francis Group, LLC

Page 20: Photoresist Materials and Processing.pdf

1.0

0.8

Res

ist l

oss

(μm

)

0.6

0.4

0.2

010 20

Development temperature (˚C)

30

Unexposed photoresist

Exposed photoresist

FIGURE 19.22 Relative rate of exposed and unexposed photoresist as a function of development temperature for a

metal-ion-free base developer. (From Reiser, A., Photoreactive Polymers, WileyInterscience: New York, 1989, 179.)

19-20 Handbook of Semiconductor Manufacturing Technology

1. In the first stage, the solvent penetrates the glassy structure of the polymer. As a result of this

penetration, a gel layer that separates the polymer’s solid phase from the solvent’s liquid phase

is formed.

2. In the second stage, the polymer coils disentangle and diffuse into the liquid phase of the solvent.

Thus, in the dissolution steady state, three phases are present: the glassy polymer, the swollen gel,

and the polymer in solution.

The dissolution of novolac resins is not the result of mixing a polymer with a compatible solvent, but

rather the result of a chemical reaction that forms a product with a large affinity for the developer.

Nevertheless, Ueberreiter and Asmussen’s analysis can be very useful to U.S. in determining where the

rate-determining step is taking place.

In the development of high-molecular-weight novolac resins, a swollen gel has also been observed. If

the rate-determining step is the diffusion of the developer across the gel layer, the dissolution process will

follow Fick’s Law, and the rate will depend on the square root of time. If, on the other hand, one of the

events taking place at the polymer–gel or gel–developer interface is the rate-determining step, the

development process will be a linear function of time. This is called Case II dissolution, or polymer-

relaxation-controlled mass transfer.29

The experimental data clearly show that the dissolution rate of novolac resins does not follow the

square root of time. Rather, the dissolution rate is a linear function of time. The only exceptions are

changes in the dissolution rate caused by standing waves formed during the exposure process, or

inhibition’s effects at the resist–surface or resist–substrate interface. This clearly points out to one or

several of the events at the resist-gel or gel-developer interface as being the rate-determining step.

The steps involved in the dissolution process are:

1. Diffusion of OHK ions and water into the polymer matrix.

2. Deprotonation of phenol groups of the novolac resin to polymer-bound phenolate ions.

3. Solvation of the phenolate ions.

q 2007 by Taylor & Francis Group, LLC

Page 21: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-21

4. Compensation of the negative charge of the phenolate ions by the positive charge of the base

cation and formation of ion pairs.

5. Rearrangement of the ionized polymer chains, detachment from the polymer matrix, and transfer

into solution.

We just determined that the diffusion of the OHK ions is not the rate-determining step because the

development rate does not follow Fick’s Law. This leaves steps 25 as candidates for the rate-

determining step.

The ionized polymer chains clearly will be more stable in solution than in the organic polymer matrix.

Since, there are no steric effects constraining this process, it is likely to take place very rapidly. This

excludes step 5 and leaves steps 24 as the candidates for the rate-determining step.

The four major models proposed in the literature to explain the dissolution process of novolac resins

in basic aqueous solutions are the Membrane Model, the Secondary Structure Model,30 the Percolation

Model, and the Critical-Ionization Model.31 All four of them focus on one or more of steps 24 as the rate-

determining step or steps.

19.2.3.1 The Membrane Model

In the Membrane Model, Arcus views the interface between the novolac-resin matrix and the developer as

a membrane that “. can differentiate between the ions of aqueous basic developers due to variations in

size, composition, and charge.” This membrane postulated by Arcus would let the OH ions pass but slow

down the larger cations. Thus, the transport rate of the cation, required to stabilize the phenolate anion

by the formation of ion pairs, will be the rate-determining step.

This model can explain some experimental observations, like the fact that adding neutral salts of the

cation to the developer solution enhances the dissolution rate. However, it is in disagreement with some

others. For instance, this model predicts a decrease of the dissolution rate with the hydrated size of the

cation, whereas the correlation is with the size of the unhydrated cations. More important yet, it fails to

explain the very large observed differences in the dissolution rate of isomeric resins.

19.2.3.2 The Secondary Structure Model

A single person did not propose the secondary structure model, but rather it evolved over time. The

principal players are, Templeton, Szmanda, Trefonas, Daniels, Garza. This model proposes that the rate-

determining step is the deprotonation of the resin, step 2 above. Furthermore, it stresses the role of the

three-dimensional structure of the resin during the deprotonation reaction. Certain resin configurations,

like those with predominant para–para bonding, have –OH groups more easily accessible to

deprotonation and will dissolve at a higher rate. Also proposed is the possibility that, in the case of

resins with prevalent ortho–ortho bonding, the close spatial proximity of the OH resin groups can

stabilize a phenolate ion by distributing the negative charge over a larger area, as shown in Figure 19.23.

This stabilization will reduce the likelihood of further deprotonation, keeping the phenolate ion in the

polymer matrix, and reducing the dissolution rate. This model, however, is incomplete because it fails to

explain many experimental observations, in particular, the role of the sensitizer, such as the large

dependency of the photoresist dissolution rate on the hydrophobicity of the sensitizer.

(−) (−)O O O O O O O O O

H H H H(−)

HH

FIGURE 19.23 Stabilization of the phenolate ion by distributing the negative charge over a number of OH groups

through hydrogen bonding. (From Yeh, T.-F., Shih, H.-Y., and Reiser, A. A., Macromolecules, 25, 5345, 1992.)

q 2007 by Taylor & Francis Group, LLC

Page 22: Photoresist Materials and Processing.pdf

19-22 Handbook of Semiconductor Manufacturing Technology

19.2.3.3 The Percolation Model

The Percolation Model by Reiser and co-workers31,32 is by far the most developed theory describing

novolac dissolution. It has been used to describe many of the factors affecting the dissolution rate of

novolac: dissolution inhibitors33 exposed resist films,34 dissolution promoters,35 added salts,36 the base

cation,37 isotopic substitution,38 and resin molecular weight.39 The Percolation Model borrows the

concept of the transition zone (or gel layer) from the Membrane Model of Arcus and adapts percolation

theory40 to describe the diffusive properties of the gel layer. In the Percolation Model, the gel layer, also

referred to as the penetration zone, forms when the concentration of phenolate groups in equilibrium

with the developer at the interface between the developer and the resin reaches a limit of solubility. The

penetration zone, therefore, is a distinct polymer phase, having its own Tg, and the developer can diffuse

much faster in the penetration zone than in the bulk polymer matrix. If the developer concentration does

not exceed a critical minimum value, the penetration zone does not form, and dissolution occurs at a rate

that is several orders of magnitude slower than regular development.

This theory describes a penetration zone that grows thicker as developer continues to transfer into the

zone from the bulk solution. The diffusion of base within the zone and the reaction of base with the

matrix resin lead to a gradient in the phenolate concentration. When the degree of conversion of phenol

to phenolate at the back end of the zone reaches a critical value, the novolac chains dissolve. At this point,

the penetration zone reaches a constant thickness, and dissolution continues in a steady state.

The rate at, which the penetration zone travels is identically the rate at, which the novolac film

dissolves. The Percolation Model suggests that the diffusive flux of base through the penetration zone is

the product of the velocity of the boundary and the mean base concentration in the zone. Huang et al.

showed that the diffusion coefficient drops precipitously at the interface of the zone and the solid matrix,

indicating that the rate-limiting step occurs at this interface. The strong dependence of the dissolution

rate on cation size led these authors to conclude that the rate-limiting step in the dissolution process is

the disassociation of the developer cations from their hydration shells. This statement was the first

definitive pronouncement concerning the rate-determining step according to the Percolation Model. The

Percolation Model currently emphasizes the deprotonation reaction and transfer of cations at the front of

the penetration zone and attaches less significance to the diffusion of base through or across the

penetration zone.

In the Percolation Model, the propagation of base proceeds through channels made of hydrophilic

sites, identified as the phenol groups or, alternatively, as the phenolate ion pairs, dispersed in a matrix of

hydrophobic material. Dissolution occurs only when the hydrophilic sites are sufficiently concentrated to

form a continuous network. The fraction of neighboring sites open to the propagation of base is called

the percolation parameter, p, and is linearly related to the fraction of “occupied cells,” e.g., the fraction of

base-accessible phenolic repeating units in amphiphilic copolymers. The percolation threshold, pc, is the

value of the percolation parameter below, which dissolution does not occur. The dissolution rates of

many copolymers have been shown to be proportional to (pKpc)2 where, pcZ0.2.

The Percolation Model explains most effects on the dissolution rate by corresponding changes in the

value of the percolation parameter, which reflects the relative difficulty in attaining continuous channels

for percolation. Polyhydroxystyrene, which has a continuous spiral of hydroxyl groups along its

backbone, has an unbroken succession of these hydrophilic sites, whereas the hydroxyl groups in

ortho–ortho linked p-cresol novolac aggregate in clusters, thereby breaking the hydrophilic pathways.

Hydrophobic additives will affect the dissolution rate only if they interact with the hydrophilic sites, while

hydrophilic additives normally increase the dissolution rate because they usually add hydrophilic sites

that facilitate percolation.

According to this theory, dissolution inhibitors operate by effectively disabling the hydrophilic sites

and obstructing pathways for percolation. The hydrophobic displacement volume of the inhibitor

depends not only on the structure of the inhibitor, but also on the mobility of the resin. The ability of a

single inhibitor molecule to disable as many as 16 hydroxyl groups at a time led Shih and Reiser to

propose that multiple sites are removed from the percolation pathway through the inhibitor’s inductive

q 2007 by Taylor & Francis Group, LLC

Page 23: Photoresist Materials and Processing.pdf

polarization of hydrogen-bonded clusters of hydroxyl groups. The inhibitor, therefore, disrupts the

otherwise random distribution of percolation sites by lowering the site connectivity and increasing the Tg

of the penetration zone. If dissolution promoters (accelerators) are present, the dissolution rate depends

on whether or not the accelerators are included in the phenolic clusters, which are induced by the

inhibitor. The acceptance of the accelerator into a cluster is dependent on its acidity relative to that of

novolac. At low inhibitor concentrations, the accelerators, which do not compete well with the more

acidic novolac remain outside the clusters and increase the concentration of percolation sites. At higher

inhibitor concentrations, the accelerators are accepted into the phenolic clusters, and their effect on the

dissolution rate is diminished.

Shih and Reiser suggest that the dissolution promotion that occurs upon exposure is concomitant with

an elimination of phenolic clusters. The heat released during the Wolff rearrangement of the DNQ

photolysis product releases the polymer chains in the vicinity of the reacting DNQ, thereby dispersing the

cluster. The carboxylate ions that appear in the penetration zone add hydrophilic sites to the percolation

field and lower the Tg of the penetration zone.

19.2.3.4 The Critical-Ionization Model

The Critical-Ionization Model41 provides an understanding at the molecular level of the important

factors in the aqueous dissolution of phenolic polymers below the entanglement molecular weight. The

model postulates that a critical fraction of the acidic sites on a phenolic polymer must ionize for the

polymer to dissolve in aqueous base. A functional relationship between the dissolution rate and

the degree of ionization was developed based on this hypothesis. The model provides an explanation

for the critical-base-concentration phenomenon and for the dependence of rate on molecular weight,

phenomena that are not readily explained by other models. Quantitative predictions for the effects of

polymer structure on the dissolution rate follow from Equation relating the degree of ionization to the

degree of polymerization, the polymer pKa, and the developer concentration.42 Experimental verification

has been provided through tests of model predictions for the minimum base concentration required for

development and the effects of polymer structure on the dissolution rate.

Molecular simulations of resist dissolution based on the Critical-Ionization Model43,44 were used to

probe the mechanism of surface inhibition and the evolution of edge roughness and surface roughness in

photoresist profiles. These simulations demonstrate the dependence of the dissolution rate and surface

roughness on the molecular-weight distribution of the polymer, degree of deprotection, void fraction,

and developer concentration. Model parameters were evaluated using experimental data from

turbidimetry, potentiometry, and copolymer studies.

19.3 Formation of the Relief Image in ChemicallyAmplified Resists

19.3.1 Overview

As explained in Section 1.1, the path the industry has followed to improve resolution has been to reduce

the actinic wavelength once the practical limit for increasing the NA has been reached. By the early 1980s,

there was a major industrial effort underway to develop photoresist systems at shorter wavelengths45 than

365 nm. The next readily available wavelength is in the deep UV (DUV) region near 250 nm. Two sources

exist in this region: the mercury discharge lamp has a small emission peak centered at 254 nm, and a

stronger source from a krypton-fluoride, KrF, excimer laser is available at 248 nm. Other sources at

shorter wavelengths were proposed, and resist systems were developed to work at these wavelengths as

well.46–48

Conversion of the DNQ/Novolac platform to DUV exposures faced several challenges. The materials

used in the DNQ/Novolac platform are too highly absorbant at 250 nm to obtain vertical profiles.

Furthermore, the intensity from the available light sources and increasingly complex-imaging systems is

two orders of magnitude smaller than the 365-nm systems. The Novolac resin itself is very opaque at

Photoresist Materials and Processing 19-23

q 2007 by Taylor & Francis Group, LLC

Page 24: Photoresist Materials and Processing.pdf

19-24 Handbook of Semiconductor Manufacturing Technology

250 nm (ca 0.5 mmK1). Many replacement resins with better optical properties were developed and tried

over a 10-year period, Figure 19.22. These ranged from isomerically pure novolac,49 pHOST,50 pHOST

copolymers,51 and acrylate polymers.52 Also the DNQ dissolution inhibitor compounds have too high of

an unbleachable absorbance at 250 nm. This limits the ultimate loading of the photoactive compound’s

(PAC) and the performance of DNQ resists in the DUV region. To overcome this limitation, other PAC

inhibitors were developed, Figure 19.23. These included Meldrum’s diazo and related compounds from

IBM13 and BASF,53 meta nitrobenzene compounds from AT&T Bell Labs,54 and bis(arylazides) from

Hitachi.55 However, none of these were proven to be commercially successful.

The initial attempts at DUV wavelength photoresists focused on either chain scissioning of poly

methyl methacrylate (PMMA) polymers56,57 or extension of the DNQ/Novolac platform to work with

248-nm exposure. Chain scissioning resists of PMMA polymers are capable of extremely small resolution.

Unfortunately, they suffer from several drawbacks. First, the photospeed of such materials is relatively

large. Also, the same properties that allow the polymer chain to scission decrease its etch resistance.

Finally, an organic developer is required to image these resists, which is undesirable from an

environmental/regulatory standpoint.

The most difficult challenge in developing DUV photoresists is the extremely low output of the

mercury discharge lamp at 254 nm. Because the number of photons available in the DUV region from

this source is approximately 100 times fewer than the 365-nm i-line source, a comparable increase in

photospeed is required to maintain acceptable wafer throughput levels. Though significant advances were

made in the conventional dissolution inhibitor system photospeed, nothing approaching two orders of

magnitude improvement was found. A new photoresist design was clearly needed. Researchers at IBM

pioneered the concept of chemical amplification to achieve the desired photospeed for DUV resist

systems.58 For chemically amplified systems, the exposure step generates a catalyst in the film. During a

subsequent thermal bake step, this photogenerated compound catalyzes chemical change to the resin or

additives to influence the solubility of the resist matrix. Because the photogenerated catalyst is not lost in

the basic reaction it can continue reacting with the resin many times. A single photocatalyst can affect

several hundred reactions within the film permitting the needed photospeed enhancement.

IBM simultaneously pursued three classes of chemically amplified resists: crosslinking,59 depolymer-

ization,60 and site deprotection. The crosslinking systems used a photogenerated Lewis acid to initiate

cationic polymerization of epoxide side chains. The crosslinked areas become insoluble and the resist

works effectively as a negative imaging system. Several other groups developed crosslinking type

chemically amplified resists.61,62 Some have been commercialized and are in use today.

The depolymerization system makes use of the low ceiling temperature of certain polymers. Any

polymer heated above its ceiling temperature will depolymerize into monomeric components. This

depolymerization starts from the ends of the polymer and the long chain essentially unzips one monomer

at a time. IBM made use of this phenomenon by capping low ceiling temperature polyphthaldehyde

polymers with thermally stable end groups. Photogenerated acids could, however, break the polymer at

one of the repeating units. The polymer then unzips to highly volatile units and essentially self develops.

These systems exhibit very poor etch resistance because the polymer unzips under plasma etch

conditions, and they have been essentially abandoned.

The third chemically amplified system pursued has ultimately proven to be the most useful. Base

soluble sites (phenols, carboxylic acids, etc.) can be capped with protecting groups making them

insoluble. Under certain conditions, these protecting groups can be catalytically removed to return the

solubility in aqueous base. Several protection schemes have shown great utility in chemical synthesis.

IBM developed a photoresist in which phenolic sites on (pHOST) have been protected with t-butoxy-

carbonate groups.63 At extreme temperatures (O180C), the protected sites thermolyze and yield gaseous

CO2, iso-butylene, and deprotected pHOST. In the presence of a strong acid, this thermolysis happens at

much lower temperatures (!90C). A post-exposure bake (PEB) at 908C selectively cleaves protecting

groups only in areas of photogenerated acid. The IBM tert-butyloxy carbonates (t-BOC) photoresist

works in positive tone using aqueous developer and alternately in negative tone using an

organic developer.

q 2007 by Taylor & Francis Group, LLC

Page 25: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-25

The process flow for chemically amplified resists is the same as for conventional resists (see Figure 19.3).

The underlying chemistry, however, is different for several of the steps in the flow. These differences have

dramatic implications for processing and the level of control needed when manufacturing semiconductor

devices with chemically amplified resists. For instance, the PEB, for conventional resists is designed to

remove standing wave patterns from the exposure step. The PEB step can be considered a secondary control

on i-line resist performance. For chemically amplified resists the PEB step is necessary to drive the catalytic

reaction to completion. The PEB step, therefore, has primary influence on DUV resist performance. The

temperature stability and uniformity requirements of the wafer bake plates are much more demanding for

chemically amplified resists than for conventional resists. For instance, typical 193-nm resist systems show

0.5–3 nm critical dimension (CD) change for a 18C temperature variation.

In the next few sections the individual processing steps will be covered for chemically amplified resists.

The detailed theory and mechanisms will be given for each step. We begin with the exposure step and

generation of the catalytic photoacid.

19.3.2 Exposure Step

As with conventional resists the exposure step converts a neutral molecule into an acid product. For

conventional resists the final photoproduct is a carboxylic acid. And although the resist companies would

incorporate the DNQ group in many different forms, the basic mechanism for this photoreaction is the

same for the vast majority of DNQ/Novolac systems. Typically with chemically amplified systems, the

acid strength of the photoacid (Photo Acid Generator PAG) is significantly higher than a carboxylic acid

and many new PAGs have been developed.

All PAG molecules can be described as the acid counter ion integrated with a photoactive ballast group.

The ballast decomposes upon exposure and releases the counterion. The acid proton can either come

from the decomposed ballast group or be extracted from the host matrix.

ð19:5Þ

Each PAG can be classified by the nature of the counter ion (or liberated acid) and the chemical

structure of the ballast group. The original PAGs used by Ito et al.64 were based upon very strong or

superacids, HSbF6 and HAsF6. These superacids worked well for the chemical amplification and were

readily synthesized, but posed a device contamination risk due to the inclusion of the heavy elements

Arsenic and Antimony. Subsequent PAGs have generally been based upon sulfonic acids such as tosylates.

The acid strength and size of photoacids have varied greatly from system to system. Table 19.3 gives a

TABLE 19.3 Corrected C Parameters, Quantum Yields of Acid Generation, Normalized

PAG Matrix PAG Loading Exposure 1 Films Abs

mmK1Corrected C

cm2 mJK1Facid Total Eo mJ cmK2

TPS Phenolic Low 248 0.241 0.055 0.27 8.7

DTBPI Phenolic Low 248 0.25 0.057 0.28 8.1

TPS Acrylate Low 248 0.1 0.047 0.63 4.8

DTBPI Acrylate Low 248 0.111 0.018 0.22 12.2

TPS Acrylate Low 193 0.538 0.029 0.11 7.2

DTBPI Acrylate O 193 0.496 0.012 0.05 20.1

TPS Acrylate High 193 0.885 0.026 0.13 7.3

DTBPI Acrylate High 193 0.829 0.008 0.04 23.2

TPS Phenolic High 248 0.299 0.042 0.33 5.2

DTBPI Phenolic High 248 0.32 0.051 0.39 4.8

Film absorbances and dose to clear for both PAG’s under different conditions.

Source: From Courtesy of Jim Cameron-Rohm and Haas Electronic Materials.

q 2007 by Taylor & Francis Group, LLC

Page 26: Photoresist Materials and Processing.pdf

O

O O

O OO

H

H H

n n

n

FIGURE 19.24 Potential deep UV (DUV) resist resins.

19-26 Handbook of Semiconductor Manufacturing Technology

representative list of photogenerated acids used in resist systems along with some relative physical data.

The choice of the counter ion used in the PAG greatly influences the amplification step, boiling point;

molecular weight determine volatility and t-topping, Van der Waals volume determines diffusion and

iso-nested bias (define), pKa determines deprotection rate and susceptibility to environmental

contamination, etc.

Many ballast groups have been designed into PAGs. However, four designs have been most prominent

in DUV resists. These are based on onium salts, diazosulfone compounds, nitrobenzyl esters, and

sulfonyloxy imides. Representative structures for each class of PAG are shown in Figure 19.24. Because

the mechanism for each PAG class is different, we will cover each of these classes separately.

19.3.2.1 Exposure Step Chemistry

19.3.2.1.1 Onium Salts

The most common PAGs in commercial use today are alkyl-onium salts. The onium salt PAGs were

first developed by Crivello for use in photocurable epoxy resins.65,66 The mechanism for acid

production has been extensively studied by researchers at IBM67–70 and is shown in Figure 19.25.

Two competing pathways exist with both leading to acid production. Exposure of the PAG

heterolytically cleaves the phenyl–sulfur bond generating a phenyl radical and a radical cation centered

on the sulfur atom. In-cage recombination liberates the acidic proton from the parent compound

through the first pathway. If the radical pair escapes from each other, the radical cation must abstract a

proton from the surrounding matrix before dissociating into the acid product. The data is unclear

about the source of the proton (relative humidity) in this cage escape pathway, but the polymer matrix

certainly plays a role in determining the relative yield of photogenerated acid. A similar dual pathway

was observed for iodonium PAGs.71

19.3.2.1.2 Sulfonyloxy Imides

The use of sulfonyloxy imide PAGs as photoacid generators was first patented in 1983.72 It was not until

1990, however, that information about the photomechanism for these PAGs began appearing in the open

literature. Direct excitation leads to homolytic cleavage of the N–O bond giving a radical pair

OON2

O2NOHHO

OH

O

O

N3RO O

FIGURE 19.25 DUV compatible dissolution inhibitors.

q 2007 by Taylor & Francis Group, LLC

Page 27: Photoresist Materials and Processing.pdf

NC

N

Onium salts

O−

RI+S+O− R S

OO−

OSO

OSO

O

SO

ONC

N

SO

OSO

O

SO

O SO

O

SO

O

SO

O

SO

O

RS+

Diazosulfones

OR RR

Nitrobenzyl sulfonate esters

N+O

O−

O R

N+O

O−

O R

N+O−

O

Sulfonyloxy imides

N

O

OO R

N

O

O

O R N

O

O

O R

FIGURE 19.26 Representative photoacid generation (PAG) structures.

Photoresist Materials and Processing 19-27

(Figure 19.26). If cage escape occurs rapidly the sulfonyl radical can abstract a hydrogen from the matrix

to produce the desired photoacid. In-cage recombination returns the original PAG structure limiting the

quantum yield. Alternately, the p imidyl radical can undergo ring opening.73 Recombination with the

sulfonyl radical, at this point, will yield a non-acidic photoproduct, although this recombination is

reportedly unfavorable.74 Kasai studied the stability of p imidyl radicals and observed that the ring’s

ability to open is controlled by the contribution of the p electrons to the ground state. Five-member rings

with extended conjugation open more readily, and six-membered rings do not open. The relative

quantum yield for acid production measured by Szmanda et al. for various sulfonyloxy imides, PAGs

partially confirms this observation.

An alternate photochemical pathway involving photoinduced electron transfer has been proposed by

Brunsvold and is shown in Figure 19.27. Here, a donor molecule is excited upon absorption. If the

oxidation potential of the excited state donor is lower than the reduction potential of the PAG, then

electron transfer is thermodynamically favorable. With the addition of an electron the PAG becomes

unstable. Protonation induces decomposition into the sulfonyl radical, which is free to abstract another

proton from the matrix to yield the desired photoacid. Photospeed enhancements of 5! were obtained

by the addition of sensitizers that have significant absorbance at the exposure wavelength and a low

enough excited state oxidation potential.

q 2007 by Taylor & Francis Group, LLC

Page 28: Photoresist Materials and Processing.pdf

S+ X− S+

X−

.

Combination

S

H+X−

Escape

X−

S+

.

+

RH

H+X−

S +

hu

FIGURE 19.27 Reaction pathway for sulfonium PAG decomposition.

19-28 Handbook of Semiconductor Manufacturing Technology

19.3.2.1.3 Diazosulfonyl Compounds

The diazosulfonyl PAGs were originally developed as dissolution inhibitors of novolac resins for which

they functioned poorly.75 Researchers at Hoechst saw their potential as PAGs of aromatic sulfonic acids.76

The photochemical pathway is similar to that of the Meldrum’s diazo compound described by Willson et

al. Photolysis proceeds by the loss of N2 upon exposure followed by Wolf rearrangement to yield a

reactive sulfene. Subsequent reaction with residual water yields the catalytic acid. Several non-acid

photoproducts have been detected, which result from alternate reactive pathways as depicted in

Figure 19.28. Through the loss of the diazo chromophore, significant bleaching of absorbance at

248 nm occurs with photolysis of these PAGs. However, because of the high polymer absorbance, low

PAG loading, and relatively low conversion at lithographic doses (20% @4.5 mJ), the bleaching

contributes little to the lithographic performance of DUV resists. The thermal stabillity of the

diazosulfonyl PAGs is lower (Tdw1608C) than other PAGs described here. For the acetal based

photoresists, for which these PAGs where intended, this thermal stability is sufficient.

19.3.2.1.4 Nitrobenzyl Sulfonate Esters

Reichmanis et al. developed a novel dissolution inhibitor type resist for 248 nm using o-nitrobenzyl

carboxylate inhibitors. This photodecomposition reaction was, later, used to develop PAGs for chemically

amplified resists77(Figure 19.29). The carboxylic ester functionality was replaced by a sulfonate ester

leading to a sulfonic acid photoproduct that is strong enough to act as a deprotection catalyst. The

original nitrobenzyl ester PAGs (RaZH, RaZH) had poor thermal stability (Tdw1008C). The thermal

stability could be enhanced by incorporating electron withdrawing groups (RaZCF3, NO2, Cl, etc.) in

the ortho position on the benzene ring or bulky substituents (RaZCOCH3, COCH2CH3, etc.) at the a

q 2007 by Taylor & Francis Group, LLC

Page 29: Photoresist Materials and Processing.pdf

N

O

O

O S

O

O

R

R'

R"

hu

Recombination

N

O

O

R'

R"

O S

O

O

R

N

O

O

R'

R"

OS

O

O

R+

RH

N

O

O

R'

R"

H O−S

O

O

R+

N

C

O

O

R'

R"

O S

O

O

R.

OS

O

O

R

NC

O

O

R'

R"

H+

EscapeRing opening

FIGURE 19.28 Direct excitation pathway for sulfonyloxy-imide PAG decomposition.

Photoresist Materials and Processing 19-29

position.78 Maximum thermal stability when both tactics are used is well over 2508C. A reduction in

quantum yield is observed with incorporation of the bulky substituents. However, the ability to PEB the

resist at a higher temperature compensates for the lower quantum yield.

19.3.2.2 Exposure Step Kinetics

The kinetics for acid generation regardless of PAG type has often been described using a first order kinetic

model similar to Dill’s model of DNQ photodecomposition.79

PAG Chn/Acid Cphotoproducts

d½PAG�

dtZKC½PAG�Ihn ð19:6Þ

½Acid�dose Z ½PAG�doseZ0 K½PAG�dose Z ½PAG�doseZ0ð1KeKC DoseÞ

The ability of Equation 19.6 to model acid yield vs. dose has been confirmed by many researchers.80,81

Except for the diazosulfone PAGs, very little photobleaching has been observed since the decomposition

products are just as strongly absorbing at 248 nm as the parent PAG. Even with the diazosulfonate PAGs,

the loading of PAG and low conversion levels at lithographics doses minimizes the effect of bleaching on

DUV resist performance.

Alternate kinetic models for PAG decomposition have been reported.82 The most prominent involves

photosensitization of the PAG molecule by a donor compound within the resist. This is shown in

q 2007 by Taylor & Francis Group, LLC

Page 30: Photoresist Materials and Processing.pdf

N

O

O

O S

O

O

R

R'

R"

hu

N

OH

O

R'

R"

O S

O

O

ROS

O

O

R

N

O

O

R'

R"

H O−H+S

O

O

R+

D D*

D* +

.

N

O−

O

R'

R"

O S

O

O

R.

H+

N

O

O

R'

R"

H +

HQ

FIGURE 19.29 Photoinduced electron transfer pathway for sulfonyloxy-imide PAG decomposition.

19-30 Handbook of Semiconductor Manufacturing Technology

Figure 19.30. The donor molecule is excited by absorption of a photon in the first step. In step 2, the PAG

is promoted to an excited state as the donor molecule relaxes back to a ground state. The excited molecule

then decomposes to yield an acid product. The donor, D, can be either a chromophore on the polymer or

another molecule added to the resist.

The photosensitization depicted in Figure 19.30 can occur by either electronic energy transfer or

excited state electron transfer. Electronic energy transfer requires overlap between the emission spectrum

of the donor molecule and the excitation spectrum of the acceptor molecule (PAG). This clearly is not the

case for many DUV resists in which the excitation spectrum of the PAG is much higher in energy than the

polymer emission spectrum. For excited state electron transfer to be allowed, the oxidation potential for

the excited state donor molecule must be lower than the reduction potential of the PAG molecule.

DGtransfer Z EoxðD�ÞKEredðPAGÞwEoxðDÞKEðD�ÞKEredðPAGÞ%0 ð19:7Þ

For the case of APEX/e, DGtransfer has been reported to be K37.9 kcal/mol implying energetically

allowed electron transfer. Of course, the PAG molecule must also be unstable with the electron addition

for the electron transfer to lead to PAG decomposition.

The kinetics for photosensitized PAG decomposition is similar to direct excitation and appear first

order to the PAG loading and exposure dose. The difference lies mainly in the dependence of photospeed

on resist absorbance. For direct excitation mechanisms only photons absorbed by the PAG generate acid.

Increased absorbance by the polymer is “wasted” and dramatically increases the dose required to image

q 2007 by Taylor & Francis Group, LLC

Page 31: Photoresist Materials and Processing.pdf

RS

O

O

CS

O

O

N

N

R−N2

RS

O

O

CS

O

O

R

Wolf rearrangement

CS

O

O

RS

O

O

R

H2O

CS

O

O

R S

O

OR

O−H+

H

RS

O

O

CS

O

O

R

RS

O

O

S

O

O

R

H

H

−CO2

S

O

O

R S R

hu

FIGURE 19.30 Reaction pathway for diazosulfonyl ester PAG decomposition.

Photoresist Materials and Processing 19-31

the resist. If the polymer (or added donor compound) efficiently sensitizes the PAG decomposition, the

increased resist absorbance only marginally affects its photospeed. The add absorbance is not wasted, but

is channeled into useful photochemistry. This has been observed for dyed versions of DUV resists, where

the absorbance of the resist has been increased to limit standing waves and increase process latitude. The

observed dose-to-clear was only marginally affected by the increased absorbance from added

dye compounds.

19.3.2.3 Post-Exposure Bake Step

After the exposure step produces a latent image of acid molecules in the resist, a thermal bake is

implemented to drive the deprotection reaction to completion. This deprotection reaction is catalyzed by

the acid generated during the exposure. It is this catalytic deprotection step that most distinguishes a

chemically amplified resist, CA, from a conventional DNQ/novolac resist.

Many distinct protection group chemistries for CA resists have been developed. Only three of the

protection chemistries, however, have found their way into widespread commercial acceptance:

t-butyloxy carbonates, tertiary esters, and acetal/ketals. Each system has a unique set of advantages

and disadvantages. Commercial resists systems, typically, contain a combination of protecting groups to

achieve the desired properties. These resist systems may contain two or more protecting groups from the

same class or may combine protecting groups from two separate classes. A common approach for 248-

nm systems is to combine t-butyloxy carbonates and acetal protecting groups on a pHOST polymer

backbone. When protecting groups from different classes are combined, the resist is classified as a hybrid

resist system.

q 2007 by Taylor & Francis Group, LLC

Page 32: Photoresist Materials and Processing.pdf

19-32 Handbook of Semiconductor Manufacturing Technology

19.3.2.3.1 Post-Exposure Bake Chemistry

19.3.2.3.1.1 t-Butyloxy Carbonates

The original IBM t-BOC system, in which phenolic groups are protected by t-butyloxy carbonates, is still

in widespread use today. As shown in Figure 19.31, the t-BOC protected pHOST deprotects under

acidolysis to yield pHOST, isobutylene, and CO2. The resulting phenolic sites render the resist soluble in

an aqueous-base developer. The other photoproducts, CO2 and isobutylene evolve out of the film as

gasses. The increased amount of outgassing from chemically amplified resists may lead to photodeposi-

tion of contaminants on the last lens element. This aspect of chemically amplified resists must be

considered, when the resist is designed and used in production to limit the amount of contamination.

The original IBM resist was conceived as a homopolymer with 100% protection of the phenolic sites.

This presented several problems with processing that have subsequently been alleviated. First, the

polymer is very hydrophobic and does not wet with aqueous developer requiring the use of an organic

solvent developer. Second, the large volume of the protecting groups produces excessive shrinkage in the

exposed areas. Furthermore, during plasma etch processing, the protecting groups readily come off

reducing the etch resistance. These problems were addressed by formulating the resist using a partially

protected polymer. Since, the solubility of the polymer, in aqueous developer, occurs only after 80% of

the polymer is deprotected, a large percentage of the protecting groups are not needed in the

functionality of the resist. Initial protecting levels vary, but are typically in the 25%–35% range. At

this protection level the polymer wets readily with aqueous base developer and has only minimal

unexposed develop rate. The shrinkage and plasma etch problems are also alleviated by only partially

protecting the polymer, since a reduced fraction of the polymer becomes volatile. Several variants of the

t-BOC protected scheme have been published, Figure 19.32,83–85 yet partially protected pHOST remains

the most predominant system using the t-BOC protecting group.

19.3.2.3.1.2 Tertiary Esters

An alternate chemistry based upon t-butyl esters developed by IBM researchers is also widely used for

chemically amplified resists. Tertiary esters can be cleaved under acid catalysis at elevated temperatures to

yield a carboxylic acid group. The carboxylic acid group is very soluble in aqueous base and this

chemistry is very effective for resist systems. The deprotection mechanism is similar to that of t-BOC and

is shown in Figure 19.33. Protenation of the ester group liberates a tertiary carbocation that undergoes b

proton elimination to produce an acidic proton and volatile isobutylene.86 The acid catalyzed

N

O

O

O S

O

OR

Hhu

N

O

O

O SO

OR

H

.

N

O

O

O SO

OR

H

N

O

O

O SO

OR

HN

O

OO−H+S

O

OR +

RαRaRαRα

Ra

Ra

Ra

RaRα

FIGURE 19.31 Reaction pathway for nitrobenzyl sulfonate ester PAG decomposition.

q 2007 by Taylor & Francis Group, LLC

Page 33: Photoresist Materials and Processing.pdf

Electronic energy transfer Excited state electron transfer

H+ + other productsPAG*

D + PAG*D* + PAG

D*Dhu

H+ + other productsPAG•−

D•+ + PAG•−D* + PAG

D*Dhu

FIGURE 19.32 Sensitization mechanisms for PAG decomposition.

Photoresist Materials and Processing 19-33

deprotection is efficient at temperatures above 1308C, yet the uncatalyzed ester has a thermal stability

above 1808C. A PEB above 1308C is, therefore, effective for delineating the exposed and unexposed areas

of the resist. Other tertiary esters have been used as protecting groups as shown in Figure 19.34.87,88 This

class of materials is finding utility for chemically amplified resists at 193-nm exposure, where aromatic

groups absorb too strongly precluding the use of phenol and t-BOC protected phenol. This will be

covered in more detail in Section 19.3.2.3.1.3.

19.3.2.3.1.3 Acetals and Ketals

The use of acetal-blocked groups in acid catalyzed imaging systems was first proposed in 1973.89 This

invention predates the IBM t-BOC system, yet it received little or no attention until the late 1980s. The

resist system designed by Smith et al. of 3M Company consisted of a tetrahydropyranol ether of novolac

resin and a PAG. The tetrahydropyranyl group (THP) protected novolac is insoluble in a basic solution.

Photogenerated acid catalyzes the hydrolysis of the polymer and produces a novolac resin that is soluble

in an aqueous base. Researchers at Hitachi adapted this chemistry to 248-nm exposure by switching the

novolac resin to pHOST with its improved optical properties.90 The researchers at Hitachi studied the

deprotection mechanism of this resist and proposed the chemistry shown in Figure 19.35.91 This resist

chemistry can be extended to other acetal and ketal protecting groups. Many experimental and

commercial resist systems have been built upon this chemistry.92–94 The general reaction is shown in

Figure 19.36.

The protection/deprotection reaction for acetal/ketals is a reversible reaction that is driven to the

deprotected state only when excess protecting group is driven away through volatization or secondary

reactions, as with water is shown in Figure 19.35.

Weaker acids may be used to deprotect acetal/ketal systems than is needed for t-butyl ester or t-BOC

systems. This characteristic of acetals has several advantages and disadvantages. At elevated temperatures

the phenol group is acidic enough to catalyze acetal deprotection. Partially protected polymers will,

therefore, autocatalytically deprotect, limiting the thermal stability of partially protected acetal resists.

Higher levels of protection show higher thermal stability with the thermal decomposition temperature

having a linear dependence on the level of acetal protection.

O

n

OO

H++

O

n

H

CO2+

H++

FIGURE 19.33 tert-butyloxy carbonates (t-BOC) deprotection mechanism.

q 2007 by Taylor & Francis Group, LLC

Page 34: Photoresist Materials and Processing.pdf

O

m

HO

n

O

O

S

O

n

O

O

O

O

O

OO

N

n

OO

n

CF3CF3

OO

O

FIGURE 19.34 t-BOC protected resists.

19-34 Handbook of Semiconductor Manufacturing Technology

Ketal protecting groups deprotect much more easily than acetals. This is attributed to the inherent

stability of the tertiary carbocation intermediate formed during deprotection of ketals compared to the

secondary carbocation formed during acetal deprotection. Ketal systems deprotect so rapidly at room

temperature that a PEB is hardly necessary except to diffuse out standing waves.95 This ease of cleaving

makes ketal systems intriguing, but poses a serious problem for their implementation. The thermal

stability in the presence of phenolic groups is so low for ketal systems that measurable deprotection may

occur even at room temperature over a period of several weeks. The shelf life of these systems can be

limited. Improvements in the thermal stablity and shelf life can be made by increasing the bulkiness of

both acetal and ketal protecting groups and by introducing small amounts of base to the formulation.

19.3.2.3.1.4 Base Additives

Every commercial chemically amplified resist employs the use of one or more base additives. Initially the

purpose of this base additive was to reduce susceptibility of CA resists to environmental contamination

from airborne amines. The rationale being that a small amount of airborne contamination would affect

only a minor change to the photospeed of the resist. However, it was later discovered that the

introduction of base additives increased the working contrast of the resist,96 reduced LER,96 and

facilitated the optimization of resist systems to specific feature geometries.96 The imaging capability

achievable using an acid, base, and polymer platform is much better than from a simple Acid and

polymer platform. By choosing the right photoacid and base additive combination resists can be

optimized for bright field (logic gates) or for dark field features (contact holes).

19.3.2.3.2 Post-Exposure Bake Kinetics

As with the exposure step, the PEB process can be described using a simple chemical mechanism. This

mechanism is shown in Figure 19.37 and involves several concurrent reactions. First, the catalytic

photoacid can react with polymer protecting sites, M; to generate deprotected sites, X; and to regenerate

the catalytic acid. The photoacid can also react with base or quencher molecules, Q, within the resist to

become an inactive species A–Q. This quenching reaction is an acid base equilibrium type reaction and is

reversible. These quencher molecules can come from unwanted contamination or can be purposely added

O

OO

O

H

+H++ H++

FIGURE 19.35 t-Butyl ester deprotection mechanism.

q 2007 by Taylor & Francis Group, LLC

Page 35: Photoresist Materials and Processing.pdf

O

O

O

OO

O

O

O

O

O

FIGURE 19.36 Tertiary ester protecting groups.

Photoresist Materials and Processing 19-35

to the resist to alter its lithographic performance. The acid molecule can also undergo other reactions that

render it ineffective for catalysis. This later loss reaction is typically unknown, but has been ascribed to both

evaporation97 and reaction with the polymer matrix.97 A set of differential equation can be written to

mathematically describe the time-dependent concentration of each species represented in this mechanism.

These are given in Equation 19.7. Because the concentration of species is not constant throughout the film

and mass transport is possible, diffusion terms, e.g., DP2, are required for each species.

dM

dtZK

sDka

sD Cka

½Acid�½M�

d½Acid�

dtZKkloss½Acid�KkQC½Acid�½Q�CkQK½AQ�CDAV2½Acid�

XðtÞZ MtZ0 KMðtÞ

ð19:7aÞ

O

O

n

H

O

n

H+O

O

OH

H+

OH+

+

+

++

H2O

+

FIGURE 19.37 Tetrahydropyranyl group (THP) deprotection mechanism.

q 2007 by Taylor & Francis Group, LLC

Page 36: Photoresist Materials and Processing.pdf

19-36 Handbook of Semiconductor Manufacturing Technology

The bimolecular reaction between the protecting group and the acid is represented as a two-step

reaction, where the two reactants must first come together and form a complex. This complex can either

diffuse apart (the reverse reaction) or continue forward to produce the deprotected species and regenerate

the catalytic acid. The complex formation and separation rates are diffusion limited and have the same rate

constant, sD, where D is the diffusion coefficient of the acid molecule and s is the cross-section number

defining how close the two groups must be before the reaction can take place. The forward reaction is

controlled by a localized reaction rate constant, ka. The acid quenching reaction has both forward and

reverse reaction rate constants. The ratio Keq ZkQK=kQC is the acid-base equilibrium constant for this acid-

base pair in the polymer matrix at the associated PEB temperature.

It should be noted that the mechanism shown in Figure 19.37 is just one possible description for CAR

resists. A less-detailed mechanism can be used in which the bimolecular reaction is represented by just

one overall rate constant. The less detailed mechanism for this step is

M CAcid $$%ka

X CAcid ð19:7bÞ

The rate equation for the protected group concentration is, then

d½M�

dtZKka½Acid�½M� ð19:8Þ

where ka is the overall rate constant for deprotection of the polymer. The functional form of the rate

equation is the same for both mechanisms (Equation 19.7a and Equation 19.8), but Equation 19.7a

distinguishes between diffusion limited and kinetic limited deprotection. If sDOOka, then the overall

rate reduces to ka (kinetic limited). If kaOOsD, then the overall rate reduces to sD (diffusion limited).

Classically, most bimolecular reactions in solid films are diffusion limited.

A similar contraction of the quenching reaction between the photoacid and the species Q can be made

when the quenching is assumed to be instantaneous (kQCOO1) and complete ((kQKZ0.0)). Under this

assumption, the amount of photoacid available for deprotection within each area of the photoresist is

equal to the amount of photogenerated acid minus the localized amount of quencher molecule Q. This

unquenched amount of photoacid is designated as Acidfree. The complete simplified model is shown in

Figure 19.38. This is the standard model used to understand and simulate the PEB process for chemically

amplified resists. The final rate law is given in Equation 19.9.

d½M�

dtZKka½Acidfree�½M� ð19:9aÞ

d½Acid�

dtZKkloss½Acid�CDV2½Acid� ð19:9bÞ

½Acidfree�Z ½Acid�K½Q� ð19:9cÞ

where M is the concentration of blocking sites on the polymer, X is the concentration of deblocked sites,

Acid is the amount of photogenerated acid, Q is the amount of quencher, Acidfree is the amount of

unquenched acid, D is the acid diffusion coefficient, kloss is an unspecified first-order loss process, and ka

is the overall deprotection rate constant.

The temperature dependence of the reaction rates and diffusion coefficient is modeled by an Arrhenius

relationship.

ka Z AaeKEa=RT ð19:12Þ

D Z ADeKED=RT ð19:13Þ

where T is the PEB temperature in degrees Kelvin and R is the universal gas constant (0.0019876 kcal/

(Kmol)). The activation energy (e.g., Ea) and pre-exponential factors (e.g., Aa) are determined

q 2007 by Taylor & Francis Group, LLC

Page 37: Photoresist Materials and Processing.pdf

RO

O

n

R'

R''

H

O

nH+

+

O RR'

R''

FIGURE 19.38 Acetal (R 0ZH) and Ketal Protection of polyhydroxystyrene (pHOST).

Photoresist Materials and Processing 19-37

experimentally. This temperature dependence is more appropriate for liquid or gaseous reactions. But in

the light of the limited range of interest for each resist material, this functional form has proved adequate.

More complicated models for molecular diffusion in polymer films exist.98 These are generally based

upon the free volume theories proposed by Williams, Landau, Ferry,98 and others.99 The simple

Arrhenius dependence of the diffusion coefficient is used in this work because of the difficulty in

accurate measurements of diffusion through reactive films. Only a simple diffusion term can be measured

with any confidence using techniques available today.

19.3.2.4 Develop Step

The dissolution of a resist resin in aqueous developer has been described as a chemical reaction of the

basic developer with the resin to deprotenate the polymer followed by rapid dissolution only if an

appropriate number of polymer sites are deprotenated or ionized.100,101 For conventional resists this

ionization is inhibited by hydrogen bonding between the resin and PAC. Although effective, this

inhibition through hydrogen bonding is not absolute. For CA resists, the potentially soluble site is

protected from ionization by a covalent bond to the protecting group and the ionization is completely

inhibited. The capability to covalently prevent this ionization of the resin permits chemically amplified

resists to achieve develop rate contrasts that are much higher than conventional DNQ/novolac resists.

Unlike conventional DNQ photoresists, little published work has centered on fundamental models for

the develop rate of chemically amplified resists. Initial work has focused on extending the phenomino-

logical models for DNQ resists to DUV systems. These functional fits are generally successful, but give no

insights into the chemical origins of the develop rate function for DUV resists.

The develop rate of a chemically amplified resist depends upon many factors including level of

protection/deprotection, pKa of deprotected site, polarity of protecting group, molecular weight of

polymer, developer strength, solvent content, PAG loading, presence of low molecular-weight dissolution

inhibitors, thermal history, etc. To date a fundamental model has not been presented that can

satisfactorily predict the influence of these various inputs apriori. However, several experimental

papers have shed light on the various factors that have an effect on the development rate. It has been

shown that the primary influences on develop rate for chemically amplified resists are the protecting/

deprotected groups, molecular weight and developer strength. Other factors do play a minor, albiet,

measurable role in develop rate. For instance, the develop rate has been shown to increase linearly with

q 2007 by Taylor & Francis Group, LLC

Page 38: Photoresist Materials and Processing.pdf

19-38 Handbook of Semiconductor Manufacturing Technology

solvent content.102 Also various PAGs are effective as dissolution inhibitors.103 These minor influences on

the develop rate will not be discussed, further, here.

19.3.2.4.1 Protecting/Deprotected Groups

The develop rate as a function of the protection level (ratio of protected sites to the degree of

polymerization) has been measured by many researchers for various resist systems.104–107 Figure 19.39

shows this data for several platforms. For each resist system the data has a similar form. At high

protection levels, the develop rate increases exponentially with decreasing protection levels. Eventually

the develop rate levels off at some finite limiting value.

The slope of the log develop rate vs. deprotection level is a direct measure of the develop contrast for

the resist. It is primarily a function of the pKa of the deprotected group, the polarity of the protecting

group, and the developer strength. By increasing the acidity of the deprotected site, the develop rate

increases. Hence, the inherent develop rate contrast is higher for carboxylic acid (pKaw4) compared to

phenolic (pKaw10) based chemically amplified resists.

Iwasa et al. studied the influence of the protective group polarity on the dissolution rate of partially

protected polymers. In this study, the polarity of each protective group was represented by the relative

dielectric constant of its model compound. The dissolution rate was found to increase exponentially with

the relative dielectric constant of the protective group.

Because any completely protected polymer has an extremely low develop rate it is quite common for

resists to be formulated with only a partially protected resin. This allows tailoring of the unexposed resist

develop rate, photospeed, wettability, and adhesion. As shown in Figure 19.39, phenolic resins do not

show significant develop rate until approximately 80% of the polymer sites are deprotected. It is,

therefore, advantageous to formulate a phenolic-based chemically amplified resist with only 20%–40% of

the phenolic sites protected. The unexposed resist will have just enough solubility to enhance wetting by

0.01

0.1

1

10

100

E

D C

BA

1000

0 20 40 60 80 100Degree of deprotection (%)

Dev

elop

rat

e (A

/s)

FIGURE 19.39 Dissolution rate of various chemically amplified resist resins as a function of deprotection. (Data

from (A) Itani, T., et al. J. Photopolym. Sci. Technol. 10 (1997): 409.; (B) Ito, H., and E. Flores. J. Electrochem. Soc. 135

(1988): 2322; (C) Itani, T., et al. Proc. SPIE 2438 (1995): 191; (D) Iwasa, S., et al. Proc. SPIE 3049 (1997): 126;

(E) Yamachika, M., et al. J. Photopolym. Sci. Technol. (1999): 12.)

q 2007 by Taylor & Francis Group, LLC

Page 39: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-39

the developer and adhesion to the substrate. Also, the PEB step will be more controllable as the number of

catalytic events needed to produce imaging is minimized.

For carboxylic acid-protected polymers the develop rate becomes significant at much lower levels of

deprotection (ca 20%). Because the develop rate contrast is high for carboxylic acid groups, it is a difficult

task to balance the wettability and adhesion of these polymers by partially deprotecting the polymer.

When enough free carboxylic acid is incorporated into the polymer to achieve sufficient wetting, the

unexpose develop rate becomes so high that the apparent contrast of the resist is decreased.108 Other non-

acidic but polar groups must be incorporated into the polymer, if carboxylic acid groups are the sole

solubility switch. For 248-nm systems the most successful approach has been that used in the ester capped

(ESCAP) polymer, where an unprotected hydroxystyrene is copolymerized with t-butyl ester protected

carboxylic acid groups. The unprotected phenolic groups are incorporated at significant levels to achieve

good adhesion and wettability without compromising unexposed develop rate and contrast. The uses of

acid labile protected carboxylic acid groups permit high develop rate contrast. Further, improvements of

the resist performance are possible by incorporating non-soluble, yet etch resistant monomers, into the

polymer. The relative loading of soluble, etch resistant, and acid labile groups is optimized to achieve the

desired develop rate characteristics for maximum process lattitude for individual feature types.109

19.3.2.4.2 Molecular Weight

The molecular weight of the chemically amplified resist resin plays a secondary role in the slope of the

develop rate vs. deprotection level curve of Figure 19.40. Molecular weight does, however, affect both

the intercept of the develop rate curve and the leveling off point for the maximum develop rate.

As with novolac resins the develop rate slows with increasing molecular weight. The maximum

develop rate for equivalent molecular-weight polymers of pHOST, however, are approximately 10 times

faster than novolac polymers. The increase of intramolecular hydrogren bonding in pHOST, over

intermolecular bonding in novolacs, is given as the explanation of this phenomenon.110 As a

10

Molecular weight (Mn)

Dev

elop

rat

e (n

m/s

)

1,000

100

10,000

FIGURE 19.40 Dissolution rate of pHOST polymers of various polydispersity as a function of number averaged

molecular weight (Mn).

q 2007 by Taylor & Francis Group, LLC

Page 40: Photoresist Materials and Processing.pdf

19-40 Handbook of Semiconductor Manufacturing Technology

consequence, the polymer molecular weights used for DUV resist systems has generally been higher than

for novolac resins. Weight averaged molecular-weight values between 3000 and 10,000 are common.

To determine the role of molecular weight and polydispersity on the maximum develop rate of

pHOST, researchers at Shipley synthesized very narrow dispersity polymers using “living” free radical

polymerization.111 The narrow well-defined molecular-weight resins were, then blended together to

obtain multiple molecular-weight mixtures of known polydispersity. They found a very strong

correlation of the develop rate to the number averaged molecular weight, Mn, regardless of the

polydispersity (Figure 19.40). Because of the extremely high develop rate for carboxylic acid polymers,

no detailed study of maximum develop rate vs. molecular weight has been published for these polymers.

19.4 ArF Materials, Immersion Lithographyand Extension of ArF

19.4.1 ArF Materials

Although the resolution limit of 248-nm resists has continued downward through improved resist

design, increased Lens NA, and other optical enhancements, it was recognized early in the life cycle of

248-nm resist that shorter wavelengths would eventually be required. The continued quest of shorter

wavelengths has led to the development of resist systems that work at 193 nm and shorter wavelengths.

One ninety three-nanometer exposure systems rely upon an argon fluoride (ArF) excimer laser source.

The basic resist relief image formation mechanism employed for 193-nm systems is functionally

equivalent to the acid catalyzed deprotection mechanism used for 248-nm systems. The chemically

amplified resist concept, first described two decades past and originally targeted for the 1000-nm device

generation, has proved to have remarkable versatility. The semiconductor industry has come to rely on

the properties of chemically amplified resists to achieve high resolution, high aspect ratio imaging

accompanied by the high throughput that stems from their catalytic imaging mechanism. As the industry

maps the evolution of lithographic technology to the 32-nm regime, it is appropriate to review the factors

that control the performance of chemically amplified, and examine whether the traditional evolutionary

path of materials refinement will provide materials capable of supporting device manufacturing at those

dimensions. The impacts of image blur, line edge roughness (LER), and shot noise on the ability to image

chemically amplified resists at nanoscale dimensions need to be understood. The rapid progress that has

characterized the semiconductor industry, since its birth in large part stems from refinement of the

lithographic techniques used to fabricate integrated circuits. Industry planning calls for the pace of

miniaturization in semiconductor technology to be maintained well into the future.112 The prospects and

issues tied to the extension of semiconductor technology into the nanoscale regime have been examined

in detail,113 and factors that limit the use of lithographic exposure technology to support that

miniaturization have been recently reviewed.114,115

Embedded in the specifications of the industry roadmap is the need for chemically amplified resists

that provide lithographic performance suitable to sustain their extension to the 32-nm dimensional

regime. It is recognized that the advancement of semiconductor technology cannot continue at the

current pace. Given the economic importance of semiconductors, the nature and positioning of various

limits has been examined in some depth. Such organization has facilitated a systematic evaluation of the

theoretical and practical factors that will influence the evolution of semiconductor technology. The limits

of lithography can be considered in a similar framework. The ultimate achievable resolution, radiation

sensitivity, and preciseness of image formation are the consequence of a set of fundamental limits

controlled by many factors. These set a lower bound for the next hierarchical level of material limits,

which are shaped by the intrinsic chemical and physical properties of the imaging medium (the resist)

and may be degraded from those at the fundamental level. The material limits, in turn, form a lower

bound for process limits, where the attributes of the tooling and operating conditions used in the

imaging process dictate the best achievable lithographic performance. For example, the wavelength and

q 2007 by Taylor & Francis Group, LLC

Page 41: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-41

NA of the exposure tool may restrict overall resolution to a level that is inferior to the intrinsic resolution

of the resist in use.

19.4.2 ArF Transparent Polymer Systems

The need for new polymer systems is solely based on the optical properties of existing KrF materials.

Figure 19.41 demonstrates the highly absorbing nature of phenolic systems at 193 nm. The first single

layer transparent polymer system discussed by Allen et al. was a single layer acrylate system originally

designed for printed circuit board applications over 15 years ago.116 Improvements in etch resistance

quickly became a priority by incorporating cyclic olefins into the backbone.117 Over the past 10 years,

numerous researchers have developed new materials or improvements to the existing materials to

enhance etch resistance and imaging performance. The literature has numerous examples; however, for

the purposes of this book chapter we will focus on several basic systems. The chemical structures of the

polymers are shown in Figure 19.42a and b. These cyclic olefin polymers118 are thermally stable, another

class consists of poly (2-methyl-2-adamantyl methacrylate50K2,6-norbornencarbolactone

methacrylate50) as a resin. The second consists of polyacrylate. The third consists of poly (t-butyl-

cycloolefin50-maleic anhydride50) (COMA) shown in Figure 19.43a–c119 along with number com-

binations of all the above systems.

19.4.2.1 Typical ArF Polymer Systems

Figure 19.44 is typical 193-nm polymer systems117 discussed early by researcher at the University of

Texas, IBM, and others to develop systems that created high quality image along with adequate

etch resistance.

In 193-nm resist systems, neither the excited state nor electron transfer mechanisms are allowed

energetically. For these systems the direct excitation pathway is the dominate mechanism for photoacid

generation. Because small fractions of light absorbed by the polymer system generates the desired

photochemistry, significant effort for polarity change.

Rohm & Haas UV6-Sumika PAR 101

0.0

2.0

4.0

6.0

8.0

10.0

12.0

14.0

16.0

18.0

20.0

22.0

24.0

165 172 179 186 193 200 207 214 221 228

Wavelength (nm)

Abs

orba

nce1

0 (1

/μm

)

UV6-2DPAR101

FIGURE 19.41 UV of KrF resist at 193 nm.

q 2007 by Taylor & Francis Group, LLC

Page 42: Photoresist Materials and Processing.pdf

C = O C = OC = O C = O

OO

CH2

O

O = C

HOHO

FIGURE 19.42 Polymer 1 is a ArF cyclic olefin copolymer. Polymer 2 has slightly lower thermal stability due to CH2

spacer group.

19-42 Handbook of Semiconductor Manufacturing Technology

19.4.2.2 Exposure Step

In 193-nm resist systems, neither the excited state nor electron transfer mechanisms are active. The

oxidation potentials for polymer 193-nm resist polymer systems are too high to support electron transfer

sensitization of PAG molecules. For these systems the direct excitation pathway is the dominate

mechanism for photoacid generation. Because none of the light absorbed by the polymer system

generates the desired photochemistry, significant effort has been made to produce more transparent

polymer systems and higher yielding PAGs.97

For the direct excitation model the observed Dill C rate constant (Equation 19.10) can be related to

molecular quantities.

C Z3f

NA

l

hc2:303!103 ð19:10Þ

where 3 is the molar absorbance of the PAG and f is the quantum yield for the photoreaction. Several

researchers have measured the quantum yield for acid generation using different PAG’s and polymer

systems at both 248- and 193-nm exposure wavelengths. As seen, the range of C parameters observed in

CA resist systems is generally within the 0.01–0.08 cm2/mJ range. For typical commercial resists the

exposure energy required to effect significant solubility switch is approximately 10 mJ/cm2. This

translates into 10%–50% of the initial PAG being decomposed into catalytic acid at working conditions

for CA resist systems.

[ ] [ ] [ ] [ [] ]CH2O

O O

O O O

O

O

OCH2 CH2 CH2

OO

O

O

OO

50 50m

R1

R1,R2; Alicyclic group

R2

n

(a) (b) (c)

FIGURE 19.43 Example of polyacrylate systems.

q 2007 by Taylor & Francis Group, LLC

Page 43: Photoresist Materials and Processing.pdf

O

O

O

O

OO H+

O

O

O

O

OO

H

++

H+

Δ

FIGURE 19.44 A typical acid catalyzed thermolysis reaction of 193-nm resists systems showing the generation of

free carboxylic acid from a tertiary ester.

Photoresist Materials and Processing 19-43

19.4.2.3 Deprotection Kinetics

After the exposure step produces a latent image of acid molecules in the resist, a thermal bake is

implemented. During this thermal bake step, a thermolysis reaction is catalyzed by the photogenerated

acid. For 193-nm resist systems, the thermolysis reaction typically generates a carboxylic acid from a

tertiary ester as shown in Figure 19.44. Several events occur during the PEB and successful simulation of

the chemically amplified resist process depends critically upon the correct PEB model and parameters.

19.4.2.4 Line Edge Roughness

Line edge roughness, for the purposes of this discussion,120 is defined as the root-mean-square (rms)

deviation of a single edge from a straight line. Its existence is one of the critical issues for the realization of

sub-70-nm lithography. The subject alone could be the subject of an entire chapter in this book; however,

we will only take a brief look into some of the cause and provide some examples. Line edge roughness

deteriorates the accuracy and repeatability in measuring CD and the roughness is transcribed into the

etched pattern. It has an effect on device performance, such as leakage, current, and threshold voltage.

Engineers have studied its effects on device performance,120,121 major cause,122 and how to control it.123–125

Photoresist researchers have studied several factors having an effect on LER in terms of resist materials

along with variations in process or additive materials to reduce LER. Over the past few years the literature

has numerous examples and data on various contributors to LER from a photoresist perspective. They

include molecular weight and polydispersity of polymer; the volatility, diffusivity, and transparency of

PAG; the basicity, volatility, and diffusivity of the quencher; polymer swelling, activation energy, and size

of the protecting group and polymer type. Figure 19.45 are 100 nm l/s generated with a COMA and

acrylate-based polymer system demonstrating the difference in LER just from materials.

LER = 4.9 nm LER = 3.1 nm(a) (b)

FIGURE 19.45 100 nm l/s demonstrating line edge roughness (LER).

q 2007 by Taylor & Francis Group, LLC

Page 44: Photoresist Materials and Processing.pdf

19-44 Handbook of Semiconductor Manufacturing Technology

19.4.2.5 New Classes of ArF Polymers

The development of polymers to meet the transparency requirements for 157-nm lithography created a

class of polymers that consisted of high contents of fluorine. These systems126 incorporated nearly 50%

fluorine to achieve transparency goals. The unfortunate demise of 157-nm technology did create a vast

library of knowledge in new systems that not only have nearly 99% transmission at 193 nm, but also had

unique properties that improved the performance of various types of polymers. In this section, the

authors will discuss these new classes of polymers along with new systems that have been created

as topcoat or protective layer materials for immersion lithography.

The Willson Research Group at the University of Texas127 explored the selective incorporation of

fluorine in a norbornane system. The plot in Figure 19.46 demonstrates the improvement in absorbance

at 157 nm of norbornane dependant on the location of the flouro group. In this plot we also see a

significant improvement in absorbance at longer wavelengths.

This activity yielded several interesting polymers with low absorbance initially at 157 nm and later at

193 nm. The polymer shown in Figure 19.47 is copolymer of NBHFA and NBHFA t-BOC. Trinque

et al.128 discuss the synthesis and application of this polymer for imaging at 157 nm. Further,

investigation into the optical properties of this system and imaging capability has also been

investigated129 that this copolymer is 99% transmissive at 193 nm.

Recently, Varanasi et al.130 published variations of polymers shown in Figure 19.48, which takes

advantage of simple free radical polymerization of acrylate systems that have incorporated norbornane

for etch resistance. Up to now, we have discussed the incorporation of fluorine for improvements in

transparency, which is still true, however, in this work not only is there an improvement, but Varanasi

et al. discovered that the incorporation of a monomer containing fluorine assists in reducing swelling in

acrylate polymer systems during development. Varanasi reported that since the pKa of HFA is similar to

that of phenol, that HFA incorporated methacrylate resists would behave similar to ESCAP-based KrF

resists in terms of resist dissolution kinetics. For the purpose of a comparison study, Varanasi prepared a

simple copolymer of t-butylmethacrylate and NB-HFA-MA (40/60) using free radical polymerization

method. This composition was chosen, primarily, to mimic well-known ESCAP copolymer of

t-butylacrylate and p-hydroxystyrene (40/60). The corresponding resist formulation was prepared

using industry standard PAG and quencher combinations. Dissolution rate vs. exposure dose curves

were obtained by flood exposing (254-nm wavelength, obtained from Hg–Xe lamp) cast resist films at

various exposures doses, processed and, then obtained dissolution rate information using quartz-crystal

microbalance (QCM) method. The comparison of data shown in Figure 19.49 reveals that HFA-based

ArF methacrylate resist behaves similar to ESCAP KrF resist, and do not show any swelling behavior even

at the onset of dissolution contrast, unlike typical ArF methacrylate resists.

0

0.0004

0.0008

0.0012

155 160 165 170 175 180

Wavelength (nm)

Abs

orba

nce

per

mT

orr

F

F

CF3OH

0.0016

FIGURE 19.46 Absorbance data of norbornane and fluoronorbornane derivatives.

q 2007 by Taylor & Francis Group, LLC

Page 45: Photoresist Materials and Processing.pdf

92 8

CF3CF3

F3CF3C OH O

O O

FIGURE 19.47 Fluoropolymer of NBHFA and NBHF t-boc.

Photoresist Materials and Processing 19-45

Another interesting aspect of these systems is the improvement in PEB sensitivity. Typically high etch

resistant methyl acrylates resists are based on multi-cyclic bulky protecting groups such as methyl

adamantyl group. Resists derived from methyl adamantyl protecting group-based polymers often suffer

from higher PEB sensitivity (5–10 nm/8C) with these systems reporting PEB sensitivities approximately

1 nm/8C.

19.4.3 Extending ArF

ArF immersion lithography has emerged as a promising candidate for 65-nm node technology.131 The

basic idea of immersion lithography is filling the gap between the final lens element and the photoresist

with a fluid, which has a higher refractive index (n) than air (nZ1) so that resolution and (depth of

focus) DOF can be increased.132 Figure 19.50 depicts the two advantages of immersion technology. One is

500

400

300

200

Thi

ckne

ss (

nm)

Time in 0.26 N TMAH (s)

5 mJ/cm2

7 mJ/cm2

8 mJ/cm2

10 mJ/cm2

20 mJ/cm2100

00 10 20 30 40 50 60 70 80 90

40 60

O O O O

O

O

FIGURE 19.48 Dissolution rate vs. exposure dose curves generated for state-of-the-art KrF ester capped (ESCAP)

and ArF (Methacrylate Resists).

q 2007 by Taylor & Francis Group, LLC

Page 46: Photoresist Materials and Processing.pdf

500

400

300

200

100

00

@254 nm exposure:10 mJ/cm2

14 mJ/cm2

15 mJ/cm2

20 mJ/cm2

10 20 30 40 50 60 70 80 90

Time in 0.26 N TMAH (s)

Typical ArF resist formulation

Thi

ckne

ss (

nm)

40 60

O

OHCF3

F3C

O

HFA-Methacrylate polymer platform

OO

FIGURE 19.49 Dissolution rate vs. exposure dose curve obtained with an ArF resist formulation containing

copolymer of t-butylmethacrylate and NB-HFA-MA.

19-46 Handbook of Semiconductor Manufacturing Technology

to increase DOF of an exposure system, while maintaining same resolution of a dry system at equal NA.

The image-forming angle of the deflected light in the photoresist does not change, but the incident angle

in the fluid above the resist surface does change. Because the incident angle in the fluid becomes smaller,

the available DOF is increased. Existing dry scanner lenses need little modification on the shape and

position of the lens elements to preserve the incident angle in the resist. For NA beyond one, the

advantage is to enhance the resolution beyond the limit of a dry system using the same vacuum

wavelength. The optical system is re-designed to preserve the physical angle in the coupling medium. The

incident angle of the exposure light in the resist can then be enlarged to resolve features in smaller half

α

FIGURE 19.50 The two advantages of immersion lithographic system, (a) increase depth of focus by decreasing the

incident angle in water, and (b) enhance resolution by enabling hyper NA lens design.

q 2007 by Taylor & Francis Group, LLC

Page 47: Photoresist Materials and Processing.pdf

W (hp) = k1l

NA

2nd gen.

1st gen.

32nmhp

45nmhp

k1=0.30

k1=0.25

Dry(n=1.0)

Wet(n=1.44)

Wet(n=1.64)

90

80

70

60

50

40

30

200.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 1.5 1.6 1.7

NA

Cal

cula

ted

reso

lutio

nhp

(nm

)

FIGURE 19.51 Calculated resolution vs. NA.

Photoresist Materials and Processing 19-47

pitch. Of course, the incident angle does not have to be confined to only these two specific cases.

Resolution and DOF can be traded off against each other by selecting the incident angle properly.

The success of ArF water immersion lithography is inspiring many engineers and scientists to think, if

ArF immersion lithography could be put forward further. Could CD smaller than 45 nm, for example,

32 nm, be achieved by ArF immersion lithography with a high refractive index fluid? Figure 19.51 shows

the calculated resolution (W) based on the Rayleigh equation; (Equation 19.1) where k1 is process

constant and is related to the difficulty of lithography process and has the lower theoretical limit of 0.25, l

is the wavelength, and NA is numerical aperture of the optical system.

19.4.4 Topcoats for Immersion Lithography

During the initial introduction of water immersion lithography, photoresist companies quickly

discovered that existing ArF photoresists produced reasonably good lithography. SEMATECH sponsored

an Immersion Task Force, which quickly investigated a number of aspects of photoresist chemistry. A

series of surface experiments were performed ranging from contact angle, to investigate any surface

energy changes, to XPS and TOF-SIMS to understand the contents of the film.133 These investigations

quickly pointed the industry in the direction to understand the surface interactions and components

from the photoresist that leach into the water. These studies investigated the use of model resist systems

based on copolymer of methyl-adamantyl methacyralate and g-butryl lactone methacyralate along with

three commons PAGs shown in Figure 19.52.134 Data presented in Figure 19.53 was a clear indication on

the amount of PAG that was leaching from the resist surface, however, there was a surprise that the

perfluoro-octanoic sulfonic acid (PFOS) system had higher concentrations of PAG in the water and that

the triflate system was less.

TOK developed a “cover material” called TSP-3A, which was a fluoropolymer that was cast over the

ArF photoresist. The purpose of this cover material was to prevent any leaching and improvements in

image quality. This material was insoluble in developer and required a separate solvent for removal. Due

to the high fluorine content of the polymer the contact angle was extremely high, which lead to a number

of other problems.135 The industry quickly developed “top coats” that are developer soluble with lower

q 2007 by Taylor & Francis Group, LLC

Page 48: Photoresist Materials and Processing.pdf

S+ −OSO2CF3 S+ −OSO2C4F9 S+H3C−OSO2C8F17

TPS-Nf TPS-Tf PFOS (TPS-Of)

O

O

O

O

O

O

50 50

MA dMA GBLMA

FIGURE 19.52 Photoacid generators and polymer for leaching studies.

19-48 Handbook of Semiconductor Manufacturing Technology

contact angle.126 This ability to quickly develop these systems is a benefit of the vast amount of material

that occurred during the 157-nm development programs. As previously discussed, the highly fluorinated

materials were used to gain the necessary transparency needed at 157 nm and the benefit was virtually

99.5% transmissive materials. These cover coats are excellent in the reduction of leaching, but not the

total prevention.136

0

20

40

60

80

100

120

10

Anion chain length

PA

G le

vel (

ppb)

PAG loading 0.7PAG loading 1PAG loading 1.5

86420

FIGURE 19.53 PAG leaching vs. anion chain length.

q 2007 by Taylor & Francis Group, LLC

Page 49: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-49

19.4.5 New Immersion Fluids

The further extension of ArF immersion can, in principle, continue if a fluid exists with physical

properties similar to water, but maintains a higher refractive index at 193 nm. This increase in

refractive index allows lens designers to build a larger lens system of greater NA and thus higher

resolution.137,138

Water, as an immersion fluid, has a theoretical limit in NA equal to the index of water.133 The

practical limit for lens design is even less and estimated to be approximately 1.3 NA. With k1 of 0.27,

this would result in 40-nm half pitch resolution. The latest experimental data on high index fluids is

presented in the paper of Sewell.127 Burnett125 pointed out that next to high index fluids also high

index glass materials are required to enable the super high NA lens designs. Regarding lens designs,

immersion lenses may follow two different approaches. The first one is the approach with a flat surface

near the image side, the second one with a curved surface near the imaging side. With the flat surface

approach, the refractive power is dominated by the glass material and the fluid index should be

matched as good as possible to the index of the glass. The advantage of this approach is that the fluid

film can be relatively thin. This relaxes the absorption requirements on the fluid. With the approach of

a curved last lens surface, only the fluid index determines the maximum NA. However, in this case, the

optical path through the fluid cannot be small, and thus, the requirements on the fluid absorption

become very tight. Besides absorption, there are additional requirements on the fluid, like viscosity,

thermal dependency, and cost. If we compare the basic requirements with the published experimental

data123–125,127 we conclude that the current fluids are too high in absorption, too high in dn/dT, and

too expensive. If we assume the condition nZn(fluid)Zn(glass) and assume maximum NAZ0.9n and

minimum k1Z0.27, we can plot the resolution limit of ArF immersion lithography. The result is shown

in Figure 19.54. With the currently published index number of fluids and glass materials, ArF resolution

is limited to 36 nm. In order to reach 32 nm, new fluid and glass materials are required with refractive

index numbers exceeding 1.8.

From this calculation, 32 nm or below resolution can be achieved with high refractive index fluid

(nZ1.64). Although extreme-ultraviolet (EUV) (13 nm) lithography has been suggested to be used in

32-nm node or below, the development of exposure tools for EUV is still in early stage and much time

and effort is thought to be needed because of the technical hurdle. By making use of existing water

Water80

70

60

50

40

30

20

10

01 1.2 1.4 1.6 1.8

Immersion fluid refractive index (n)

2 2.2 2.4

Hal

f pitc

h re

solu

tion

(nm

)

Sinθ = 0.90, k = 0.25Sinθ = 0.90, k = 0.27Sinθ = 0.90, k = 0.30Sinθ = 0.90, k = 0.35

2nd Gen.fluid

3rd Generationimmersion

fluid?

FIGURE 19.54 Resolution vs. immersion fluid refractive index.

q 2007 by Taylor & Francis Group, LLC

Page 50: Photoresist Materials and Processing.pdf

19-50 Handbook of Semiconductor Manufacturing Technology

immersion technology, ArF immersion with high index fluids has apparently the advantage of lower cost

and risk for tool development. This is why ArF immersion is now gaining more and more spotlight as a

candidate for the next generation lithography (NGL) technology. Initial attempts to develop high

refractive index (RI) fluids for ArF immersion has been carried out aiming at increasing refractive index

by addition of inorganic materials. Smith et al. reported various refractive indexes at 193-nm wavelength

with doped waters. They utilized “charge-transfer-to-solvent” (CTTS) transition to induce the small

absorption near the 193-nm wavelength with inorganic ions, and therefore, heightened the refractive

index of water. They presented the result of 68 nm L–S imaging by an aqueous solution of 85%

phosphoric acid with refractive index of 1.55 at 193 nm wavelength.133 A unique approach is also

reported by applying nano-sized metal oxide. Researchers at SEMATECH and Clemson University

reported that refractive index of water dispersed with aluminum oxide nano-particles could be as high as

1.6.134 Although this kind of an approach can take advantage of some favorable properties of water, they

appear to sacrifice others. For example, although CTTS can increase the refractive index of water, it also

reduces the transmittance of water. Inorganic ions of metal oxides can damage lens and or leave

photoresist defects. Furthermore, mixed aqueous compositions have another disadvantage, the difficulty

to precisely control the accuracy of their refractive indexes, as small amounts of variation in

concentration would cause enough fluctuation in refractive index. The ideal solution would be a

single component fluid.139 Recently, researchers from JSR and Dupont disclosed organic fluids with a

refractive index of 1.65 at 193 nm. Imaging studies have been completed through the use of

interferometric lithography demonstrating 32 nm 1/2 pitch imaging. This demonstration is a great

step forward in the further extension of immersion ArF lithography; however, there are still numerous

challenges not only in fluids, but resist materials and the optical system of the exposure tool.

19.4.6 High Refractive Index (RI) Polymers

The idea of increasing the refractive index is not a relativity new concept; however, understanding the

impact is.139 Recent studies at SEMATECH and the University of Queensland140 have focused on the

incorporation of sulfur into the polymer. The results have demonstrated increases in refractive with

relatively small amounts of sulfur incorporation. Presently the vast majority of ArF polymers have a

refractive index of approximately 1.7. Figure 19.55 is the structure of a typical ArF acrylate polymer

system.141 Figure 19.56 is the structure of a sulfur containing copolymer,142 and Figure 19.57 is a UV

spectrum of each polymer demonstration, the increase in refractive index. But, why increase the

refractive index? Figure 19.58 is a plot of exposure latitude vs. refractive index. This plot demonstrates

with increase refractive index improvements in exposure latitude can be achieved. The theory has been

previously discussed143 and Figure 19.59 is the individual process capability plots for polymers with

O

O

O

O

n

O

Om

O

O

O

O

o

FIGURE 19.55 Structure of standard ArF polymer.

q 2007 by Taylor & Francis Group, LLC

Page 51: Photoresist Materials and Processing.pdf

*

OO O O

n m

O

O

S

SS

FIGURE 19.56 Structure of sulfur containing copolymer.

Photoresist Materials and Processing 19-51

increasing refractive from 1.69 (current polymers) up to 2.29 demonstrating the increased exposure

latitude for a 50 nm-image on a 130-nm pitch using water as the immersion fluid and an NA of 1.35.

19.4.7 Post-ArF-Material Requirements

At this time (mid-2005), 90-nm device fabrication is continuing to ramp up. The International Technical

Roadmap for Semiconductors112 (ITRS), which outlines target device and materials requirements for

future generations of semiconductor devices, calls for device dimensions to shrink to approximately

20 nm minimum size by the year 2016. It is anticipated that the NGL exposure technologies115 using

EUV144 radiation or electron beam projection145 (EBP) will be necessary to achieve adequate resolution.

It is not surprising that resist functional requirements become increasingly stringent as dimensions of the

target devices shrink. For the ITRS 22-nm technology node (dynamic random access memory half-

pitch), which is the most stringent metric for resist resolution rather than the less reliable measurement

Wavelength (nm)

180 190 200 210 220 230 240 250 260

Ref

ract

ive

inde

x

1.55

1.60

1.65

1.70

1.75

1.80

1.85

1.90

1.95

FIGURE 19.57 UV spectrograph of polymers from Figure 19.55 and Figure 19.56.

q 2007 by Taylor & Francis Group, LLC

Page 52: Photoresist Materials and Processing.pdf

Max EL for 50 nm on 130 nm pitch1.35 NA

20

21

22

23

24

25

26

27

28

29

30

1.5 1.6 1.7 1.8 1.9 2.1 2.2 2.3 2.4 2.5

Refractive index at 193 nm

%E

L

Max EL for 50 nm on 120 nm pitch

2

FIGURE 19.58 Exposure latitude vs. photoresist refractive index.

19-52 Handbook of Semiconductor Manufacturing Technology

of isolated features,146 requirements are that the resist will be used at a film thickness between 40 and

80 nm, will exhibit a LER of not greater than 1 nm per edge (3s) and will support overall control of CDs

to 1 nm (3s).112,145 These tolerances are smaller than the dimensions of the polymer molecules that

constitute today’s resists,146 and given a typical carbon–carbon bond length of 0.13–0.15 nm,147 it is clear

that this specification is a call for atomic-scale control. To find practical use, a resist material must satisfy

an extensive, comprehensive list of functional properties. Any viable resist must simultaneously achieve

the target resolution, adequate sensitivity and acceptable imaging precision. These attributes ultimately

Exposure latitude vs. DOF

2.2972 − 1.35 NA− 50 on 130 p Quas

1.6972 − 1.35 NA− 50 on 130 p Quas

1.9972 − 1.35 NA− 50 on 130 p Quas

Depth of focus

30

20

00.0 0.1 0.2 0.3

10

Exp

osur

e la

titud

e (%

)

FIGURE 19.59 Process capability vs. refractive index.

q 2007 by Taylor & Francis Group, LLC

Page 53: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-53

are dictated by economics: the need to produce a product that the market wants at acceptable cost. Resist

resolution determines the number of devices per circuit, device speed, and the number of devices per

wafer; resist sensitivity governs wafer throughput per tool; and imaging precision affects device

performance, and yield. Advanced research, largely carried out at academic laboratories active in

nanoscience and nanofabrication, has sought to identify and extend the limits of nanoscale lithography.

Among more conventional organic resist materials, the consensus is that PMMA is capable of imaging

line-space arrays (formed by electron beam lithography) at the 15–20 nm scale (30–40 nm pitch)147–153

without excessive LER,124 currently the record for a polymer-based resist. Other nonpolymeric organic

resist materials have been reported to exhibit similar resolution and low LER.154,155 While these studies

provide proof that resolution and LER consistent with the 2016-roadmap requirements is, in principle,

attainable by currently known means, the radiation sensitivity of the materials used for these

demonstrations is inadequate by orders of magnitude. The anticipated low brightness of NGL radiation

sources is such that resists with very high radiation sensitivity will be required. Resist resolution criterion

for 20-nm scale lithography development of EUV and EBP prototype tools.144,156 The expectation that

CA resists will be used with NGL is signaled by an ITRS specification of allowable change in image size

with PEB temperature.157 A key issue, then, and still unproven, is whether CA resists can simultaneously

satisfy resolution and image precision specifications, while maintaining adequate radiation sensitivity.

References

1. Kunz, R. R., S. C. Palmateer, A. R. Forte, R. D. Allen, G. M. Wallraff, R. A. DiPietro, and D. Hofer.Proc. SPIE 2724 (1996): 365–76.

2. Garza, C. M., and W. L. Krisa. “Tools to Extend the Resolution of Optical Lithography.” In 10thInternational Conference on Photopolymers. Ellenville, NY: Society of Plastic Engineers, Oct. 1994,Mid-Hudson Section, Nov. 1994.

3. Thompson, L. F., C. G. Willson, and M. J. Bowden, eds. Introduction to Microlithography, ACSSymposium Series 219, 90–1. Washington, DC: American Chemical Society, 1983.

4. Thompson, L. F., C. G. Willson, and M. J. Bowden, eds. Introduction to Microlithography, ACSSymposium Series 219, 111–6. Washington, DC: American Chemical Society, 1983.

5. Meyerhofer, D. IEEE Trans. Electron Devices ED-27 (1980): 921.6. Hinsberg, W. D., C. G. Willson, and K. K. Kanazawa. Proc. SPIE 539 (1985): 6.7. Ouano, A. C. Polym. Eng. Sci. 18 (1978): 306.8. Blum, L., M. E. Perkins, and A. W. McCullough. Proc. SPIE 771 (1987): 148.9. Arcus, R. A. Proc. SPIE 631 (1986): 124.

10. Pampalone, T. R. Solid State Technol. 27, no. 6 (1984): 115.11. Stillwagon, L. E. Solid State Technol. 28, no. 5 (1985): 113.12. Hanabata, M., Y. Uetani, and A. Furuta. Proc. SPIE 920 (1988): 349.13. Grant, B. D., N. J. Clecak, R. J. Twieg, and C. G. Willson. IEEE Trans. Electron Devices ED-28 (1981):

1300.14. Templeton, M. K., C. R. Szmanda, and A. Zampini. Proc. SPIE 771 (1987): 136.15. Pawloski, A. R., J. A. Torres, P. F. Nealey, and J. J. de Pablo. J. Vac. Sci. Technol. B, 17, no. 6 (1999).16. Koshiba, M., M. Murata, M. Matsui, and Y. Harita. Proc. SPIE 920 (1988): 364.17. Honda, K., B. T. Beauchemin Jr., E. A. Fitzgerald, A. T. Jeffries III., S. P. Tadros, A. J. Blakeney,

R. J. Hurditch, S. Tan, and S. Sakaguchi. Proc. SPIE 1466 (1991): 141.18. Kajita, T., T. Ota, H. Nemoto, Y. Yumoto, and T. Miura. Proc. SPIE 1466 (1991): 161.19. Borzo, M., J. J. Rafalko, M. Joe, R. R. Dammel, M. D. Rahman, and M. A. Ziliox. Proc. SPIE 2438

(1995): 294.20. Beauchemin, B. T., K. Honda, and R. J. Hurditch. Proc. Electrochem. Soc., Patterning Sci. Technol.

90-1 (1989): 15.21. Uenishi, K., Y. Kawabe, and T. Kokubo. Proc. SPIE 1466 (1991): 102.22. Trefonas, P. III., and B. K. Daniels. Proc. SPIE 771 (1987): 194.

q 2007 by Taylor & Francis Group, LLC

Page 54: Photoresist Materials and Processing.pdf

19-54 Handbook of Semiconductor Manufacturing Technology

23. Murata, M., M. Koshiba, and Y. Harita. Proc. SPIE 1086 (1989): 48.24. With permission from Hinsberg W. D., et al. personal communication.25. Reiser, A. Photoreactive Polymers, 179. New York: Wiley-Interscience, 1989.26. Huang, J. P., T. K. Kwei, and A. Reiser. Proc. SPIE 1086 (1989): 74.27. Ueberreiter, K., and F. Asmussen. J. Polym. Sci. 57 (1962): 187.28. Asmussen, F., and K. Ueberreiter. J. Polym. Sci. 57 (1962): 199.29. Moreau, W. M. Semiconductor Lithography: Principles, Practices, and Materials. 473. New York:

Plenum Press, 1988.30. Garza, C. M., C. R. Szmanda, and R. L. Fisher Jr. Proc. SPIE 920 (1988): 41.31. Yeh, T.-F., H.-Y. Shih, and A. Reiser. Macromolecules 25 (1992): 5345.32. Yeh, T.-F., A. Reiser, R. R. Dammel, G. Pawlowski, and H. Roeschert. Macromolecules 26 (1993):

3862.33. Shih, H.-Y., T.-F. Yeh, A. Reiser, R. R. Dammel, H. J. Merrem, and G. Pawlowski. Macromolecules 27

(1994): 3330.34. Shih, Y., and A. Reiser. Macromolecules 29 (1996): 2082.35. Shih, H.-Y., and A. Reiser. Macromolecules 30 (1997): 3855.36. Kim, M. S., and A. Reiser. Macromolecules 30 (1997): 3860.37. Shih, H.-Y., and A. Reiser. Macromolecules 30 (1997): 4353.38. Kim, M. S., and A. Reiser. Macromolecules 30 (1997): 4652.39. Shih, H.-Y., H. Zhuang, A. Reiser, I. Teraoka, J. Goodman, and P. M. Gallagher-Wetmore.

Macromolecules 31 (1998): 1208.40. Stauffer, D., and A. Aharony. Introduction to Percolation Theory. London: Taylor and Francis, 1992.41. Tsiartas, P. C., L. W. Flanagin, C. L. Henderson, W. D. Hinsberg, I. C. Sanchez, R. T. Bonnecaze, and

C. G. Willson. Macromolecules 30 (1997): 4656.42. Flanagin, L. W., C. L. McAdams, W. D. Hinsberg, I. C. Sanchez, and C. G. Willson. Macromolecules

32 (1999): 5337.43. Flanagin, L. W., V. K. Singh, and C. G. Willson. J. Polym. Sci., Part B: Polym. Phys. 37 (1999): 2103.44. Flanagin, L. W., V. K. Singh, and C. G. Willson. J. Vac. Sci. Technol. B 17 (1999): 1371.45. Ito, H. J. Photopolym. Sci. Technol. 11 (1998): 379.46. Kawamura, Y., T. Toyoda, and S. Namba. J. Appl. Phys. 53 (1982): 6489.47. Allen, R. D., G. M. Wallraff, W. D. Hinsberg, and L. L. Simpson. J. Vac. Sci. Technol. B9 (1991):

3357.48. Kaimoto, Y., K. Nozoaki, S. Takechi, and N. Abe. Proc. SPIE 1672 (1992): 66.49. Gipstein, E., A. C. Ouano, and T. Thompkins. J. Electrochem. Soc. 129 (1982): 201.50. Hanrahan, M. J., and K. S. Hollis. Proc. SPIE 771 (1987): 128.51. Pawalshi, T., T. Sauer, R. Dammel, D. J. Gordon, W. Hinsberg, W. McKean, C. Lindler, H. Merrem,

R. Vicari, and C. G. Willson. Proc. SPIE 1262 (1990): 391.52. Reichmanis, E., C. W. Wilkins, and E. A. Chandross. J. Vac. Sci. Technol. 19 (1981): 1338.53. Swartzkopf, G., K. B. Gabriel, and J. B. Covington. Proc. SPIE 1262 (1987): 456.54. Houlihan, et al. Proc. SPIE 2195 (1991): 231.55. Iwayanagi, T., T. Kohashi, S. Nonogaki, T. Matsusawa, K. Douta, and H. Yanazawa. IEEE Trans.

Electron Devices ED-25 (1981): 1306.56. Lin, B. J. J. Vac. Sci. Technol. 12 (1975): 1317.57. Nate, K., and T. Kobayashi. J. Electrochem. Soc. 128 (1981): 1394.58. Ito, H., and C. G. Willson. In Polymers in Electronics, edited by T. Davidson, 11. Washington, DC:

American Chemical Society, 1984.59. Crivello, J. V. In UV Curing: Science and Technology, edited by S. P. Pappas, Norwalk, CT:

Technology Marketing Corp., 1978.60. Ito, H., and C. G. Willson. Polym. Eng. Sci. 23 (1983): 1012.61. Feeley, W. E., J. C. Imhof, C. M. Stein, T. A. Fischer, and M. W. Legenza. Polym. Eng. Sci. 26 (1986):

1101.62. Thackeray, J. W., G. W. Orsula, E. K. Pavelcheck, and D. Canistro. Proc. SPIE 1086 (1989): 34.63. Ito, H., J. M. Frechet, and C. G. Willson. U.S. Patent.

q 2007 by Taylor & Francis Group, LLC

Page 55: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-55

64. Wallraf, G. M., W. D. Hinsberg, F. Houle, J. Opitz, D. Hopper, and J. M. Hutchinson. Proc. SPIE2438 (1995): 182.

65. Crivello, J. V. In Polymers in Electronics, edited by T. Davidson, 3. Washington, DC: AmericanChemical Society, 1984.

66. Crivello, J., and J. Lam. J. Polym. Sci. 16 (1978): 2441.67. McKean, D. R., U. Shaedeli, and S. A. Macdonald. In Polymers in Microlithography, edited by E.

Reichmanis, 27. Washington, DC: American Chemical Society, 1989.68. Crivello, J. In Polymers in Electronics, edited by T. Davidson, ACS Symposium Series, 242.

Washington, DC: American Chemical Society, 1984.69. Brunsvold, W., R. Kwong, W. Montgomery, W. Moreau, H. Sachdev, and K. Welsh. Proc. SPIE 1262

(1990): 162.70. Hacker, N., and K. Welsh. Proc. SPIE 1466 (1991): 384.71. Iwamoto, T., S. Nagahara, and S. Tagawa. J. Photopolym. Sci. Technol. 11 (1998): 455.72. Renner, C. U.S. Patent 4,371,605, 1983.73. Kasai, P. H. JACS 114 (1992): 2875.74. Szmanda, C. R., R. Kanangh, J. Buhland, J. Cameron, P. Trefonas, and R. Blacksmith. Proc. SPIE

3678 (1999): 857.75. Poot, A., G. Delzene, R. Pollet, and U. Laridon. J. Photogr. Sci. 19 (1971): 88.76. Pawlowski, G., R. Dammel, C. R. Lindley, H. Merrem, H. Roschert, and J. Lingnau. Proc. SPIE 1262

(1990): 16.77. Houlihan, F. M., A. Shugard, R. Gooden, and E. Reichmanis. Macromolecules 21 (1988): 2001.78. Houlihan, F. M., E. Chin, O. Nalamasu, J. M. Kometani, T. X. Neenan, and A. Pangborn. Proc. SPIE

2195 (1994): 137.79. Dill, F. H., W. P. Hornberger, P. S. Hauge, and J. M. Shaw. IEEE Trans. Electron Devices ED22

(1975): 445.80. Sturtevant, J. IBM Technical Report TR-19.0938, 1991.81. McKean, D., U. Schaedeli, and S. J. Macdonald. J. Polym. Sci. Chem. Ed. 27 (1989): 3927.82. Sturtevant, J. S., W. Conley, and S. E. Webber. Proc. SPIE 2724 (1996): 273.83. Tarascon, R., E. Reichmanis, F. M. Houlihan, H. Shugard, and L. F. Thompson. Polym. Eng. Sci. 29

(1989): 850.84. Turner, S. R., K. D. Ahn, and C. G. Willson. In Polymers for High Technology, edited by M. J.

Bowden, and S. R. Turner, 200. Washington, DC: American Chemical Society, 1984.85. Przybilla, R. J., R. Dammel, H. Roshert, W. Spiess, and G. Pawlosky. J. Photopolym. Sci. Technol. 4

(1991): 421.86. Ito, H., G. Breyta, R. Sooriyakumaran, and D. Hofer. J. Photopolym. Sci. Technol. 8 (1995): 505.87. Nozaki, K., K. Watanabe, E. Yano, A. Kotachi, S. Takechi, and I. Hanyu. J. Photopolym. Sci. Technol.

9 (1996): 509.88. Szmanda, C., R. Kavanagh, P. Trefonas, and R. Blacksmith. Proc. SPIE (1998).89. Smith, G. H., S. Paul, and J. A. Bonham. U.S. Patent 3,779,778, 1973.90. Hayashi, N., S. Hesp, T. Ueno, M. Toriumi, T. Iwayanagi, and S. Nonogaki. Polym. Mater. Eng. 61

(1989): 417.91. Hayashi, N., L. Schlegel, T. Ueno, H. Shiraishi, and T. Iwayanagi. Proc. SPIE 1466 (1991): 377.92. Mertesdorf, C., N. Munzel, H. Holzarth, P. Falcigno, H. Schact, O. Rohde, S. Schulz, et al. Proc.

SPIE 2438 (1995): 84.93. Dossel, K. F. EP-Appl 0 312 751, 1988.94. Bantu, et al. Proc. SPIE.95. Lee, K. Y., and W. S. Huang. J. Vac. Sci. Technol. B 11 (1993): 2807.96. Conley, W., et al. “SEMATECH DUV Workshop.” Austin, TX, Nov. 1992.97. Cameron, et al. Proc. SPIE 4345 (1993): 106.98. Sundararajan, et al. Proc. SPIE 3678 (1992): 78.99. Michaelson, et al. Proc. SPIE 5753 (1992): 368.

100. Lewis, C., and C. G. Willson. Proc. SPIE (1999): 3678.101. Mack, C. A. J. Electrochem. Soc. 134 (1987): 148.

q 2007 by Taylor & Francis Group, LLC

Page 56: Photoresist Materials and Processing.pdf

19-56 Handbook of Semiconductor Manufacturing Technology

102. Ito, H., D. F. Alexander, and G. Breyta. J. Photopolym. Sci. Technol. 10 (1997): 397.103. Ito, H., and E. Flores. J. Electrochem. Soc. 135 (1988): 2322.104. Itani, T., H. Yoshino, S. Hashimoto, M. Yamana, N. Samoto, and K. Kasama. J. Photopolym. Sci.

Technol. 10 (1997): 409.105. Itani, T., H. Iwasaki, H. Yoshino, M. Fujimoto, and K. Kasama. Proc. SPIE 2438 (1995): 191.106. Iwasa, S., K. Maeda, K. Nakano, and E. Hasegawa. Proc. SPIE 3049 (1997): 126.107. Yamachika, M., K. Patterson, J. D. Byers, and C. G. Willson. J. Photopolym. Sci. Technol.

(1999): 12.108. Allen, R. D., G. M. Wallraff, W. D. Hinsberg, L. L. Simpson, and R. R. Kunz. In Polymers for

Microlelectronics, edited by L. F. Thompson, C. G. Willson, and S. Tagawa, 165. Washington, DC:

American Chemical Society, 1994.109. Conley, W., B. Brunsvold, F. Buehrer, R. Dellaguardia, D. Dobuzinsky, T. Farrel, H. Ho, et al. Proc.

SPIE 3049 (1997): 282.110. Aoai, T., T. Yamanaka, and M. Yagihara. J. Photopolym. Sci. Technol. 10 (1997): 387.111. Barclay, G. G., C. J. Hawker, H. Ito, A. Orellana, P. R. L. Malenfant, and R. F. Sinta. Proc. SPIE 2724

(1996): 249.112. “International Technical Roadmap for Semiconductors.” Austin, TX: SEMATECH, Inc., 2001.

113. “Special Issue on Limits of Semiconductor Technology.” Proc. IEEE 89, no. 3 (2001).114. Ito, T., and S. Okazaki. Nature 406 (2000): 1027.115. Harriott, L. ref. 2, 366–374.116. Allen, et al. U.S. Patent 5,071730.117. Allen, R. D., G. M. Waliraff, R. A. DiPietro, and D. C. Hofer. “193 nm Single Layer Positive Resists

Building Etch Resistance into a High Resolution Imaging System.” Proc. SPIE 474 (1995): 2438.118. Khojasteh, M., K. Chen, R. Kwong, M. Lawson, P. Varanasi, K. Patel, and E. Kobayashi. “High-

Performance 193-nm Photoresist Materials Based on a New Class of Polymers Containing Spaced

Ester Functionalities.” Proc. SPIE 5039 (2001): 187.119. Hada, et al. Proc. SPIE 5039 (2001): 752.120. Jonathan, C., et al. Proc. SPIE 5039 (2003): 376.121. Lee, J. Y., et al. Proc. SPIE 5376 (2004): 426.122. Stewart, D. M., et al. Proc. SPIE 5039 (2003): 415.

123. Bryan, J. R., et al. Proc. SPIE 5039 (2003): 376.124. Yoshizawa, M., et al. Proc. SPIE 3997 (2000): 301.125. Yoshizawa, M., et al. J. Vac. Sci. Technol. B 19, no. 6 (2001): 2488.126. Allen, R., et al. Proc. SPIE 5753 (1995): 256.127. Hung, R., et al. Proc. SPIE 4345 (1993): 385.128. Trinque, et al. Proc. SPIE 4690 (2003): 58.129. Conley, W., P. Zimmerman, D. Miller, and G. S. Lee. Proc. SPIE 5039: 207.

130. Varanasi, P. R., R. W. Kwong, M. Khojasteh, K. Patel, K.-J. Chen, W. Li, and M. C. Lawson, et al.

Proc. SPIE 5753 (2004): 131.

131. Lin, B. J. “The k3 Coefficient in Nonparaxial l/NA Scaling Equations for Resolution, Depth of

Focus, and Immersion Lithography.” Microlithography, Microfabrication, Microsystems 3, no. 7,

(2002).132. Abbe, E. “Beitrage zur Theorie des Midroskops und der mikroskopischen Wahrnehmung.” Archiv

fur Mikroskopische Anatomie (1873).

133. Conley, W., et al. “SEMATECH Immersion Lithography Workshop-IBM Almaden Research

Centre.” San Jose, CA, Jul. 2003.

134. Conley, W., et al. “1st International Symposium on Immersion Lithography.” Vancouver, BC, Aug.

2004.

135. Pollentier, I., et al. Proc. SPIE 5754 (2001): 129.136. Dammel, R., et al. Proc. SPIE 5753 (2001): 95.137. Webb, J. E. “Extending the Newtonian Design Form for Ultra-High Numerical Aperture and

Immersion Lithography.” Proc. SPIE 5377 (2002): 69.

q 2007 by Taylor & Francis Group, LLC

Page 57: Photoresist Materials and Processing.pdf

Photoresist Materials and Processing 19-57

138. Mulkens, J., D. Flagello, B. Streefkerk, and P. Graupne. “Benefits and Limitations of ImmersionLithography.” JM3 January, (2003).

139. Kusumoto, S., et al. Proc. SPIE 5753: 10; Peng, S., et al. Proc. SPIE 5754 (2004): 427.140. Dammel, R. J. Photopolym. June, (2005).141. Khojastch, M., K. Chen, R. Kwong, M. Lawson, P. Varanasi, and P. Patel. Proc. SPIE 5039 (1873):

187.142. Whittaker, A. K., I. Blakey, H. Liu, D. J. T. Hill, G. A. George, W. Conley, and P. Zimmerman,

“High-RI Resist Polymers for 193 nm Immersion Lithography.” Proc. SPIE 5753 (2003): 827.143. Conley, W., and J. Bendik. “Is ArF the Final Wavelength?” Proc. SPIE 5376 (2004): 16.144. Bjorlkholm, S. Intel Technol. J. 3rd Quarter 1998, available at http://www.intel.com/technology/itj/

q31998.htm145. Levinson, H. IEEE Circuits Dev. Mag. 18 (2002): 50.146. Broers, A., A. Hoole, and J. Ryan. Microelectron. Eng. 32 (1996): 131.147. Castellan, G. Physical Chemistry. 2nd ed., 578. Reading, MA: Addison-Wesley, 1971.148. Billmeyer, F. Textbook of Polymer Science. 2nd ed., 154–7. New York: Wiley-Interscience, 1984.149. Issacson, M., and A. Murray. J. Vac. Sci.Technol. 19 (1981): 1117.150. Broers, A. J. Electrochem. Soc. 128 (1981): 166.151. Vieu, C., F. Carcenac, A. Pepin, Y. Chen, M. Mejias, A. Lebib, L. Manin-Ferlazzo, L. Courad, and

H. Launis. Appl. Surf. Sci. 164 (2000): 111.152. Yasin, S., D. Hasko, and H. Ahmed. Microelectron. Eng. 61-62 (2002): 745.153. Chen, W., and H. Ahmed. Appl. Phys. Lett. 62 (1993): 1499.154. Fujita, J., Y. Onishi, Y. Ochiai, and S. Matsui. Appl. Phys. Lett. 68 (1996): 1297.155. Robinson, A., R. Palmer, T. Tada, T. Kanayama, M. Allen, J. Preece, and K. Harris. J. Phys. Dev. Appl.

Phys. 32 (1999): L75.156. Dhaliwal, R., W. Enichen, S. Golladay, M. Gordon, R. Kendall, J. Lieberman, H. Pfeiffer, et al. IBM

J. Res. Dev. 45 (2001): 615.157. Chumanov, G., D. D. Evanoff Jr., I. Luzinov, V. Klep, B. Zdyrko, W. Conley, and P. Zimmerman.

“Nanocomposite Liquids for 193 nm Immersion Lithography: A Progress Report.” Proc. SPIE 5753(2003): 847.

q 2007 by Taylor & Francis Group, LLC

Page 58: Photoresist Materials and Processing.pdf