revisiting accelerator-rich cmps: challenges and solutions · 2015. 6. 18. · contributions 4 1....

14
Nasibeh Teimouri, Hamed Tabkhi and Gunar Schirner Embedded System Lab. (ESL) Department of Electrical and Computer Engineering Northeastern University, Boston (MA), USA Revisiting Accelerator-Rich CMPs: Challenges and Solutions

Upload: others

Post on 21-Jan-2021

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Nasibeh Teimouri, Hamed Tabkhi and Gunar Schirner

Embedded System Lab. (ESL)Department of Electrical and Computer Engineering

Northeastern University, Boston (MA), USA

Revisiting Accelerator-Rich CMPs:Challenges and Solutions

Page 2: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

ACC-based CMPs• Many ACCs coupled with processor core(s) on a single chip

− To deliver power / performance efficiency− ACC(s) for compute-intense kernels − Core(s) for remaining portion of programs + control / synchronization

2

• Architecture supports− Scratch Pad Memory (SPM) per ACC− Shared memory − Direct Memory Transfer (DMA)

− Multi-channel streaming communication fabric− E.g. NoC, AXI, ML-AHB

− Control bus− Interrupt lines

ACC 0 ACC 4Processor

ACC 1DMA

Control B us

Interrupt l ine Int C ont

SPMSPMSPMDMA

Streaming Communication Fabric

System I/O Shared Memory

Streaming Communication Fabric (Layer n)

ACC 2SPM

Page 3: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Scalability Limitation of ACC-Rich CMPs• Streaming applications suitable for

ACC-BASED CMPs– E.g. vision, multimedia and etc. – Captured in data flow models

3

• Ideal: Perfect pipeline– Producer/Consumer kernels working in

parallel based on availability of data

• Challenge: Serialization effect– Increasing # of ACCs and competition

over the shared resources

• Shared resources1- Processor for synchronization/control2- On-chip memory for local SPMs3- Communication fabric for data transfer

ACC 0 ACC 4Processor

ACC 1DMA

Control B usInterrupt l ine Int C ont

SPMSPMSPMDMA

Streaming Communication Fabric

System I/O Shared Memory

Streaming Communication Fabric (Layer n)

ACC 2SPM

P0 P2

P1

P4

P3

P6

P5

P8

P7

RPS

1

1

2

2 3 4 5

3 4 5

6

6 7 8

7

Time

AC

C 0

AC

C1

AC

C2

1 2 3 4 5 6 71

1

2

2 3 4 5

3 4 5

6

6 7 8

71 2 3 4 5 6 7

1

1

2

2 3 4 5

3 4 5

6

6 7 8

71 2 3 4 5 6 7

Pipe Duration

S1 S2 S3 S4 S5 S6

67

5

45

3

S7

23

1

S7 in reality

R: Receive P: Processing S: Send

RPS

RPS

Page 4: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Contributions

4

1. Holistic view and formulation of scalability limitations of ACC-based CMPs

• To bring insights about the source of inefficiency of ACC-based CMPs

• To open a path toward efficient architecture solutions

2. An architecture template to mitigate the resource bottlenecks

• To allow an efficient realization of streaming applications with ACCs

3. An experimental evaluation through automatically generated Virtual Platforms

(VPs)

• To validate the proposed analytical/formulated model of ACC-based CMP

• To demonstrate the benefits of proposed architecture template.

Page 5: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Related Work

• Few works hint to the scalability issues of ACC-based CMPs

– Studying only one resource bottleneck

• Memory [ISLPED_12,CAL_14]

– Run-time SPM optimization per ACC regarding run-time needs of ACCs

• Host Processor [DAC_14]

– Accelerator Block Composer~(ABC)

• Communication Fabric [CISP_09, DATE_13]

– Hierarchical interconnection to localize inter-ACC traffic

Lack of insights and holistic view of the limitations of ACC-based CMPs

5

Page 6: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Holistic View of Scalability Limitations (1)

• SPM contains data under processing – Impossible to allocate a large SPM per ACCs

• Due to limited on-chip memory budget

– Data split into smaller chunks (Job)– Job size depends on size of SPM

6

• Increasing #of ACCs– Linear increase of memory demand

– Assumption: fixed job size / SPM size – On-chip memory limitation

Need to reduce job size with increasing # of ACCs

ACC

SPM

ACC

SPM

Input Frame Output Frame

ACC

SPM

Output JobInput Job

Page 7: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Holistic View of Scalability Limitations (2)

7

• Increasing #of ACCs with fixed memory– Decreasing job size– Increasing # of jobs

• Increasing # of jobs– More synchronization load on host processor– Higher interrupt rate– Result in processor over-utilization

• Linear increase in communication volume

– To transfer ACC-to-ACC streaming data

ACC 0Processor

ACC 1

Control BusInterrupt line

Int C ont

SPMSPM

Streaming Communication FabricStreaming Communication FabricStreaming Communication Fabric (Layer n)

ACC nSPM

Input Jobs

• Increasing # of ACCs Excessive demand on the shared resources1- Memory 2- Host processor 3- Communication fabric

Page 8: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Mathematic Analysis of the Scalability Concerns

8

AssumptionsProcessor - 1 core /1GH (FreqProc)

- Light OS : 20000 cycles as ISR latency (~LatencyISR)

CommunicationFabric

- 4 and 8 parallel layers, each one 32-bit width with dedicated DMA

Memory - 1MB and 16MB

ACCs - Double-buffered SPMs- Computating 1 byte per cycle at 200MHz (FreqACC)

ISRocACCSynch

Freq

ports

Freq

layersJobTrafficACC

Freq

JobOperationACC

ArbSynch

TrafficACCOperationACCPipe

ACCACCPipe

PipeACCJobExec

LatencyFreqNumLatency

MemMem

BusBus

SizeLatency

ACCSizeLatency

LatencyLatency

LatencyLatencyMaxLatency

ionCommunicatnComputatioLatency

LatencyNumNumTime

Pr

_

_

__

*3*

)(*

)(

},{

)(

*)1(

• Extracting the mathematic analysis• Taking competition over the shared resources and serialization /arbitration effects in run-time

execution- #of Memory ports and memory controller frequency- Processor’s frequency- #of parallel access to communication fabric and BW

ACC0 ACC1

SPMSPMACCn-1

SPMACCn

SPM

• Benchmark: Chain of kernels in producer/consumer fashion, all on individual ACC

Page 9: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Analysis Results

9

• Increasing #of ACC with fixed size of memory1. Smaller size of job More Synch requests Higher processor utilization

2. Heavier volume of traffic to/from memory Busier communication fabric and memory

ACCs dependency on the shared resourcesBusier shared resources Less ACCs utilization

#of AHB layers

Mem Size (MB)

Scenario 1 4 1

Scenario 2 4 16

Scenario 3 8 1

Scenario 4 8 16

Page 10: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Solution for the Scalability Concerns

• The proposed Architecture template (TSS) 1. Point-to-point connections among ACCs

• MUX-based interconnect across ACCs -Direct data transfer -Reducing the traffic on the Communication fabric

2. Self-Synchronization per ACC• Gateway

-Splitting the data into smaller chunks-ACCs’ self synchronization/operation of all the chunks-Eliminating the synchronization request to the Processor

3. Possible smaller SPMs per ACC- Reducing the pressure on the Memory

10

Streaming Communication Fabric

System I/O Shared  memory

ACC 0 Processor

Interrupt lineControl Bus

Int cont

buff DMA

mux0

ACC 2buff

mux2

ACC 1buff

mux1

ACC 3buff

mux3

StarterGatewaySPM

TerminatorGatewaySPM

Streaming Communication FabricStreaming Communication Fa bric (Lay er n)

Streaming Communication Fabric( Layer n)

TSS

• Architecture demand toward reducing the load on the shared resources

3. The pressure on the Shared memory

1. Traffic over the Communication fabric 1. The synchronization load on the Processor

Page 11: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Experimental Platform

• VP setup– Cycle approximate model of ARM9– ML-AHB captured in cycle accurate model (BFM)

• Processing data– 60MB

• Application– Chain of producer/consumer kernels

– Real app: Object Tracking Vision Flow

11

Virtual Platform Settings

Processor -ARM9 /500MH -OS : UCOS II

Communication Fabric

-Multi-layer AMA-AHB (32-bit width) -Freq: 200MHz-Dedicated DMA per channel

Memory - 2 MB

ACCs -Double-buffered-Freq: 200MHz

• Automatically generated Virtual Platforms for both ACC-based CMP and TSS1. To validate the proposed analytical model and demonstrate the scalability

issues in real platform2. To show how the proposed TSS outperforms ACC-based CMP

Page 12: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

TSS vs. ACC-based CMP

• To validate the proposed analytical model

– Increasing #of ACCs

• Growing volume of traffic over the

communication fabric

• Increasing processor utilization

• Decreasing ACC utilization

12

• To compare TSS vs. ACC-based CMP

– Increasing #of ACCs

• Masking traffic to < 14%

• Reliving the load on the processor to < 2%

• Improving ACC utilization to > 90%

Page 13: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Summary • Systematic analysis of scalability issues in ACC-based CMP

– Holistic view of shared resources bottleneck emerging in ACC-based CMP with growing

#of ACCs

• ACC under-utilization no matter how much resources are exploited

– Mathematic formulation of the scalability issues

• An architecture template to relieve the bottlenecks on the shared resources

– Hiding ACCs computation/communication from the shared resources

• Experimental evaluation through automatically generated Virtual Platforms

(VPs) for both ACC-based CMP and TSS• Verifying the proposed mathematic formulation

• Demonstrating how the proposed template architecture overcome ACCs scalability

13

Page 14: Revisiting Accelerator-Rich CMPs: Challenges and Solutions · 2015. 6. 18. · Contributions 4 1. Holistic view and formulation of scalability limitations of ACC-based CMPs • To

Thank you…

14

Question Please!