solucion de los 5 primero puntos oscar daniel fajardo

11
Momento II Aporte individual Curso Sistemas Digitales Secuenciales. Código 90178 Por Oscar Daniel Fajardo Código: 1.065.632.645 No. Grupo: 14 Presentado a Carlos Emel Ruiz

Upload: ortiz-edgar

Post on 10-Feb-2016

214 views

Category:

Documents


2 download

DESCRIPTION

trabajo

TRANSCRIPT

Page 1: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

Momento II

Aporte individual

Curso Sistemas Digitales Secuenciales.

Código 90178

PorOscar Daniel Fajardo Código: 1.065.632.645

No. Grupo: 14

Presentado aCarlos Emel Ruiz

Universidad Nacional Abierta y a Distancia UNADCEAD Florencia

Escuela de Ciencias Básicas Tecnologías E Ingenierías 07 de Septiembre de 2014

Page 2: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

INTRODUCCIÓN

El presente trabajo muestra el diseño de un pequeño vehículo impulsado por dos motores DC, uno en cada rueda trasera. El carro contará con dos sensores en la parte frontal que servirán para detectar el impacto del carro con un obstáculo. En su diseño se usa una circuitería combinacional y circuitos secuenciales, se utiliza dispositivos electrónicos tales como flip-flop, temporizadores, etc. En el desarrollo del trabajo se muestran algunos aspectos importantes como el diagrama de bloques, descripción de la forma en que los flip-flop pueden resolver el problema planteado. La implementación del diseño será ilustrada mediante simulación en el software Proteus.

Con el presente informe se evidencia la asimilación de las temáticas vistas en Sistemas Digitales Secuenciales, a través del diseño y funcionamiento de un pequeño vehículo; utilizando como estrategia pedagógica el trabajo en equipo

Page 3: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

DESARROLLO DE LA ACTIVIDAD

Fase 1: Descripción del Problema.

Una vez estudiados los contenidos de la unidad I, usando una circuitería combinacional y circuitos secuenciales, se debe diseñar un pequeño vehículo impulsado por dos motores DC, uno en cada rueda trasera. El carro contará con dos sensores en la parte frontal que servirán para detectar el impacto del carro con un obstáculo. El diseño debe ser tal que el vehículo inicie su marcha normal hacia adelante; una vez éste impacte debe recordar que el choque activa uno de los sensores con el fin que el vehículo retroceda girando en un sentido diferente. No importa cuál de los dos sensores se active o haga el impacto siempre debe cambiar de giro, no importa que impacte dos veces con el mismo microswitche. Una vez que uno de los sensores haya detectado el impacto del carro, éste deberá retroceder por un tiempo de dos (2) segundos y reiniciar su marcha hacia adelante, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable.

Fase 2: Implementación.

Para realizar este procedimiento el estudiante debe haber leído los contenidos relacionados con la unidad I, además de indagar las referencias bibliográficas recomendadas para los temas de Flip – Flops y cerrojos.

1. El estudiante debe describir la necesidad o problema muy bien.

Usando circuitería combinacional y los circuitos secuenciales estudiados en la primera unidad, diseñar un pequeño vehículo, impulsado por dos motores DC, uno encada rueda trasera del vehículo. El carro contará con dos micro-swiches en su parte frontal que le servirán para detectar el impacto con un obstáculo.

El diseño debe ser tal, que el vehículo inicie su marcha hacia adelante. Una vez el vehículo impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido diferente luego de cada impacto. Una vez que uno de los sensores (micro-swiches) hayan detectado el impacto del vehículo,

Page 4: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

éste deberá retroceder con un tiempo de reversa de 2 segundos, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable, una vez transcurrido este período de tiempo, el vehículo deberá iniciar nuevamente su marcha hacia adelante.

2. Elaborar una lista de materiales.

Referencia Descripción

74L04 COMPUERTA NOT

7408 COMPUERTAS AND

L293D PUENTE H

74LS76 FLIP-FLOP TIPO JK

KIA7805AP REGULADOR

(2) MOTORES 12 Vdc

(2) SWITCH

100µF,150µF, 0µF CONDENSADORES

45.4KΩ POTENCIOMETRO

10KΩ RESISTENCIAS

74LS32 COMPUERTAS OR

Page 5: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

3. Elaborar un diagrama de bloques.

4. Definir las variables lógicas de entrada y salida del sistema.

De acuerdo a las señales capturadas por los sensores (micro switches), el pequeño vehículo tendrá la capacidad de moverse libremente hacia adelante o cambiar sus movimientos para evadir el obstáculo. Los sensores son los encargados de detectar los obstáculos.

Las señales de entrada a cargo de los sensores son las variables lógicas de entrada y la señal de salida se verá reflejada en el movimiento de los motores que a su vez generan el movimiento general del pequeño vehículo.

Las señales de entrada y de salida son continuas y tienen un carácter binario, es decir que el 1 lógico indica cinco voltios DC y 0 lógico indican cero voltios DC.

Los sensores o micro switches están conectados a “0 lógico” en su estado normal, es decir cuando no hay obstáculos y se mueve hacia adelante. Cuando alguno o los dos sensores detectan la presencia de un obstáculo, el sensor se conecta a “1lógico”

Page 6: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

La señal de salida se verá reflejada en el movimiento de los motores que a su vez generan el movimiento general del vehículo, de la siguiente manera:

Si hay detección del obstáculo:

1. El pequeño vehículo se detiene.

2. Encamina su movimiento hacia atrás por un tiempo de 2 segundos

3. Cambia su dirección hacia la derecha o hacia la izquierda.

4. Va hacia adelante nuevamente.

Si no hay detección del obstáculo, el vehículo continua su movimiento hacia adelante.

5. Realizar el programa en la herramienta de VHDL.

DIAGRAMA DE BLOQUES EN VHDL

Librería:Library ieee:Use ieee, std_logic_1164.all;

Entidad:Entity circuito_secuencial is

port ( clk: in std_logic; J0, K0: in std_logic; J1, K1: in std_logic; J2, K2: in std_logic; Q0, Neg Q0: outbuffer std_logic; Q1, Neg Q1: outbuffer std_logic; Q2, Neg Q2: outbuffer std_logic; End circuito_secuencial;

Arquitectura: Desarrollado en un estilo de comportamiento o tambien llamado behavioral, que define la funcionalidad del dispositivo mediante un algoritmo ejecutado secuencialmente, de forma muy parecida a como lo hace cualquier programa escrito en un lenguaje de programacion comun.

Architecture circ_seq of circuito_secuencial is begin

Page 7: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

Q0, Neg Q0: std_logic; J0, K0: std_logic_vector (1 downto 0); Q1, Neg Q1: std_logic; J1, K1: std_logic_vector (1 downto 0); Q2, Neg Q2: std_logic; J2, K2: std_logic_vector (1 downto 0);

begin

input0<=J0, K0;

input1<=J1, K1;

input2<=J2, K2;

p: process( clk) isbegin if rising_edge (clk) then case (input0) is when “11” => Q0, <=Neg Q0;

when “10” => Q0, <= ‘1’; when “01” => Q0, <= ‘0’; when others => nult: end case;

case (input1) is when “11” => Q1, <= Neg Q1; when “10” => Q1, <= ‘1’; when “01” => Q1, <= ‘0’; when others => null; end case;

case (input2) is when “11” => Q2, <= Neg Q2; when “10” => Q2, <= ‘1’; when “01” => Q2, <= ‘0’; when others => null; end case;

Page 8: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

end if; end process; End circ_seq

I. La etapa de señalización, conformada por el decodificador y el display de siete segmentos.

Librería:

library ieee;use ieee.std_logic_1164 all;

Entidad:

entity display_7segmentos isport ( Q2 downt0 Q0: in bit vector (2 downto 0) Salida: out bit_vector (6 downto 0) ); end display_7segmentos;

Arquitectura: Desarrollada en el mismo estilo comportamental.

architecture disp_7segment of dispaly_7segmentos is

begin

p: process ( Q2 downt0 Q0:)

begin

caso Q2 downt0 Q0: iswhen “000” => salida <= “0000000”when “101” => salida <= “0110000”when “011” => salida <= “1111001”when “101” => salida <= “1011011”when “111” => salida <= “1110000”when “010” => salida <= “1101100”when “100” => salida <= “0110011”

when “110” => salida <= “0011111”end case;end process;end disp_7segment;

Page 9: Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo

REFERENCIAS BIBLIOGRAFICAS

Syllabus del curso Sistemas Digitales Secuenciales.

Guia integradora curso Sistemas Digitales Secuenciales.