timing variations

Upload: mohsen-shaaban

Post on 07-Apr-2018

223 views

Category:

Documents


0 download

TRANSCRIPT

  • 8/3/2019 Timing Variations

    1/31

    2/13/2003 Liang-Teck Pang 1

    Death, Taxes and Failing ChipsChandu Visweswariah

    IBM Thomas J. Watson Research Center, Yorktown Heights, NYDAC 2003

    Courtesy C. Visweswariah

  • 8/3/2019 Timing Variations

    2/31

    2/13/2003 Liang-Teck Pang 2

    Outline Why do we need Statistical Design?

    What is a Statistical Timer?

    Methodologies Wish-list of a statistical timer

    Synthesis

    Modeling and characterization

    Conclusion

  • 8/3/2019 Timing Variations

    3/31

    2/13/2003 Liang-Teck Pang 3

    Breakdown of Static Timer Increase in significance and independence of the

    sources of variation.

    Critical dimensions scaling faster than our control of them,eg L/L increasing

    Within-chip variations are significant, eg Across-the-ChipLinewidth Variation (ACLV), temperature and voltagegradients across chip

    Case analysis overly pessimistic & number of sign-offtiming runs too large

    Pessimistic and Risky!

  • 8/3/2019 Timing Variations

    4/31

    2/13/2003 Liang-Teck Pang 4

    Improvement with Statistics Reduce inaccuracies in modeling

    eg same gate used in a data path and the correspondingclock path that latches it, inaccuracy in modeling cancels out

    Treat coupling noise and other noise in probabilisticmanner instead of assuming worst case scenario

    Reduction in number of sign-off timing runs

  • 8/3/2019 Timing Variations

    5/31

    2/13/2003 Liang-Teck Pang 5

    Statistical Timer

  • 8/3/2019 Timing Variations

    6/31

    2/13/2003 Liang-Teck Pang 6

    Approaches and Methods Block Based vs Path Based

    Performance-space methods vs Parameter-space methods

    4-Pronged Effort

    Modeling Analysis

    Methodology

    Synthesis

  • 8/3/2019 Timing Variations

    7/312/13/2003 Liang-Teck Pang 7

    Performance-space vs Parameter-space

    Circuit performance constraints

    JPDF of underlyingsources of variation

  • 8/3/2019 Timing Variations

    8/312/13/2003 Liang-Teck Pang 8

    Methodology ASIC vs Microprocessor

    Courtesy C. Visweswariah

    Risk management

    with PSROs(Performance-SensitiveRing Oscillators) andappropriate sign-offcriteria

    Environmental vs.manufacturing variations

  • 8/3/2019 Timing Variations

    9/312/13/2003 Liang-Teck Pang 9

    Wish List of a Statistical Timer Correlations

    Bounded vs statistical analysis

    Slew and load dependance Within-die variation

    Accuracy

    Flexibility

  • 8/3/2019 Timing Variations

    10/312/13/2003 Liang-Teck Pang 10

    Correlation Importance of correlations

    consider a circuit with 50K latches, each with a

    setup and hold test, each of which has a 99.99%probability of being met

    if all tests are perfectly correlated, yield=99.99%

    if all tests are perfectly independent, yield is

    0.005% the truth is closer to the perfectly correlated case!

  • 8/3/2019 Timing Variations

    11/312/13/2003 Liang-Teck Pang 11

    Examples of Correlations Reconvergent Fanout (path sharing)

    Clock correlation (commonality between data and clock path)

    Dependence on global parameters (chip-to-chip, wafer-to-wafer,

    lot-to-lot) Dependence on proximity (temperature, voltage, ACLV, within-

    die)

    Courtesy C. Visweswariah

  • 8/3/2019 Timing Variations

    12/312/13/2003 Liang-Teck Pang 12

    Bounded vs Statistical Analysis Treat each source of variation in either a bounded or

    statistical manner

    Certain variables should be bounded (environmental

    parameters)

    Random variables with small variation should be bounded toreduce number of random variables

    Reduce computation complexity and allows fast initialanalysis

  • 8/3/2019 Timing Variations

    13/312/13/2003 Liang-Teck Pang 13

    Slew and Load Dependence Delay depends on input slew and output load

    Both vary with process and environment

    Courtesy C. Visweswariah

  • 8/3/2019 Timing Variations

    14/31

    2/13/2003 Liang-Teck Pang 14

    Flexibility Flexibility

    fit well with rest of existing methodology

    reduce number of timing runs required

    provide diagnostics

    Courtesy C. Visweswariah

  • 8/3/2019 Timing Variations

    15/31

    2/13/2003 Liang-Teck Pang 15

    Other AttributesAbility to handle within-die variation

    Accurate prediction of the tail of theslack distribution

    Avoid pessimism

    Capture correlations

  • 8/3/2019 Timing Variations

    16/31

    2/13/2003 Liang-Teck Pang 16

    Statistical Synthesis Use statistical timer to determine impact of changes

    Maximise sharing between launching and capturingpaths to minimize effects of variability

    Error correction and dynamic body bias control

    Use regular layouts when performance loss isacceptable

    Tradeoff between catastrophic yield improvementand parametric yield improvement

  • 8/3/2019 Timing Variations

    17/31

    2/13/2003 Liang-Teck Pang 17

    Modeling and Characterization Statistical model of transistors, gates and wires are

    required

    Challenging and difficult task!

  • 8/3/2019 Timing Variations

    18/31

    2/13/2003 Liang-Teck Pang 18

    Conclusion Outlines importance of statistical timer

    Describes the desired attributes of a

    statistical timer

  • 8/3/2019 Timing Variations

    19/31

    2/13/2003 Liang-Teck Pang 19

    Paper Review II

    Statistical Delay Computation ConsideringSpatial Correlation Aseem Agarwal et al,

    ASP-DAC 2003

  • 8/3/2019 Timing Variations

    20/31

    2/13/2003 Liang-Teck Pang 20

    Outline Path-Based Statistical Timing Analysis Model

    Inter and intra-die analysis method

    Model and analysis of spatial correlations Experimental results

    Conclusion

  • 8/3/2019 Timing Variations

    21/31

    2/13/2003 Liang-Teck Pang 21

    Path-Based Statistical Timing Analysis Full-chip analysisreconvergence problem

    Path-basedspatial correlation

    Goal:

    perform statistical analysis on top-k critical paths of a design include all paths that have possibility of being critical

    formulation of gate length, but extensible to other variations

    accurate analysis

    Consider in the analysis:

    inter- and intra-die variation

    spatial correlation of intra-die gate length variations

    slope propagation

    capacitance variation

  • 8/3/2019 Timing Variations

    22/31

    2/13/2003 Liang-Teck Pang 22

    Gate Length Model Considers variation due to inter- and intra-die variations

    extend later to spatial correlations

    Simplifying assumption

    Inter-die analysis ( )

    all gate delays share a single random variable computed through enumeration ofL

    interdistribution

    Intra-die analysis ( )

    multiple independent random variables with identical distribution

  • 8/3/2019 Timing Variations

    23/31

    2/13/2003 Liang-Teck Pang 23

    Use linear approximation to model functional dependence ofdelay, slope and capacitance on the dependent parameters

    Collect gate delay coefficients w.r.t each intra-die device length

    Given mean and sigma for the normal distribution of

    Lintra,i

    Delay Computation Using Sensitivities

  • 8/3/2019 Timing Variations

    24/31

    2/13/2003 Liang-Teck Pang 24

    Spatial Correlation Model

    Courtesy A. Agarwal

  • 8/3/2019 Timing Variations

    25/31

    2/13/2003 Liang-Teck Pang 25

    Spatial Correlation Example The path delay due to spatially correlated device length

    variation

    Delay variation for these gates can be expressed as

    Compute analytical solution for the delay distribution

  • 8/3/2019 Timing Variations

    26/31

    2/13/2003 Liang-Teck Pang 26

    Experimental Results

    comparison with monte carlo for combined inter- and intra-die variability

  • 8/3/2019 Timing Variations

    27/31

    2/13/2003 Liang-Teck Pang 27

    Experimental ResultsComparison of

    traditional approach lumping intra-die variation with inter-die variation

    proposed approach modeling separate inter- and intra-die gate length variation

  • 8/3/2019 Timing Variations

    28/31

    2/13/2003 Liang-Teck Pang 28

    Experimental Results

    Results w/ and w/o intra-die variation

  • 8/3/2019 Timing Variations

    29/31

    2/13/2003 Liang-Teck Pang 29

    Experimental Results

    Results w/ and w/o intra-die variation

  • 8/3/2019 Timing Variations

    30/31

    2/13/2003 Liang-Teck Pang 30

    Conclusion Path-based analysis

    A method for computing delay distribution of

    critical paths

    Combining inter- and intra-die variations

    Model for spatial correlations

    Combined analysis using the above model

  • 8/3/2019 Timing Variations

    31/31

    2/13/2003 Li T k P 31

    END