ultra wideband impulse radio for wireless sensing - diva portal

88
Ultra Wideband Impulse Radio for Wireless Sensing and Identification Majid Baghaei Nejad Doctoral Thesis in Electronic and Computer Systems KTH – Royal Institute of Technology Stockholm, Sweden, December 2008

Upload: others

Post on 10-Feb-2022

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

Ultra Wideband Impulse Radio for

Wireless Sensing and Identification

Majid Baghaei Nejad

Doctoral Thesis

in

Electronic and Computer Systems KTH – Royal Institute of Technology Stockholm, Sweden, December 2008

Page 2: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

ii

Baghaei Nejad, Majid Ultra Wideband Impulse Radio for Wireless Sensing and Identification

Doctoral Thesis in Electronic and Computer Systems

TRITA-ICT/ECS AVH 08:09 ISSN 1653-6363 ISRN KTH/ICT/ECS AVH-08/09--SE ISBN 978-91-7415-153-4 Royal Institute of Technology School of Information and Communication Technology Department of Electronics, Computer and Software System Forum 120 SE-164 40 Kista Sweden Copyright © Majid Baghaei Nejad, December 2008

Page 3: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

In The Name of God

I would like to dedicate this thesis to my family

Page 4: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

iv

Page 5: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

v

Abstract Ubiquitous computing and Internet-of-Things (IoT) implies an untapped opportunity in

the realm of information and communication technology, in which a large number of micro-devices with communication and/or computing capabilities, provides connectivity for anything, by anyone at anytime and anywhere. Especially, these devices can be equipped with sensors and actuators that interact with our living environment. Barcode, smart contactless card, Radio Frequency Identification (RFID) systems, wireless sensor network (WSN), and smart mobile phones are some examples which can be utilized in ubiquitous computing.

RFIDs and WSN have been recognized as the two promising enablers for realization of ubiquitous computing. They have some great features such as low-cost and small- size implementation, non-line of sight operation, sensing possibilities, data storing ability, and positioning. However, there are several challenges which need to be addressed, such as limited life time for battery powered device, maintenance cost, longer operation range, higher data rate, and operation in dense multipath and multiuser environment.

Ultra-Wideband Impulse Radio (UWB-IR) with its huge advantages has been recognized as a great solution for future WSN and RFID. UWB-IR technique has the possibility of achieving Gb/s data rate, hundreds of meter operation range, pJ energy per bit, centimeter accuracy of positioning, and low cost implementation. In this work utilization of UWB-IR in WSN and RFID is investigated.

A wireless sensor network based on UWB-IR is proposed focusing on low-cost and low-power implementation. Our contribution is to imply two different architectures in base station and sensor nodes to satisfy power, complexity and cost constraints. For sensor nodes, an autonomous UWB-IR detection is proposed, which detects the UWB signal autonomously and no restrict synchronization is required. It reduces the circuit complexity significantly. The performance in term of bit-error-rate is compared with two other common detection techniques. It is shown that the new detection is more robustness to timing jitter and clock skew, which consequently reduces the clock and synchronization requirements considerably.

A novel wireless sensing and identification system, based on remote-powered tag with asymmetric wireless link, is proposed. Our innovative contribution is to deploy two different UWB and UHF communication techniques in uplink and downlink respectively. In the proposed system, tags capture the required power supply from different environmental sources (e.g. electromagnetic wave transmitted by a reader) and transmit data through an ultra-low power impulse UWB link. A new communication protocol is devised based on slotted-aloha anti-collision algorithm. By introducing several improvements including of pipelined communication, adaptive frame size, and skipping idle slots, the system throughput of more than 2000 tags/s is achieved. To prove the system concept a single chip integrated tag is implemented in UMC 0.18µm CMOS process. The measurement results show the minimum sensitivity of -18.5 dB (14.1 µW) and adaptive data rate up to 10 Mb/s. It corresponds to 13.9 meters operation

Page 6: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

vi

range, considering 4W EIRP, a matched antenna to the tag with 0dB gain, and free space path loss. This is a great improvement in operation range and data rate, compared with conventional passive RFID, which data rate is limited to a few hundreds of Kb/s.

System integration in a Liquid-Crystal-polymer (LCP) substrate is investigated. The integration of a tunable UWB-IR transmitter and a power scavenging unit are studied. Our contribution includes embedding and modeling the RF components and antenna in substrate and co-optimizing the chip and package with on-chip versus off-chip passives trade-offs. Simulation results verify the potential of system-on-package solution for UWB integration.

The effect of antenna miniaturization in a UWB system is studied. Our focus is to scale down a UWB antenna and optimize the performance through the chip-antenna co-design. A tunable impulse-UWB transmitter is designed in two cases - a conventional 50Ω design and a co-design methodology. The simulation results show that the standard 50Ω design technique can not reach the best condition in all cases, when a real antenna is placed into the system. The performance can be improved significantly when doing co-design. The antennas and UWB transmitter performances are evaluated in a given UWB systems. It is shown that the operation distance at a target performance is reduced with antenna scaling factor and it can be compensated by antenna-transceiver co-design. The result proves the importance of antenna-transceiver co-design, which needs to be addressed in the earliest phases of the design flow.

Keywords: Ubiquitous computing, Impulse Radio, Ultra wideband, RFID, Wireless sensor, WSN, Antenna, System-on-Package.

Page 7: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

vii

Acknowledgments The highest praise is God’s for supporting me during this and all other steps of my life.

This thesis which is a result of four years study and work at ECS-KTH is achieved not only by my own but also with the help and support of my family, colleagues, and friends whom I would like to acknowledge them here.

First of all, I would like to thank my supervisor, Prof. Li-Rong Zheng, for all his support during these years. He is such a respectful, helpful, knowledgeable, and encouraging person whom I am very happy to be his student. I also would like to appreciate Prof. Hannu Tenhunen, my second advisor, for welcoming me to KTH.

I acknowledge valuable discussion with all of my friends and colleagues at KTH. Dr. Xinzhong Duo, Dr. Meigen Shen who helped me in the beginning to get familiar with tools and lab. I appreciate my dear friends and colleagues, David S. Mendoza, and Zhuo Zou, for their excellent work and collaboration in this work. All RaMSiS members, especially, Jad Atallah, Martin Gustafsson, and Saúl Rodríguez Dueñas, for their valuable help and discussion. I would like to thank all iPack members, especially Roshan Weerasekera, Dr. Fredrik Jonsson, Dr. Julius Hållstedt, and Dr. Qiang Chen.

I would like to thank my dear friend and colleague, Soheil Radiom, from ESAT-Katholieke Universiteit Leuven for his close and excellent collaboration in the antenna miniaturization work. Also, I would like to appreciate Prof. Georges Gielen from the same department for his excellent guidance and comments during our corporation.

I would like to thank all former and current administrative staff at ECS, Lena Beronius, Agneta Herling, Gunnar Johansson, Hans Larsson, and Alina Munteanu, for their excellent administrative work. Thanks IT service group to keep servers and computers alive.

My especial thank to the Ministry of Science, Research and Technology of Iran (MSRTI), and Tarbiat Moallem University of Sabzevar, Iran, for awarding me a scholarship and an opportunity to pursue my education towards PhD. I also appreciate the financial support provided by iPack center for this research work.

Many special thanks go to my dear friend Bahman Korojy and his family for their friendship. I also thank all of my Iranian friends and their families for their help and many wonderful moments we shared together in Sweden.

Also, especial appreciation goes to my dear friend Jian Liu who helped me a lot during my stay in Sweden.

I wish to express my deep appreciation to my father and mother and also my father-in-law and mother-in-law for your infinite patience and love. Especially, I deeply appreciate my father for his irreplaceable support during all steps of my life. Thank you very much for being such a supportive father.

I also appreciate my brother and my sisters and also my brother-in-law and sisters-in-law for their help and supports.

Page 8: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

viii

And finally, but most importantly, I would like to give my deepest gratitude to Mitra, my dear wife, for your infinite help and supports and also my beautiful daughters, Negar and Neda. All of my achievements have an invisible part of your contribution.

For all those who helped me and I may have forgotten to mention, please forgive me and I thank you in this sentence.

Majid Baghaei Nejad, 2008

Page 9: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

ix

Contents Abstract................................................................................................................................ v Acknowledgments .............................................................................................................vii List of Publications.............................................................................................................xi

Papers included in this thesis..........................................................................................xi Related publications not included..................................................................................xii

Summary of the included papers ......................................................................................xiii 1 Introduction ..................................................................................................................... 1

1.1 Project Motivation ................................................................................................... 1 1.2 Introduction to Ultra Wideband Radio .................................................................... 3 1.3 Introduction to Radio Frequency Identification ...................................................... 6 1.4 Author’s Contribution.............................................................................................. 8 1.5 Outline of the Thesis................................................................................................ 9

2 Impulse Radio in Wireless Sensor Network.................................................................. 11 2.1 Introduction ........................................................................................................... 12 2.2 System Specifications............................................................................................ 13 2.3 Performance Estimation ........................................................................................ 15 2.4 Conclusions ........................................................................................................... 18

3 Remote-Powered UWB-RFID....................................................................................... 19 3.1 Introduction ........................................................................................................... 20 3.2 System Description................................................................................................ 20 3.3 Asymmetric UWB-RFID Architecture.................................................................. 22 3.4 Proposed Communication Protocol ....................................................................... 24 3.5 Implementation...................................................................................................... 26

3.5.1 Impulse UWB Transmitter ............................................................................ 26 3.5.2 Power Management Unit ............................................................................... 27 3.5.3 RF Demodulator ............................................................................................ 31 3.5.4 Clock Generator............................................................................................. 31 3.5.5 Logic Control................................................................................................. 32

3.6 Measurement Results and Discussion ................................................................... 33 3.7 Conclusion ............................................................................................................. 37

4 System Integration......................................................................................................... 39 4.1 Introduction ........................................................................................................... 40 4.2 LCP-based SoP Technology.................................................................................. 40 4.3 Case study 1: Power Scavenging Unit................................................................... 41 4.4 Case study 2: Impulse UWB Transmitter.............................................................. 42

4.4.1 UWB Antenna ............................................................................................... 42 4.4.2 SoC Integration.............................................................................................. 42 4.4.3 SoP Integration .............................................................................................. 43 4.4.4 Results and Discussion .................................................................................. 44

4.5 Conclusion ............................................................................................................. 46 5 System Miniaturization.................................................................................................. 47

5.1 Introduction ........................................................................................................... 48 5.2 PTMA UWB Antenna ........................................................................................... 48 5.3 Impulse UWB Transmitter-Antenna Co-Design ................................................... 50

Page 10: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

x

5.3.1 Results and Discussion.................................................................................. 52 5.4 Antenna Effects on UWB System Performance ................................................... 55

5.4.1 Correlation Detection .................................................................................... 56 5.4.2 Energy Detection........................................................................................... 58 5.4.3 Results and Discussion.................................................................................. 59

5.5 Conclusions ........................................................................................................... 62 6 Conclusion and Future Work ........................................................................................ 63

6.1 Conclusion............................................................................................................. 63 6.2 Recommendation for Future Work ....................................................................... 64 References ......................................................................................................................... 67

Page 11: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

List of Publications xi

List of Publications Papers included in this thesis

1. Majid Baghaei Nejad and L.-R. Zheng, "An Innovative Receiver Architecture For Autonomous Detection Of Ultra-Wideband Signals," in Proceedings of 2006 IEEE International Symposium on Circuits and Systems, ISCAS 2006, pp. 2589-2591

2. Majid Baghaei Nejad, M. Shen, T. Koivisto, T. Peltonen, E. Tjukanoff, H. Tenhunen, and L.-R. Zheng, "UWB Radio Module Design for Wireless Sensor Networks" Analog Integrated Circuits and Signal Processing, vol. 50, pp. 47-57, 2007.

3. Majid Baghaei Nejad, Z. Zou, H. Tenhunen, and L.-R. Zheng, "A Novel Passive Tag with Asymmetric Wireless Link for RFID and WSN Applications," in 2007IEEE International Symposium on Circuits and Systems, ISCAS 2007, pp. 1593-1596.

4. Z. Zou, Majid Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "An Efficient Passive RFID System for Ubiquitous Identification and Sensing Using Impulse UWB Radio", Elektrotechnik and Informationstechnik Journal, Special issue by Springer Wien, vol. 124, pp. 397-403, Dec. 2007.

5. Majid Baghaei Nejad, Z. Zou, D. S. Mendoza, H. Tenhunen, and L.-R. Zheng, "Enabling Ubiquitous Wireless Sensing by a Novel RFID-Based UWB Module," in First International EURASIP Workshop on RFID Technology, Vienna, Ausria, 2007.

6. Majid Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Chip-Package and Antenna Co-Design of a Tunable UWB Transmitter in System-on-Package with On-Chip versus Off-Chip Passives," in Electronics System integration Technology Conference, 2006. 1st, 2006, pp. 291-298.

7. Majid Baghaei Nejad, Soheil Radiom, Guy A. E. Vandenbosch, L.-R. Zheng, Georges Gielen, “Impulse UWB Antenna Size Reduction Due to Transmitter-Antenna Co-Design”, in 2008 IEEE International Conference on Ultra Wideband, ICUWB 2008, Hanover, Germany, 2008, vol. 2, pp. 37-40

8. Majid Baghaei Nejad, Soheil Radiom, Guy A. E. Vandenbosch, L.-R. Zheng, Georges Gielen, “Miniaturization of UWB Antennas and its Influence on UWB-Transceiver Performance”, Submitted to IEEE Transaction on Microwave Theory and Techniques.

9. Majid Baghaei Nejad, D. S. Mendoza, Z. Zou, Soheil Radiom, Georges Gielen, L.-R. Zheng and H. Tenhunen, , “A Remote-Powered RFID Tag with 10Mb/s UWB Uplink and -18.5dBm-Sensitivity UHF Downlink in 0.18µm CMOS”, Accepted to IEEE International Solid-State Circuits Conference, 2009

Page 12: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

xii List of Publications

Related publications not included 10. M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Power Management and Clock

Generator for a Novel Passive UWB Tag," in System-on-Chip, 2007 International Symposium on, Tampere, Finland, 2007, pp. 82-85.

11. Z. Zou, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Baseband Design for Passive Semi-UWB Wireless Sensor and Identification Systems," in IEEE International SoC Conference SoCC 07, 2007, pp. 313-316

12. Soheil Radiom, M. Baghaei Nejad, Guy A. E. Vandenbosch, Li-Rong Zheng, Georges Gielen, “Antenna Miniaturization Influence on the Performance of Impulse Radio UWB system”, in European Microwave Conference 2008, Amsterdam, 28-30 October 2008

13. S. M. David, M. Baghaei-Nejad, H. Tenhunen, and L.-R. Zheng, "Low Power Tunable CMOS I-UWB Transmitter Design," in IEEE 2007 Norchip, 19-20 November 2007, Aalborg, Denmark, 2007, pp. 1-4

14. Y. Niu, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Design of a Digital Baseband Processor for UWB Transceiver on RFID Tag," in 21st International Conference on Advanced Information Networking and Applications Workshops, 2007, AINAW '07., 2007, pp. 358-361.

15. Z. Zou, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "An Efficient Passive RFID System for Ubiquitous Identification and Sensing Using Impulse UWB Radio," in First International EURASIP Workshop on RFID Technology, Vienna, Austria, 2007, pages: 4 pp

16. M. Baghaei Nejad, C. Chen, H. Tenhunen, and L.-R. Zheng, "An Innovative Semi-UWB Passive Transponder for Wireless Sensor and RFID Applications," in First International Conference on Industrial and Information Systems, 2006, pp. 310-315.

17. Chen, M. Baghaei Nejad, and L.-R. Zheng, "Design and Implementation of a High Efficient Power Converter for Self-Powered UHF RFID Applications," in First International Conference on Industrial and Information Systems, 2006, pp. 393-395.

18. L.-R. Zheng, M. Baghaei Nejad, S. Rodriguez, Z. Lu, C. Cairong, and H. Tenhunen, "System-on-Flexible-Substrates: Electronics for Future Smart-Intelligent World," in High Density Microsystem Design and Packaging and Component Failure Analysis, 2006. HDP'06. Conference on, 2006, pp. 29-36.

19. L.-R. Zheng, D. Xinzhong, M. Baghaei Nejad, R. Saul, M. Ismail, and T. Hannu, "On-Chip versus Off-Chip Passives in Radio and Mixed-Signal System-on-Package Design," in Electronics System integration Technology Conference, 2006. 1st, 2006, pp. 221-232.

20. (invited paper) L.-R. Zheng, M. Baghaei Nejad, Z. Zou, David S. Mendoza, Zhi Zhang, and Hannu Tenhunen, “ Future RFID and Wireless Sensors for Ubiquitous Intelligence, IEEE Norchip Conference, 2008

Page 13: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

Summary of the included papers xiii

Summary of the included papers Paper 1. M. Baghaei Nejad and L.-R. Zheng, "An Innovative Receiver Architecture For

Autonomous Detection Of Ultra-Wideband Signals," in Proceedings of 2006 IEEE International Symposium on Circuits and Systems, ISCAS 2006, pp. 2589-2591 In this paper, a non-coherent receiver architecture is proposed for autonomous

detection of ultra wideband signals. The new receiver will self-generate a synchronous template and hence, neither local template nor transmitter-reference synchronizer is required. We validate its performance via simulations compared with coherent receivers and conventional transmitted-reference receivers. The new architecture is found much more robust to timing noise and skew, which greatly facilitates the synchronize problem in UWB receiver. Contribution to the paper: The author is responsible for all related work to this publication including of proposing the autonomous architecture, performance analysis, and writing the manuscript.

Paper 2. M. Baghaei Nejad, M. Shen, T. Koivisto, T. Peltonen, E. Tjukanoff, H. Tenhunen, and L.-R. Zheng, "UWB Radio Module Design for Wireless Sensor Networks" Analog Integrated Circuits and Signal Processing, vol. 50, pp. 47-57, 2007.

An impulse-based ultra wideband (UWB) radio system for wireless sensor network (WSN) applications is presented. Different architectures are studied for base station and sensor nodes. The base station uses coherent UWB architecture which offers high performance and good sensitivity. However, to meet complexity, power and cost constraints, the sensor node uses a non-coherent architecture that can autonomously detect the UWB signals without any restrict synchronization requirement. Contribution to the paper: The author is responsible for all related work to this publication except circuit implementation. Contribution includes propose the system architectures, performance and results analysis, and writing the manuscript.

Paper 3. M. Baghaei Nejad, Z. Zou, H. Tenhunen, and L.-R. Zheng, "A Novel Passive Tag with Asymmetric Wireless Link for RFID and WSN Applications," in 2007IEEE International Symposium on Circuits and Systems, ISCAS 2007, pp. 1593-1596.

In this paper, we present a radio-powered module with asymmetric wireless links for RFID and wireless sensor applications. Our contribution includes using two different UWB and UHF communication link in uplink and downlink respectively. An embedded power scavenging in Liquid-Crystal Polymer (LCP) substrate captures the required power supply from the incoming RF signal.

Page 14: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

xiv Summary of the included papers

However, in uplink, an UWB-IR transmitter is utilized. The module is designed in a system-on-package solution and consists of a power scavenging unit, a RF demodulator, an UWB-IR transmitter, a digital controller, and an embedded UWB antenna. Contribution to the paper: Propose the solution for UWB-RFID, designing and modeling the embedded RF and passive component in substrate, circuit design and simulation, writing the manuscript.

Paper 4. Z. Zou, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "An Efficient Passive RFID System for Ubiquitous Identification and Sensing Using Impulse UWB Radio", Elektrotechnik and Informationstechnik Journal, Special issue by Springer Wien, vol. 124, pp. 397-403, Dec. 2007.

This paper describes an efficient passive RFID system using impulse ultra-wideband radio (UWB-IR) in uplink. By utilizing a specialized communication protocol and a novel ALOHA-based anti-collision algorithm, such systems enable a high network throughput (2000 tag/sec) under the low power and low cost constraint. Contribution to the paper: propose the UWB-RFID concept, define the system specification and building blocks, define communication protocol, circuit design and simulation analysis, and writing one section of the manuscript.

Paper 5. M. Baghaei Nejad, Z. Zou, D. S. Mendoza, H. Tenhunen, and L.-R. Zheng, "Enabling Ubiquitous Wireless Sensing by a Novel RFID-Based UWB Module," in First International EURASIP Workshop on RFID Technology, Vienna, Austria, 2007.

In this paper, an integrated CMOS module for UWB-RFID is presented. An on-chip power scavenging drives the power supply from the incoming RF signal and an on-chip UWB transmitter is used to respond to the reader. The communication protocol is proposed. The module consists of a power management unit, an RF demodulator, a clock management unit, an UWB-IR transmitter, and a digital baseband is designed in 0.18µm CMOS process. Contribution to the paper: The author is responsible for all related work except digital implementation.

Paper 6. M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Chip-Package and Antenna Co-Design of a Tunable UWB Transmitter in System-on-Package with On-Chip versus Off-Chip Passives," in Electronics System integration Technology Conference, 2006. 1st, 2006, pp. 291-298.

In this paper we investigate the system integration in a Liquid-Crystal Polymer (LCP) based System on Package (SoP). Chip-package-antenna co-design is performed in the presence of unwanted packaging parasitic effects. Our contribution includes embedding and modeling of the RF passives and antenna and co-optimizing the chip and package with on-chip versus off-chip passives trade-offs. A tunable UWB-IR transmitter, a power converter are studied. The

Page 15: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

Summary of the included papers xv

results show the potential of SoP for UWB packaging. It also verifies the ability of the tunable transmitter to compensate the parasitic effects of packaging and antenna. Contribution to the paper: the author is responsible for all related work including of designing and modeling of RF passive components in substrate, chip-package-antenna co-design, on-chip and off-chip analysis and trade-off, writing the manuscript.

Paper 7. M. Baghaei Nejad, Soheil Radiom, Guy A. E. Vandenbosch, L.-R. Zheng, Georges Gielen, “Impulse UWB Antenna Size Reduction Due to Transmitter-Antenna Co-Design”, in 2008 IEEE International Conference on Ultra Wideband, ICUWB 2008, Hanover, Germany, 2008, vol.2, pp. 37-40

In this paper, the benefit of a co-design between a Printed Tapered Monopole Antenna (PTMA) and an UWB-IR transmitter is investigated. A comparison is given between a 50Ω design and a co-designed version. The simulation results show that with the co-design method the tunable UWB transmitter can reach the bandwidth regulation for a much smaller antenna. Contribution to the paper: Chip-antenna co-design, define simulation setup and result analysis, writing 3 sections of the manuscript.

Paper 8. M. Baghaei Nejad, Soheil Radiom, Guy A. E. Vandenbosch, L.-R. Zheng, Georges Gielen, “Miniaturization of UWB Antennas and its Influence on UWB-Transceiver Performance”, Submitted to IEEE Transaction on Microwave Theory and Techniques.

In this paper the effect of antenna miniaturization in an impulse UWB system/transceiver is presented. A PTMA antenna is designed in different scaling sizes. In order to evaluate the performance and functionality of these antennas, the effect of each antenna is studied in a given impulse UWB system. It includes an impulse UWB transmitter and two kinds of UWB receivers, one based on Correlation Detection and one on Energy Detection. A tunable low-power Impulse UWB transmitter is designed and the benefit of co-designing it with the PTMA antenna is investigated for the 3.1-10.6 GHz band. A comparison is given between a 50Ω design and a co-designed version. Our antenna/transceiver co-design methodology shows improvement in both transmitter efficiency and whole system performance. The simulation results show that the PTMA antenna and its miniaturized geometries are suitable for UWB applications. Contribution to the paper: Transmitter-antenna co-design, transceiver-antenna co-analysis, simulation and results analysis, writing three sections of the manuscript.

Page 16: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

xvi Summary of the included papers

Paper 9. M. Baghaei Nejad, D. S. Mendoza, Z. Zou, Soheil Radiom, Georges Gielen, L.-R. Zheng and H. Tenhunen, , “A Remote-Powered RFID Tag with 10Mb/s UWB Uplink and -18.5dBm-Sensitivity UHF Downlink in 0.18µm CMOS”, accepted to IEEE International Solid-State Circuits Conference, 2009

A remote-powered UWB RFID tag in 0.18μm CMOS is presented. The innovation is to employ asymmetric communication links, i.e. UWB uplink and UHF downlink in order to achieve extremely low power, high data rate and accurate positioning. Measurement shows the tag can operate up to 10Mb/s with minimum input power of 14.1μW, corresponding to 13.9 meters of operation range. Contribution to the paper: propose the idea, define system specification and building blocks, define simulation setup, RF/Analog circuit design, circuit implementation, PCB design, measurement, writing the manuscript.

Page 17: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

1

CHAPTER 1

1 Introduction

1.1 Project MotivationA new term of ubiquitous computing and communication is booming up which will

transform our future corporate, community and personal life [1]. Ubiquitous or pervasive computing is an environment where people interact with various companion, embedded or invisible computers [2]. Early form of ubiquitous information and communication was happened in the use of mobile phones and nowadays it has become a vital part of everyday life for many millions of people even more than internet. In the last decade there has been a huge increase in the use of computing devices. Nowadays, wirelessly connected organizers and smart phones have become popular and digital computing is an integral part of many everyday appliances. Recently, many research and developments are ongoing on to bring this phenomenon more into everyday life by embedding smart devices into more objects which can interact to each other and people through a wireless link [3-8]. It will provide connectivity for anything from anywhere, any place and for anyone. These connections create a network between items which lead to Internet of Things (IoT). Several kinds of information can be exchanged through the network such as environment status, and location which make a huge field of novel applications and market. To realize this vision, several technical innovations in different number of fields are essential. In order to have an embedded module in almost everything, a simple and low cost system is essential. On the other hand, the hardware should be power-efficient and reliable to be able to operate without any maintenance for a long time. Embedding sensor technology into the items allows the system to detect changes in the physical status of things, which allows the system to change or modify some parameters of the system. And finally, system miniaturization allows smaller things and hence more things have the connectivity. A combination of all of these developments will create the IoT which connect the world’s objects intelligently.

Page 18: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

2 1.1 Project Motivation

Wireless Sensor Network (WSN) and Radio frequency Identification (RFID) technologies are two promising solutions for realization of the IoT vision [9]. Wirelessly connected sensor nodes in a WSN offer a powerful combination of distributed sensing and computing, which provide huge applications. Environmental monitoring, warfare, surveillance and agriculture are some examples. However, there are several challenges such as life time, flexibility, maintenance, and data collection, which need to be addressed. Figure 1-1 shows a scratch of deploying WSN on an volcano monitoring [10]. Distributed sensor nodes monitor the environment and send event report to a base station directly or through neighboring nodes. Due to multi-hop capability WSN system can cover a wide area.

RFID technology is another possible enabler for IoT. It has been used mostly in supply chain management and logistic for several years [11, 12]. However, recently RFID based ubiquitous identification, localization and sensing systems are widely interested [9, 13-17]. An RFID system identifies items using radio waves. A typical RFID system includes two parts: a transponder or a tag which attached to the object to be identified, and an interrogator or a reader which identifies the tags. Passive, semi-passive, active tags have their own advantages and disadvantages and they are used in different applications. Figure 1-2 illustrates some commercial RFID readers and tags [18]. Utilizing RFIDs in ubiquitous wireless sensing encountered to several challenges which need to be solved, such as life time for active tags, operation distance, communication data rate, and throughput in dense multipath and multiuser environment.

Figure 1-1: Wireless sensor network example

Page 19: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

1.2 Introduction to Ultra Wideband Radio 3

Figure 1-2: Commercial RFID readers and Tags

Ultra-Wideband Impulse Radio (UWB-IR) with its advantages has been recognized as a great solution for future WSN and RFID [19-24]. Information in impulse UWB system is typically transmitted using a collection of short pulses with low duty cycle, resulting in lower power consumption. UWB-IR technique has the possibility of achieving Gb/s data rate, hundreds of operating range, low energy consumption per bit, centimeter positioning, and low cost implementation [25, 26]. It has been shown that UWB-IR can be a promising solution for next generation of RFID and WSN. In this thesis utilization of impulse UWB for WSN and RFID is investigated.

1.2 Introduction to Ultra Wideband Radio In the first look, Ultra Wideband (UWB) radio is considered as a new technology

which enables something that was not possible before. However, there are no new physical properties and in fact UWB is a new engineering technology. It can be said that the earliest form of UWB was in 1893, when Heinrich Hertz used a spark discharge to produce electromagnetic waves however, the dominant form of wireless communication has been sinusoidal for several years [27]. Recently, UWB transmission has been interested in both academic and industry. UWB offers many advantages such as high data rate, low-cost implementation, and low transmit power, ranging, multipath immunity, and low interference. Based on regulation approved in 2002 by Federal Communication Commission (FCC) a signal is assumed to be UWB if the -10dB bandwidth exceed 500 MHz. FCC reserved the unlicensed frequency band between 3.1 and 10.6 GHZ for indoor UWB wireless communication system [28]. Low transmitted power regulated by FCC allows UWB system coexist with others licensed and unlicensed narrowband systems. Therefore, the limited resources of spectrum can be used more efficiently. On the other hand, with its ultra wide bandwidth, UWB system has a capacity much higher than the current narrowband systems for short range applications.

Page 20: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

4 1.2 Introduction to Ultra Wideband Radio

Figure 1-3: FCC spectral mask for indoor applications

Two possible techniques for implementing UWB communication are Impulse Radio (IR) and multicarrier UWB. UWB-IR is based on transmitting ultra short (in the order of nanosecond) pulses. Usually in order to increase the processing gain more than one pulse represents a symbol. Multi-carrier or multi-band UWB (MB-UWB) systems use orthogonal frequency division multiplexing (OFDM) techniques to transmit the information on each of the sub-bands. OFDM has several good properties, including high spectral efficiency, robustness to RF and multi-path interferences. However, it has several drawbacks. Up and down conversion is required and it is very sensitive to frequency, clock, and phase inaccuracy. On the other hand, nonlinear amplification destroys the orthogonality of OFDM. With these drawbacks MB-UWB is not suitable for low-power and low cost application.

UWB-IR offers several nice advantages. It allows unlicensed usage of several gigahertz of spectrum. It also offers great flexibility of spectrum usage. Adaptive transceiver design can be used for optimizing system performance as a function of the data rate, operation range, available power, demanded quality of service, and user preference. Gb/s data-rate transmission over very short range is possible. Because of ultra short pulses used in UWB, it is very robust against multipath, and more multipath components can be resolved at the receiver, resulting in higher performance. Due to the ultra-short duration pulses sub-centimeter ranging is possible. In UWB-IR no up and down conversion is required therefore it reduces the implementation cost, and low-power transmitter implementation is possible. Because of the short pulses and low power transmission, it is very hard to eavesdrop the UWB signal.

Page 21: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

1.2 Introduction to Ultra Wideband Radio 5

In spite of all the advantages, there are several issues which need to be considered. Due to the short pulses, accurate synchronization and channel estimation is very difficult. Several interferences such as multiple access interference and narrowband interference should be detected and cancelled. Designing wideband RF components is a big challenge. And for digital implementation high sampling rate ADC is very hard to achieve.

Information in impulse UWB techniques is send by modulating short pulses. There are several modulation options which depend on application, design specifications and constraints, operation rage, transmission and reception power consumption, quality-of-service, regularity, hardware complexity, data rate, and capacity. Some of known modulation options in UWB-IR are Binary Phase Shift Keying (BPSK), Pulse Amplitude Modulation (PAM), On-Off Keying (OOK), and Pulse Position Modulation (PPM). The most popular modulation in UWB-IR is BPSK because of its better BER and smooth power spectrum. However, accurate synchronization and channel estimation is required for accurate pulse detection. Compared with BPSK, OOK and PPM are based on presence or absence of signal and no channel estimation is required resulting in low cost and easy implementation. Higher order modulations increase the data rate at the cost of poor BER in a noisy channel. Therefore, for low power and low-data-rate application lower order modulation is desired.

Generally, receivers for UWB-IR can be categorized in two kinds of Energy detectors (ED), and Correlation Detectors (CD). Energy detectors are based on presence or absence of signal and no channel estimation is required in low cost and easy implementation at the cost of poor BER [26]. However, in correlation-based receiver a template signal, generated locally according to the information acquired by bit and code synchronization and possibly channel estimation, is multiplied by the received signal and the result passed trough an integrator and decision block. To capture more multipath components Rake receivers which include a bank of correlators and each finger is synchronized to a multipath component can be used. A rake receiver with many fingers can capture more multipath components and hence has higher performance. However, channel estimation is a big challenge. Therefore, Rake receivers are too complicate and consume much power, and hence they are not suitable for low-power and low-cost implementation.

Different industrial standards have been developed. In 2002 the IEEE 802.15 formed a Task group (TG4a) whose goal was to deliver the standard specification for Low Rate Wireless Personal Area Networks (LR-WPANs). Finally On 22nd of March 2007, P802.15.4a was approved as a new amendment to IEEE Std 802.15.4-2006 by the IEEE-SA Standards Board. It consisted of two optional Phys consisting of a UWB Impulse Radio operating in unlicensed UWB spectrum and a Chirp Spread Spectrum operating in unlicensed 2.4GHz spectrum [29]. Low data rate- IEEE 802.15.4a- provides emerging applications of UWB such as wireless sensor network. It offers data rate from 50Kbps to 1 Mbps with ranges of 100 m with positioning capabilities. These features allow many applications such as environment monitoring, tracking, localization, home control, search and rescue, and security applications.

Page 22: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

6 1.3 Introduction to Radio Frequency Identification

1.3 Introduction to Radio Frequency Identification

RFID is a form of automatic identification (AutoID) technology that uses radio waves to communicate between tags and a reader to identify items. It has been used for decades and has become a hot topic since some big retail company like Wal-Mart announced to introduce this technology in their supply chain. It can close the gap between physical flow of goods and information flow in IT systems.

A typical RFID system is shown in Figure 1-4. It is composed by two main components: A Reader and Tags (or transponders). In a passive tag system the reader emits signals including command, energy and clock. All corresponding tags in the reader field will detect the signal and use the energy from it to wake up and supply operating power to its internal circuits. Once the tag has decoded a valid signal, replies its ID or other information to the reader.

RFID transponders can be passive, semi-passive, or active. Passive tags do not have any internal power supply and have no radio transmitter. Passive tags derive the required power from a reader using either inductive coupling or electromagnetic capture. They communicate to reader by utilizing load modulation or electromagnetic backscatter. Semi-passive tags, also known as battery-assisted passive tags, have a local battery to power the tag circuitry but they still have no radio transmitter and use backscatter communication to send response. Active RFID transponders have a power source and a conventional radio transmitter. They offer longer operation range, higher data-rate, and larger memories than passive transponders however, they are expensive and usually with big size.

Figure 1-4: RFID system block diagram

RFID Reader

RFID Tag

Application

Data

Power

Clock Coupling element

Page 23: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

1.3 Introduction to Radio Frequency Identification 7

Passive tags are much cheaper and have virtually unlimited life time. Therefore, they

cover the majority of RFID transponders in used. They operate in different frequency bands. The most commonly used frequency bands are the 125 KHz, 13.56 MHz, 860-960 MHz, and 2.4 GHz.

Low frequencies (LF) and high frequency (HF) systems (125 KHz and 13.56 MHz) offer an operating range up to 1 m. The coupling can be either capacitive or inductive. For the capacitive coupling the resistance of coupling element is not important and can be made from high resistive material such conductive ink. However they operate in very short range of a few centimeters. Inductive coupling transponders operate in longer distance up to 1 meter. Most of the RFID systems are of this type these days. Ultra High frequency (UHF) transponders, however, operate in far-field region and radiative coupling. They offer longer operation distance up to several meters [11].

Choosing LF, HF or UHF bands for RFID operation depends on requirements and application. LF/HF tags use coil antenna with many turns while UHF tags use simple dipole like antennas that are easily fabricated, but its size depends on the wavelength. The operation range for LF/HF tags is comparable to antenna size, however UHF tags provide operation range limited by the transmit power and when regulation allows it can be increased. LF/HF tags operate in near-filed zones which are generally small and easy to implement, however far-field operation in UHF tags are larger, but it is more complex to implement. In UHF system nearby readers can interfere with each other and a collision mechanism is required. LF/HF radiation penetrates into water while UHF penetration is negligible in comparison to typical operation range. LF radiation can penetrate thin layer of metal while HF and UHF radiation is shielded by metal layer which limit their applications. Unlike LF tags which are limited to low data rate, HF and UHF tags can supply tens or hundreds of kbps [12].

LF RFID is popular for animal, human, and objects ID, and access control. HF systems are widely used for non-contact smart cards, access control, RFID-equipped passports and travel documents, asset tracking, and supply management. UHF tags are widely used in automobile tolling and rail-car tracking where a range of several meters is desired. Recently, they are widely used in supply chain management, asset tracking, and transport baggage tracking.

As in many other technologies, a lot of RFID standards exist. In the case of UHF RFID, there is no accepted global standard. Transponder format, communication protocols, frequency of operation and the code or ID can be parts of the standard [11]. Two major available standards are the EPC Global initiative [30] and the ISO 18000 standard [31]. Table 1 summarizes the common used RFID air interface standards.

Page 24: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

8 1.4 Author’s Contribution

Table 1-1 Common used RFID air interface

Frequency Tag Type 125/134 KHz 5-7 MHz 13.56 MHz 303/433

MHz 860-960

MHZ 2.45 GHz

Passive ISO 11784/5 ISO 18000-2

ISO 10536

MIFAREISO 14443

ISO 18000-3

ISO 18000-6EPC class 0,1

Ucode

ISO 18000-4 µ-chip

Semipassive Maxim ISO 18000-4 Active ANSI

371.2 ISO

18000-7

ISO 18000-4ANSI 371.1

1.4 Author’s Contribution The use of ultra-wideband impulse techniques for low-complex and low-cost wireless

sensing and identification system has been studied. The main goal of the thesis is to propose a wireless sensing and identification system based on remote-powered tags utilizing UWB-IR.

The study has been carried out in four parts. In the first part impulse UWB techniques have been studied. Different impulse UWB receivers have been investigated and a novel autonomous impulse detection has been proposed. Papers [32, 33] investigate the utilizing of impulse radio in wireless sensor network. The author is responsible for al related work for the paper [32], and propose two different architectures for base station and sensor nodes, writing, and corresponding author in paper [33].

In part two a new wireless identification system, with remote-powered tag, utilizing UWB-IR has been proposed. The innovative contribution is employing asymmetric wireless links (UWB and UHF) in uplink and downlink. The system architecture has been proposed, and the architecture and building blocks of the tag have been defined. RF and analog circuits for the tag has been designed. A new communication protocol has been defined. Simulation and measurements have been done and the results prove the proposed concept. Three master theses have been supervised in the area of power scavenging, impulse UWB transmitter, and a logic control and communication protocol. Papers [34, 35] investigate the implementation of power scavenging and power management unit. A tunable low-power UWB-IR transmitter has been proposed in papers [36, 37]. Papers [38, 39] explore the implementation of the proposed communication protocol. To proof of the concept, a single-chip tag including RF/Analog circuitry and logic control has been implemented in CMOS 0.18µm technology, and the measurement results show the feasibility of the proposed concept. The complete proposed system has been published in papers [7, 40-42].

In part three system integration and packaging of the proposed system including an UWB antenna in Liquid-Crystal Polymer (LCP) substrate has been studied. The contribution is designing and modeling of the RF components and UWB antenna in the

Page 25: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

1.5 Outline of the Thesis 9

package and co-optimizing the chip and package design with on-chip versus off-chip passives trade-offs [43].

Finally, the effect of UWB antenna miniaturization in an impulse UWB system/transceiver has been investigated. The author is involved in evaluation of the antennas performance and their functionality in a given impulse UWB system including of an impulse UWB transmitter and two kinds of UWB receivers based on correlation detection, and energy detection [44-46].

1.5 Outline of the Thesis The thesis is organized as follows: Chapter 2 presents the utilization of UWB-IR in

wireless sensor network. A new autonomous UWB receiver targeting low complexity and low power consumption is presented. Simulation results are compared with other UWB receivers in order to verify the proposed detection. Chapter 3 presents the proposed UWB-RFID with remote-powered tag. The proposed communication protocol is presented and performance analysis is discussed. Circuit implementation and measurement results are given, which verify the system concept. A case study for system integration is given in Chapter 4. Two concepts of system-on-chip and system-on-package are discussed. System miniaturization is discussed in chapter 5. It provides the influence of UWB antenna shrinking on UWB system performance. Finally chapter 6 concludes the thesis.

Page 26: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal
Page 27: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

11

CHAPTER 2

2 Impulse Radio in Wireless Sensor Network

In this chapter, a wireless sensor network (WSN) based on ultra wideband impulse radio is proposed. Different architectures are suggested for base station and sensor nodes focusing on low-power and low cost implementation. The base station uses coherent architecture due to the high performance and good sensitivity requirements. However, to satisfy complexity, power and cost constraints, the sensor node uses a novel non-coherent architecture that can autonomously detect UWB signals with no restrict synchronization requirements. The performance of the autonomous detection in term of BER is compared with other detection techniques. The analysis results show that the new architecture is more robust against timing jitter and time mismatch, and hence the problem of skew in synchronization could be considerably reduced.

Page 28: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

12 2.1 Introduction

2.1 Introduction A wireless sensor network (WSN) is an infrastructure consisting of several sensing,

computing, and communication elements wirelessly connected, that provides the ability to observe and react to events and phenomena in a specific environment. It has been used in many applications such as environmental monitoring, health applications, home automation, inventory control, vehicle tracking and detection, and so on. There are four basic components in a WSN: sensor nodes; interconnecting network; central points of information collecting; and computing resources to handle information [47]. Sensor nodes or wireless nodes which are also called motes are connected via series of multi-hop or single-hop short distance and low-power wireless link.

Implementations of WSNs have to address a set of technical challenges. One of the current research challenges is to develop low-power and low-cost wireless nodes. Low-power consumption is a key factor in ensuring long operation time for battery powered nodes. Today’s commercially available radio transceivers consume typically several tens of milliWatts. To maintain the required power consumption, the nodes must sleep most of the time. This can be realized by using low duty cycle operation such as a 1% or 0.1% duty cycle. In addition to low duty cycle operation, utilizing a power efficient transceiver can also increase the power efficiency.

Impulse UWB communication has the potential of achieving high data rate, long operating range, low transmit power consumption, and low cost implementation [25]. It also offers the ability of accurate ranging and localization. It has been recognized to be cost-effective to be integrated in the WSN instead of traditional radio transceivers.

Although, low-power implementation of impulse UWB transmitter is possible, but UWB receivers usually consume much more power, due to the detection of ultra wideband pulses. High performance UWB receivers, such as rake/correlation receivers are too complex and expensive to be implemented in low-cost devices such as wireless sensor nodes. Non-coherent receivers such as energy detection can be a solution. However, they require higher signal-to-noise-ratio (SNR) which can be achieved in short-range communication, especially in low data-rate applications. Therefore, in order to have a power efficient WSN with long life time sensor nodes, single-hop or multipoint-to-point (start-based) system connectivity is used. It can be realized in short-range applications such as home, factory, or human body. An example of a wireless sensor network using UWB links is shown in Figure 2-1, where the sensor nodes gather data autonomously, and the network passes this data to one or more base stations through UWB links, and then forwards to sensor network servers.

Page 29: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

2.2 System Specifications 13

Figure 2-1: Sensor network architecture with UWB link

2.2 System Specifications Two architectures for base station and sensor node are shown in Figure 2-2 and

Figure 2-3 respectively. The idea is to bring the complexity and power consumption from the sensor node to the base station, since there is not such a severe restriction on power and cost in the base station. Therefore, in the base station a coherent receiver is used, which offers higher performance. However, the power consumption and the cost are more critical in the sensor node. As a result, a non-coherent architecture is utilized in the sensor node. Autonomous non-coherent receiver architecture is proposed for sensor node as shown in Figure 2-3. The template waveform employed in detection process is a squared replica of the received signal. In this way, the complexity of the synchronization and channel estimation is eliminated.

Pulse Generator

Switch

Antenna

Template Signal

Timing Circuit

LNA Amplifier ∫T

0

ADC

BasebandProcess

τ

Power Management

Pulse Generator

Switch

Antenna

Template Signal

Timing Circuit

LNA Amplifier ∫T

0

ADC

BasebandProcess

τ

Power Management

Figure 2-2: Impulse-based UWB architecture used in the base station

Internet

Network Server

Base Station

Base Station

n1

n2 n3

n5 n4 n6

Sensor Nodes

UWB links

Page 30: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

14 2.2 System Specifications

Figure 2-3: Impulse-based UWB architecture used in the sensor node

The proposed receiver architecture in the sensor nodes has a number of attractive properties:

• Same as other non-coherent receivers, the synchronization is only in symbol level, and neither frame nor pulse level synchronization is needed. Therefore the complexity of the receiver is reduced.

• Multi-path gathering is achieved. Since all components in the received signal go trough the same system, the produced template pulse is synchronized (but unfortunately noisy) to the received signal without any channel estimation or the need for a rake receiver with many branches.

• Since the template pulse is the same as the received signal, changing in channel due to the movement does not affect the receiver performance. This can be a significant advantage for systems operating in a mobile environment.

• Because the template pulse is produced from the received signal, this architecture does not suffer from timing jitter. On the other hand, this architecture is very robust to timing mismatches, and the problem of skew in synchronization could be considerably reduced.

• Compared with TR-UWB, in this architecture the transmitter does not send any reference pulses. Therefore the entire signal power carries data which improves the performance.

Since the power consumption is critical in the sensor node, the wideband LNA can be also eliminated from the sensor module for short range applications (as shown in Figure 2-3). Therefore, the power consumption is significantly reduced and the lifetime of the modules is consequently increased. In addition, a power management block has been utilized to wake-up the circuit and also controls the various operation modes such as working mode and sleep mode, to reduce the power consumption as much as possible.

To have a long lifetime operation, transmission power of the sensor node is often set to as low as possible. It reduces the signal strength on the base station. However, as mentioned before, the coherent receiver utilized in the base stations can detect the weak incoming signal.

Pulse Generator

Switch

Antenna

AmplifierA D C

Baseband Process

Td

Pulse Generator

Switch

Antenna

Amplifier ∫

A D C

Baseband Process

Power Power Management

Td BPF

Autonomous detection

Page 31: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

2.3 Performance Estimation 15

2.3 Performance Estimation In order to verify the performance of the proposed architecture in the sensor node, the

receiver performance is evaluated in term of bit error rate (BER) and compared with conventional coherent detection and transmitted-reference UWB (TR-UWB) scheme. Monte-Carlo analysis in MATLAB is used to evaluate the performances. The analysis parameters are as follows:

• Pulse shape is a second derivative of the Gaussian pulse with 250ps duration as shown in Figure 2-4.

• Channel model is Additive White Gaussian Noise (AWGN) and no interference is considered.

• No multi-path effect is considered, though we expect better multi-path gathering effects for the proposed autonomous architecture.

• Receiver bandwidth and noise bandwidth is set to 5 GHz.

• Noise figure is set to 8dB.

• Pulse rate is 100 MHz.

• In coherent and autonomous receivers, BPSK modulation and in TR-UWB two-points-signal is utilized

Figure 2-5 shows the BER performance versus the SNR for three structures. It is clearly seen that the coherent receiver has the best sensitivity. That is because coherent detection is able to moderate the noise and maximize the SNR if a matched template is available, while in autonomous and TR-UWB detection the template is generated from the incoming signal and is very noisy.

Figure 2-4: Monocycle pulse

Page 32: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

16 2.3 Performance Estimation

The autonomous receiver and the TR-UWB show similar performance, even though more improvements are expected if timing mismatch and phase noise are considered. In reality, time mismatch should be considered. In coherent receiver, that is a time skew in synchronization. But in TR-UWB and autonomous receiver architectures, time mismatch is the difference of Td from the expected value. In autonomous receiver, the delay value equals to the delay of the mixer. Therefore, the accuracy of this delay is only related to the receiver and it is much easier to control during designing and manufacture. Figure 2-6 compares the performance of the receivers in the presence of time mismatch. As can be seen, any time mismatch degrades the performance. Although, the TR-UWB seems most tolerant to time mismatch, but as mentioned before the time mismatch in autonomous receiver can be easily eliminated during manufacture (since it is not related to the transmitter). Coherent receiver and TR-UWB system usually suffer from timing jitter of the oscillator in transmitter and receiver [48]. But the autonomous architecture is not affected by that. Figure 2-7 shows the performance in the presence of timing jitter for three architectures. In coherent receiver, jitter in both transmitter and receiver are considered. Unlike coherent and TR-UWB receivers, the performance of our autonomous receiver is independent of timing jitter. It reduces the clock and synchronization requirements in UWB transceiver, and consequently decreases the power consumption and implementation cost.

-30 -25 -20 -15 -10 -5 0 5 10 1510-4

10-3

10-2

10-1

100

SNR (dB)

BE

R

Auto UWB 10MbpsAuto UWB 100MbpsCoherent 10MbpsCoherent 100 MbpsTR-UWB 10MbpsTR-UWB 100 Mbps

Figure 2-5: Performance of three detection techniques for different data rates

Page 33: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

2.3 Performance Estimation 17

0 5 10 15 20 2510

-4

10-3

10-2

10-1

100

Time missmatch %Tp

BE

RCoherentTRUWBAuto. UWB

Figure 2-6: Time mismatch analysis (100 Mbps)

0 5 10 15 20 25 30 35 40 45 5010-4

10-3

10-2

10-1

100

Jitter (rms)Ps

BE

R

CoherentTR UWBAuto. UWB

Figure 2-7: Performance of three types of UWB receiver in the presence of jitter (100 Mbps)

Page 34: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

18 2.4 Conclusions

2.4 Conclusions In this chapter, impulse-based UWB radio system for wireless sensor networks has

been investigated. Because of different performance and cost constraints, different impulse UWB architectures are utilized in base stations and sensor nodes respectively. The base stations utilize coherent architecture due to the requirement of high sensitivity and high performance detection. Whereas, in sensor nodes, because of its power and cost constraints, we have proposed a new autonomous non-coherent architecture. This new receiver can detect UWB signals autonomously with no restrict synchronization in pulse or frame level. It is found to be extremely immune to timing jitter. Comparison between this new architecture and conventional coherent and TR-UWB system has been presented. Simulation results show that the BER performance of the novel autonomous receiver architecture is close to TR-UWB system when timing jitter is not considered. However, the new architecture is more robust against timing jitter and time mismatch, and hence the problem of skew in synchronization could be easily reduced.

Page 35: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

19

CHAPTER 3

3 Remote-Powered UWB-RFID

In this chapter, a novel wireless sensing and identification system is proposed, in which the nodes, such as conventional UHF-RFID systems, capture required power supply from the received RF signal transmitted by a reader. However, to overcome the limitation of conventional passive RFID systems, instead of backscattering, in uplink an Ultra-Wideband Impulse-Radio (UWB-IR) link is employed. Because of the asymmetric links, a new communication protocol is proposed based on slotted-ALOHA anti-collision algorithm. Simulation results show the throughput more than 2000 tags/s, which is a great improvement compared with normal RFID system (less than 1000 tags/s). An integrated tag is fabricated in UMC 0.18µm CMOS process to verify the proposed concept. It consists of a power management unit, an RF demodulator, a clock generator unit, a low-power UWB-IR transmitter, and a logic control. Measurement results prove the system concept and show the potential of UWB impulse radios for low-cost, low-power, and battery-less implementation, especially in low data rate applications.

Page 36: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

20 3.1 Introduction

3.1 Introduction Radio Frequency Identification (RFID) has been one of the most rapidly growing

segments in automatic identification and data collection industry. An RFID system identifies the unique tags’ ID or detailed information saved in them. They are widely used in asset monitoring, access control, supply chain, and many other applications.

Wireless sensing and positioning are new added functions highly demanded in future RFID technology [9]. Current solutions for RFID are mostly based on backscattering or load modulation with data rate limited to a few hundreds of kb/s [11]. It causes large latency when there are many tags in the reading filed. On the other hand, position accuracy for backscattering system is not better than 70 cm [16] .

A solution is inclusion of a radio transmitter in the tag which is usually done in active tags using existing narrowband radio. However, carrier generation is power consuming which requires battery operation, which increases the implementation and maintenance cost compared with passive tag.

Advanced design allows passive operation at long distances in order of 10 meters [49]. However, the longer operation range, the more tags have to be read, implying larger capacity for the system, which is difficult to achieve with backscattering system.

Impulse Ultra wideband (UWB-IR) technique using short pulses for data transmission has been recognized as a powerful candidate for future RFID systems. It has the potential of achieving MB/s throughput, operating range of hundreds of meters, centimeters positioning, low power consumption, and low cost implementation. Previous works have revealed that UWB transmitter is extremely area and power efficient, whereas the receiver is still area and power hungry which requires internal power source which increases the implementation cost, size and maintenance cost [50].

In this work, we present a 10Mb/s impulse UWB RFID tag in 0.18μm CMOS. The tag is remotely powered by UHF wave with minimum input RF power as low as 14.1μW. Our innovative contribution is to employ two different UWB-IR and UHF communication links in uplink and downlink respectively. This is because the amount of data or instruction from a reader to a tag is very few and a low data rate communication link as conventional UHF-RFID at 900 MHz can be used as downlink. UHF also provides remote power to the tag. The uplink requires higher data rate and precise positioning capability, therefore an UWB-IR transmitter is employed [7, 40, 41].

3.2 System Description Figure 3-1 shows the proposed system concept. In general the required power supply

can be provided by any kind of energy sources such as thermal energy, vibration, movement, solar energy and so on. Available power may be too low to provide continuous power for circuitry, thus a tag captures energy for a long time, stores it in a storage capacitor and uses this energy in operation period. As a result, the amount of available energy is limited and the operation should be done in a time and power

Page 37: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.2 System Description 21

efficient manner. Impulse UWB is a promising solution to achieve high data rate along with low-transmit power consumption [7, 40].

The system can operate in two scenarios. In Burst mode, there is no communication link from the readers to the tags and the tags transmit their ID and other information when they capture and store enough energy. To realize multiple access each tag sends data after a random delay generated locally. The burst mode results in simple implementation and operation however, in a dense multi user environment there will be a huge collision, which degrades the overall system throughput.

In order to reduce the tags collision, Acknowledgement mode can be used. In acknowledgement mode, such as conventional RFID communication protocol, tags send their data after receiving a request from a reader and go to halt mode after receiving an acknowledgment to reduce tags collision, resulting in higher throughput. In this mode the tags can capture the required power supply from the received RF signal transmitted by the reader, which carries data to the tags. In this thesis the operation in acknowledgement mode is considered and the electromagnetic wave is used as the power source.

An example of a generic network using asymmetric wireless links is shown in Figure 3-2. The network consists of hundreds, or thousands of tags and several readers, which covers the whole area of interest. The area is divided into several overlapped clusters. A reader in each cluster provides remote power for the tags and sends command, data, and synchronization clock using a UHF electromagnetic wave. The tags respond their information via an UWB-IR link and readers forward data to a network server through a standard link e.g. LAN or WLAN link. Adjacent reader should handle an anti-collision protocol to resolve reader collision.

Figure 3-1: Block diagram of the system concept

Page 38: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

22 3.3 Asymmetric UWB-RFID Architecture

Server

Internet

Reader1Tag 3

Tag2

Tag1

Tag4

Tag5

Reader2

Tag NReader2

Semi-UWB

Tag6

Reader2 10 meters

Figure 3-2: Generic Network with Semi-UWB Tags

3.3 Asymmetric UWB-RFID Architecture RFID applications hold some notable characteristic which are different than usual

communication systems:

• Huge number of tags might appear in the reading field simultaneously. Therefore, an efficient multiple-access algorithm is essential for system efficiency.

• Unlike other RF communication systems, the traffic loads in RFID are highly asymmetric between the uplink from a tag to a reader and downlink from a reader to a tag. Data (e.g command, synchronization) from a reader to tag is very few, but the traffic transmitted from a great number of tags is heavy. Therefore, higher data-rate link in uplink is demanded.

• Due to the demand of low cost implementation, tags have very limited resources such memory, computational ability, and power supply, but a reader can be a powerful device and more expensive.

Based on this consideration, we propose an asymmetric UWB-RFID system architecture shown in Figure 3-3. UWB and UHF techniques are used in uplink and downlink respectively. Due to the nature of the impulse UWB radio, it provides a high speed and high secure uplink under a low power and low complexity implementation. Since the wideband UWB receivers usually consume too much power, the traditional RF receiver such as UHF-RFID is applied as the downlink. On the other hand, unlike other communication systems, RFID and WSN applications are dominated by uplink communication, and the low downlink traffic becomes insignificant for the system efficiency. As a result, the low data-rate narrowband radio is adequate.

Page 39: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.3 Asymmetric UWB-RFID Architecture 23

Figure 3-3: Block of the Reader and Tag with Semi-UWB link

The reader broadcast command to the tags by UHF (870-960 MHz) signal using Amplitude-Shift Keying (ASK) modulation with modulation depth from 30% to 100%. Binary data is encoded as pulse width modulation of the low amplitude pulse. Low intervals of 1.5µs and 4.5µs represent bit 0 and bit 1 respectively. Figure 3-4 depicts the modulation and data encoding parameters for reader to tag communication.

A tag replies information by transmitting UWB signal. The UWB pulse rate and data–rate are adapted by the reader, based on the available power and the desired operation distance. In long range operation, when the available power to the tag is low, lower pulse rate and data rate is chosen, resulting lower power consumption. On the other hand, in short range applications, higher pulse rate with higher data rate can be transmitted since the available power is high enough.

Generally, BPSK modulation achieves the best BER performance [51]. However the circuit complexity is the highest. Furthermore, BPSK detection is very sensitive to clock jitter and skew. It demands an accurate synchronized clock on-chip, which increases the complexity and power consumption in the tag. Either OOK or PPM modulation can be used in the tags to modulate the UWB pulses. Because of the simplicity, in this work, On-Off Keying (OOK) modulation is utilized which is insensitive to clock jitter. It has less performance than BPSK, but it reduces the complexity and power consumption significantly, which is a great advantage for the tag.

UHF envelope Low Interval

Bit 0 Bit 1Mod

Figure 3-4: Reader-to-tag signaling

Page 40: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

24 3.4 Proposed Communication Protocol

3.4 Proposed Communication Protocol A new communication protocol is proposed for the system based on slotted-ALOHA

anti-collision algorithm. Five functions are defined in the proposed protocol, which are listed in Table 3-1.

Table 3-1:Functions in communication protocol

Function Description Wakeup Identify all tags in the reading field. Request Identify the tags that have not been

identified in the reading field. Write Program tag’s memory unconditionally. Modify Program a specific tag with access

control. Kill Delete a specific tag.

A frame which represents an operation initiated by readers is composed by four phases:

powering up, start of frame (SOF), commands, and response. A frame time is divided into discrete time intervals, called slots. A tag randomly selects a slot number in the frame and responds to the reader. A procedure called acknowledgment is required to resolve collisions or failed transmissions. Collided tags retransmit in next frames. Three improvements are employed to enhance the system performance.

Due to the great asymmetry between the downlink and the uplink, the acknowledgement from the reader to tags becomes a bottleneck that decreases the network throughput. This problem is solved by using a pipelined method that poses the data packet and its corresponding acknowledgement in two adjacent slots. As can be seen in Figure 3-5, a tag sends data in the K slot and receives the ACK in the K + 1 slot [8, 39].

Figure 3-5: Frame format and the pipelined communication

Page 41: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.4 Proposed Communication Protocol 25

Because the global clock is scalable controlled by the reader, it provides a possibility to skip idle slots. By detecting the incoming signals at the beginning of each slot, the reader can determine if there is any transmission in this time slot. If it is an idle slot, the reader skips this slot by adjusting the clock frequency and transits into the next cycle (slot) immediately. Figure 3-6 compares the system efficiency with idle skipping approach and normal approach. As can be seen higher efficiency can be achieved.

0 100 200 300 400 500 600 700 800 900 10000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Number of Tags

Sys

tem

Effi

cien

cy

System Efficiency at the frame size=256

None Idle Slot SkippingIdle Slot Skipping

Figure 3-6: Simulation result of the system efficiency with idle slot skipping Since the system efficiency depends on the frame size when the number of tags in the

reading filed is varied, the Frame sizes can be regulated by the reader to improve the performance in a dynamic circumstance. With certain algorithms, the reader can estimate the number of tags based on states of previous frames, and set the frame size in a Wakeup or Request command. Figure 3-7 shows the effect of adaptive frame size compared with fixed frame size. As can be seen, with adaptive frame size more than 2000 tags/s can be processed.

0 200 400 600 800 1000 1200 14000

500

1000

1500

2000

2500

Number of Tags

Pro

cess

ing

Del

ay (m

s)

The Processing Delay Simulation

Frame Size=256Frame Size=512Frame Size=1024Adaptive Size

Figure 3-7: Simulation result of system efficiency with adaptive frame size and idle slot

skipping

Page 42: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

26 3.5 Implementation

3.5 Implementation In order to achieve the desirable properties of the tag as well as to verify the proposed

system concept, the tag circuitry is implemented in UMC 0.18µm CMOS technology. Figure 3-8 shows the detailed block diagram of the tag. It consists of a power management unit, an RF demodulator, a clock generator unit, an impulse UWB transmitter, and logic control.

3.5.1 Impulse UWB Transmitter Digital pulse generator, up conversion or (Finite Impulse Response) FIR transmitter is

not feasible, since they required on-chip high frequency clock [52, 53]. On the other hand, these circuits consume high power which is also unaffordable in the proposed battery-less tag. Therefore, we use continuous-time filter architecture. Figure 3-9 shows the schematic of the impulse UWB transmitter. Since one pulse is generated at each falling edge of the incoming clock, the pulse rate can be adjusted by the logic control easily based to the available power and desired operation distance.

Both duration and amplitude of the output pulse are tunable by two control inputs. These controls enable the module to compensate the process variations, packaging effects, and frequency response of the antenna. On the other hand, it offers the ability

RF Demodulator

Clock Generator

Power Managment Unit

Logic Control

Power converter

Voltage sensor and

switches

Power on Reset

Low drop Regulator

Envelop Detector PWM Det

Injection Divider

UWB Tx

Data Rx

Data Tx

Vdd

Antenna

Matching

UWB Ant

Tx Enable

HF Clock

Global Clock Gen.

Clock

Figure 3-8: Block diagram of the UWB-RFID tag

Page 43: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.5 Implementation 27

Figure 3-9: Schematic of the impulse UWB Transmitter

to trade-off between data rate, output power, power consumption, and operation range. For example, in short range applications, when the incoming RF signal provides higher power to the tag high data rate transmission is chosen. On the contrary, to transmit data in longer distance, low data rate transmission results in low-power consumption. In both cases, amplitude and duration controls enable the module to adjust the transmitted signal meeting bandwidth and power regulations [37, 43].

3.5.2 Power Management Unit The power management unit provides the power supply for the whole circuitry from

the incoming electromagnetic wave. A power scavenging unit (PSU) rectifies the incoming 900MHz RF signal to DC in a storage capacitor. The instantaneous power consumption of the circuits is too high to be operated constantly by remote-power. Therefore, a low-power voltage-sensor (Vsen) activates the operation only when the voltage in the storage capacitor reaches a certain value (e.g 2.5 V). The required energy for the operation is provided by the stored energy in this storage capacitor. The size of the storage capacitor depends on the operation time and the required current, which can be in the order of hundreds of nano-farad and therefore off-chip capacitor is used. While the chip is working, voltage over the storage capacitor is degraded; therefore, a low-drop-out (LDO) voltage regulator is utilized to provide regulated voltage of 1.8V for the circuitry. When the capacitor voltage becomes less than a certain threshold (e.g. 1.8V) the operation is stopped, and the storage capacitor is charged again. A Power-on-Reset (PoR) circuitry creates a reset signal for the logic control to eliminate the transient response of the voltage sensor and LDO [35].

The operation distance of a tag depends on the efficiency of power scavenging unit. A diode-connected MOS multiplier is chosen here. The schematic of the power scavenging unit is shown in Figure 3-10. It includes a chain of 7 stages NMOS voltage multiplier and a voltage limiter which keeps the output voltage less than the breakdown voltage. The design goal is to achieve the required output voltage and current with minimum input power resulting in longer operation range. Figure 3-11 shows the equivalent circuit of the antenna and the load represented by the input impedance of the tag. In general Rant

Page 44: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

28 3.5 Implementation

Figure 3-10: Schematic of power scavenging unit

and Xant can include the matching network effects as well. Assuming a free space path loss, operation distance can be written as (3-2).

2

2

)4(..

dGEIRPP aa π

λ= ( 3-1)

πλ4

..

a

a

PGEIRPd = ( 3-2)

Where EIRP is the Equivalent Isotropic Radiated Power of the transmitter, Pa is the available power at the receiving antenna, Ga is the receiving antenna gain, λ is the wave length, and d is the distance. Increasing of the operation distance is expected. Normally Ga can not be increased since the antenna should be ideally isotropic to operate in all direction. EIRP is also dictated by the regulation. What remains is reducing the Pa. Considering a matched antenna to the IC’s input impedance, Pa can be written as Equation 3-3.

Rant

Vant

Xant

Cic Ric

Vi

Figure 3-11: Equivalent circuit of the antenna and tag

Page 45: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.5 Implementation 29

ic

ia R

VP.2

2

= ( 3-3)

Where Vi is the voltage across the IC’s input and Ric is the equivalent parallel input resistance. To decrease Pa, we can reduce Vi and increase Ric. Vi depends on the required output voltage and the number of stages of multiplier. Ric depends on the load current and the leakage of the circuit. Using the voltage sensor in our proposed tag, which switch off the ship during scavenging, reduces the load current considerably and consequently increases the Ric. For a fixed output voltage and load current, increasing the number of stages reduces the required Vi. However, more number of stages increases the leakage which decreases the Ric. On the other hand, the conversion factor and the leakage current of the multiplier depend on the size of the transistors. Larger transistors increase the conversion factor reducing the required Vi. But it also increases the leakage current which decreases the Ric. Therefore, the optimum values should be found for the number of stages and the transistor size. It has been shown that for the low load current the capacitors size does not have much effect on the performance if it is larger a certain value [54]. So, it has not been considered as the optimization parameters.

The voltage sensor is the only operating part of the chip in powering phase, therefore its static current is very critical. Figure 3-12 shows the schematic of voltage sensor including a reference voltage generator, a Schmitt trigger comparator and a power switch. Resistor based and bandgap references need very big resistors in order to reduce the power consumption, which occupy large area [55]. Therefore, in this work a CMOS based reference voltage is used [56].

Figure 3-12: Schematic of voltage sensor

Page 46: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

30 3.5 Implementation

Because the voltage across the storage capacitor is degraded during operation mode, a voltage regulator is utilized to provide fixed 1.8V voltage for the chip. Unlike traditional RFID, shunt regulator is not suitable for this module because it is power consuming. Thus, a low-drop-out (LDO) voltage regulator is designed. The schematic of LDO is shown in Figure 3-13. The same voltage reference generator as the voltage sensor is utilized.

Figure 3-13: Low drop output voltage regulator

To avoid the transient response of the LDO and the voltage sensor a Power-on-Reset (PoR) circuitry is used to create a reset signal for the control logic in the beginning of the operation. Figure 3-14 shows the schematic of the PoR. A capacitor charged by a current source generates a pulse which is delayed compared with the Vsen switch.

Vcc

Vbias

From Vsen

Td

Figure 3-14: Power-on-Reset circuit

Page 47: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.5 Implementation 31

3.5.3 RF Demodulator The envelope of the UHF signal contains data and clock for the tag. An envelop

detector similar to power scavenging unit but with only 2-stages extracts the envelope. Figure 3-15 shows the block diagram of the RF demodulator including envelope detector and data-clock recovery circuitry. It supports the 900 MHz ISM band with data rates up to 160 kbps. The extracted clock is used for logic control and no local oscillator is needed which reduces the power consumption significantly.

+

-

+

-

Vref 2

Vref 1

FeadbackNetwork

Envelope Det.

RFin

Data out

Clock

I Bias

Figure 3-15: Schematic of RF demodulator

3.5.4 Clock Generator UWB transmitter requires a high frequency clock of 100 MHz for data transmission.

LC oscillators occupy large area and consume much power. On the other hand, ring oscillator show large variation across the process, temperature and voltage as well as huge phase noise [57]. Utilizing PLLs which are common in communication systems are not applicable because of their high complexity and power consumption. Therefore, a low power harmonic injection locked divider (HILD) is utilized. Injection-locked dividers realized a high operation frequency along with low power consumption. In particular harmonic injection locked divider realizes a division order of more than two which is effective for power consumption reduction [58]. A low-power harmonic injection locked (HIL) divide-by-9 is used to down convert the 900 MHz carrier frequency to 100 MHz clock for UWB transmission which is shown in Figure 3-16. it composed by cascading two divide-by-3 HILD circuits. To reduce the power consumption, the circuit is designed at 1 volt power supply.

Page 48: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

32 3.5 Implementation

Figure 3-16: HIL Divider schematic

3.5.5 Logic Control A logic control is designed to execute the specified communication protocol. Figure

3-17 illustrates the block diagram of the logic control. A 128-bit memory is organized in three segments: 64 bits ID, 16 bits CRC and 48 bits header (32 bit preamble and 16 bit reserved word). The pseudo number generator (PNG) and the slot counter are used to implement the transmission protocol and the anti-collision algorithm.

Control Logic (FSM)

PNG

Slot Counter

Mem

ory

Tx Buffer

Din

CLK_Tx_En

Dout to Tx

128 Bits

Figure 3-17: Block diagram of the logic control

Page 49: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.6 Measurement Results and Discussion 33

The proposed tag is implemented in UMC 0.18µm CMOS process. The chip micrograph is shown in Figure 3-18. Since all the sub blocks are placed independently and there are several test blocks, I/O, and filtering capacitors on chip, the chip size is 4.5 mm2, but the active area is less than 1 mm2 .The chip is packaged in a Quad Flat No leads 48 (QFN 48) to minimize the parasitic inductance effects on UWB transmitter output.

Figure 3-18: Die micrograph

3.6 Measurement Results and Discussion A printed circuit board (PCB) is designed to add the external storage capacitor and

other interconnection between the sub blocks. A photograph of the test board is shown in Figure 3-19.

Figure 3-19: Photo of the test PCB for the measurements

Page 50: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

34 3.6 Measurement Results and Discussion

To measure the required input power for the power scavenging unit, the Agilent Vector Network Analyzer (VNA 8753ES 6GHz) is used and the calibration is done to de-embed the PCB trace. The measurement setup is shown in Figure 3-20. The input sensitivity of -18.5 dBm (14.1 μW) has been measured. It corresponds to 13.9 meters operation range considering 4W EIRP and a matched antenna with 0dB gain, which is a great improvement compared with existing passive RFID [59]. This improvement is due to the new proposed operation method which reduces the power consumption during the harvesting time and improves input sensitivity by using a voltage sensor. Figure 3-21 shows the PSU and the PoR outputs with a storage capacitor of 211nF (four capacitors in parallel 100+68+33+10 nF) at 10 MHz pulse rate. The charging time is 31 ms and the operation time is 1.9 ms and 0.184 ms for 10 MHz and 100 MHz pulse rate respectively.

The output impulse of the UWB transmitter has an amplitude of 220 mVPP and a duration of 620 ps as illustrated in Figure 3-22. The output pulse shape is sampled by the 83484A dual channel 50 GHz digital oscilloscope from Agilent. At 10 MHz pulse rate it consumes 51µ[email protected] (91.8μW) and the power spectral density satisfies the FCC indoor regulation. This corresponds to 9.2 pJ/pulse which is much less than recently reported work [53]. The FSQ26 spectrum analyzer from Rohde-Schwarz is utilized to measure the output power spectral density which is shown in Figure 3-23.

Figure 3-20: Measurement setup

Page 51: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.6 Measurement Results and Discussion 35

Figure 3-21: measurement results: (a) output voltage measured at rectifier and power-on-reset and (b)

output voltage at LDO regulator and PoR at 10MHz UWB clock

-0.15

-0.10

-0.05

0.00

0.05

0.10

0.15

0 0.5 1 1.5 2 2.5 3Time (ns)

Vol

t

Figure 3-22: Measured output pulse shape of UWB transmitter

Page 52: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

36 3.6 Measurement Results and Discussion

-85

-75

-65

-55

-45

0 1 2 3 4 5 6 7 8 9 10Frequency (GHz)

dBm

/MH

z

FCCPSD

Figure 3-23: Measured output power spectral density @10MHz pulse rate compared with

FCC indoor mask

ASK modulated RF signal such as explained in section 3-3 is used to measure the data and clock recovery performance. Measurement results for receiving bit 0 and 1 are shown in Figure 3-24. As can be seen data can be sampled in the falling edge of clock.

Figure 3-24: Measurement result for ASK demodulator

Page 53: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

3.7 Conclusion 37

Measurement result of the harmonic injection locked divider shows the locking frequency range of 82-92 MHz, which has a frequency shift from the expected 100 MHz. The total power consumption is 30µA and the minimum input power for locking is measured to be -19dBm. Figure 3-25 shows the output phase noise before and after locking measured by the Rohde-Schwarz FSQ26 spectrum analyzer. Due to the OOK modulation used in UWB transmitter, UWB transmission is not sensitive to the jitter, although the measurements show the jitter less than 7ps and phase noise of -87dBc/Hz at 100Hz offset.

Figure 3-25: Measured Phase noise of HIL Divider before and after locking

3.7 Conclusion A novel system with asymmetric wireless links has been presented for wireless sensing

and identification. The innovative contribution is to employ two different communication links (UWB and UHF) respectively in uplink and downlink of the tag. It allows long-range remote-power operation along with high data-rate and precise positioning capability. Table 3-2 summarizes and compares the measurement results with two related works. The input sensitivity is measured to be -18.5 dBm (14.1μW) corresponding to 13.9 meters operation range considering 4W EIRP and a matched antenna with 0dB gain. The UWB transmitter consumes 918 µW instantaneous-power at 100 MHz pulse rate which corresponds to 9.2 pJ/pulse. Adaptive data rate up to 10 Mb/s has been achieved for uplink. The new proposed communication protocol allows more than 2000 tags/s to be proceeded, which is a great improvement compared to existing passive RFID systems.

Page 54: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

38 3.7 Conclusion

Table 3-2: Performance summary of this work in comparison with passive UHF tag [59] and high data-rate HF tag [60]

This work [60] [59]

Technology 0.18µm 0.18µm 0.13 µm

Die area (Active area)

4.5 mm2 (1 mm2)

2.7 mm2 (0.75 mm2)

0.55 mm2

Downlink 900MHz ISM band

13.56 MHz 900MHz ISM band

Data rate 40-160 kb/s 106 kb/s 40-160 kb/s

Uplink UWB 3.1-10.6Ghz

HF, Load modulation

UHF, backscatter

Pulse rate 10 ~100MHz - -

Data rate Up to 10Mbps 3.4 Mb/s 40-640 kb/s

UWB transmitter - -

Pulse amplitude 220 mVpp - -

Pulse width 620 ps - -

10Mhz 91.8 µW, 9.2 pJ/pulse

- - Power consumption 100Mhz 918 µW,

9.2 pJ/pulse- -

Power scavenging - -

Vout 2.75 V NA 1.45 V

Iout 1.5 µA NA NA

Input Sensitivity -18.5 dBm (14.1µW)

NA -14 dBm (39.8µW)

Typical Distance 13.9 meters (@4W EIRP)

10 cm 7 meters

Typical Throughput 2000 tags/s NA EPC C1G2 (880 tags/s)

Page 55: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

39

CHAPTER 4

4 System Integration

In this chapter, integration and packaging of the proposed system including an UWB antenna in Liquid-Crystal Polymer (LCP) package is investigated. Chip-antenna co-design is performed in the presence of unwanted packaging parasitic effects. Our contribution includes modeling of the RF components and antenna in package and co-optimizing the chip-package with on-chip versus off-chip passives trade-offs. A tunable low power UWB-IR transmitter, a power scavenging unit, and an UWB antenna are studied. Simulation results show the feasibility of system-on-package integration for UWB implementation.

Page 56: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

40 4.1 Introduction

4.1 Introduction A typical wireless node in WSN or RFID system may includes several components

such as digital processor, memories and ASICs, analog front end, RF and microwave components, discrete components, micro-electromechanical components and even user interface. Integration all of these components in a single system-on-chip (SoC) is not necessary the best solution in all cases. Instead, system-on-package (SoP) could be a better option. Different components can be realized in different technologies with less constraint and cost than SoC.

SoP offers embedded passive components on substrate. Therefore, the expensive and low quality on-chip passive components can be moved off the chip, which can improve the performance and decrease the total cost [61, 62]. However, due to the parasitic that may limit the performance, designing with embedded passives can be a complex task. An optimum solution must be found by doing chip-package co-design with precise trade-offs for on-chip versus off-chip components [63-65].

In this chapter, SoP integration of the power scavenging unit and the UWB-IR transmitter are studied as case studies. Embedding and modeling the RF components and co-optimizing the chip with on-chip versus off-chip passives trade-offs are investigated.

4.2 LCP-based SoP Technology Liquid Crystal Polymers (LCPs) is a thermoplastic material. It has been considered as a

low-cost substrate material for high-performance packaging. It has relatively stable dielectric constant of 3.1 over the frequency range up to 110 GHZ. It has a very low tangent-loss of 0.002 to 0.0045 in this frequency range, which makes it very suitable for high frequency application [66]. Previous research in our lab has investigated its potential for electronic packaging [67]. This technology allows implementation of small size system at very low cost, along with a small antenna and high quality embedded passive components such as inductor, resistor, and capacitor [68]. The concept of SoP implementation is shown in Figure 4-1. It can include an antenna, RF chip, sensors, a baseband IC, and embedded passives.

RF IC Baseband IC

Antenna

MEMS Sensor

L R C

Figure 4-1: SoP implementation with embedded passive components and antenna

Page 57: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

4.3 Case study 1: Power Scavenging Unit 41

4.3 Case study 1: Power Scavenging Unit To realize the feasibility of circuit implementation in LCP substrate, as a case study a

power scavenging unit (PSU) is studied. It is a voltage multiplier using surface mounted Schottky diodes (The Metelics MSS30-242). Figure 4-2 shows the schematic and layout of the PSU. As can be seen because of using low forward voltage drop Schottky diode, the required output can be achieved by less number of stages (2 stages) compared with CMOS on-chip implementation (7 stages). All passive components including the coupling capacitors and the matching network are integrated in substrate. Since, the storage capacitor is too big to be implemented in substrate a surface mounted capacitor is utilized. The circuit is matched to a 50Ω antenna by an embedded matching network. ADS momentum is used to extract the model for passives in package.

D2

D4

D1

D3C2

C1C4

L1

L2

C3

Vout

SMD

Figure 4-2: Power scavenging unit in LCP substrate

Figure 4-3 shows the simulation results of the PSU. It can provide 2.5V and 1.5µA

output current with minimum -17.1dBm (19.5 µW) input power. It corresponds to 12 meter operation range with 4W EIRP emission, which is close to the on-chip integration. Considering free space propagation loss and assuming 0dB receiving antenna gain. At this distance maximum efficiency of 34 % is achieved at 1.5V/5µA.

Figure 4-3: Simulation results of power scavenging unit

Page 58: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

42 4.4 Case study 2: Impulse UWB Transmitter

4.4 Case study 2: Impulse UWB Transmitter In this study, integration of the impulse UWB transmitter in LCP substrate is

investigated. The study is done in two cases of SoC and SoP integration. In SoC solution all passive components except antenna are considered to be implemented on chip. However, in SoP integration, passive components are moved off the chip. The performances of the transmitters in two solutions are compared in the presence of an UWB antenna.

4.4.1 UWB Antenna In this study the knight’s helm shape antenna is chosen. It is a double-slotted small size

antenna, which shows stable characterizations over the UWB frequency band [69]. Figure 4-4.a shows the geometry of the antenna in LCP substrate. Layout of the antenna is simulated in ADS momentum and Figure 4-4.b shows the S11 return loss and the gain of the antenna. These parameters are used to co-design the chip and antenna.

Figure 4-4: Geometry, S11 and gain of the antenna on LCP substrate

4.4.2 SoC Integration Figure 4-5 shows the circuit model for SoC solution. Except antenna all the other

passive components are integrated on chip. Flip-chip packaging using solder bump is used due to the lower parasitic inductor (less than 0.08nH) compared with bound wire, which is modeled by Rbump, Lbump and Cbump [70]. The design goal is to have an output pulse with less substantial late-time ringing and high bandwidth, while it complies with the FCC spectral regulation. The required operation condition can be achieved through the amplitude and duration tunability, which is one of the advantages of the above transmitter design. Figure 4-6 shows the output pulse shape and its power spectral density in different pulse repetition rates.

Page 59: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

4.4 Case study 2: Impulse UWB Transmitter 43

L1

L2

C1

Lbump

Cbump

Rbump

Amplitude Control

Duration Control

Solder Bump Model

ESD Protection

Antenna Model from Momentum

Solder Bump Model

Solder Bump Model

CpRp

Vcc

Driver

CpRp

On Chip Components

Figure 4-5: Schematic of System-on-Chip implementation of UWB transmitter

(a) (b)

Figure 4-6: Output voltage (a) and radiated power spectral density (b) for SoC implementation

4.4.3 SoP Integration

In this case, all passive components are moved off the chip, in order to validate SoP solution for UWB packaging, and to confirm the ability of the module to compensate the parasitic effects of the packaging. Figure 4-7 shows the circuit model used for SoP chip-package and antenna co-design. ADS momentum simulation has been used to extract the models for the passive components and the antenna in substrate. Figure 4-8.a shows the output pulse shape and corresponding power spectral density in different pulse repetition rates. As can be seen, the tunable transmitter can adjust the output pulse shape to satisfy the FCC regulation in different pulse rates.

Page 60: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

44 4.4 Case study 2: Impulse UWB Transmitter

Lbump

Cbump

Rbump

Amplitude Control

Duration Control

Solder Bump Model

ESD Protection

Antenna Model from Momentum

Solder Bump Model

Solder Bump Model

CpRp

VccOn-chipVcc

Driver

Off-Chip Inductor

Off-Chip Cap.

CpRp

On Chip Components

Figure 4-7: Schematic of System-on-Package implementation of UWB transmitter

(a)

(b) Figure 4-8: Output voltage (a) and radiated power spectral density (b) for SoP

implementation

4.4.4 Results and Discussion Usually FoM (Figure of Merit) is used to describe the overall performance of RF

circuits. In order to describe the performance of our modules, a figure of merit is proposed. To establish a performance figure of merit, several key parameters must be taken into account. For low power applications such as RFID and wireless sensors, low standby and operating power consumption are desired. In impulse UWB system, short duration pulses represent data. Therefore, the output amplitude and settling time of the output pulse are taken into account. Although, UWB radio offers extremely large bandwidth, usually transmitters can not cover the whole available bandwidth. Therefore, the power spectral efficiency (PSE), which shows how much of the available spectrum is used by the output signal, is considered here. For road mapping purpose, it is preferable to have a performance measure independent of frequency. To meet the FCC regulation,

3.4 mm

1 mm

Page 61: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

4.4 Case study 2: Impulse UWB Transmitter 45

when the chip rate is varied, the output amplitude should be scaled by square root of the pulse rate frequency. On the other hand, power consumption is linearly increased by the pulse rate frequency. Assuming all of these parameters a FoM is defined as follow:

STBSET

oUWB PPT

fVPSEFoM

⋅⋅⋅⋅

=3

( 4-1)

Where

PSE Power spectral efficiency

OV Output amplitude in volt

SETT Settling time in ns

P Power consumption in nW

STBP Standby power in nW

f Pulse repetition rate in MHz

The performance merits and FoMs of SoC and SoP integrations are summarized in table 4-1. As we expected, the SoP module has lower FoM because of the larger parasitics introduced by the embedded passives. Although, higher FoM is expected if implementation cost and chip area are also considered. On the other hand, the results confirm the potential of SoP for UWB packaging and confirm the ability of the proposed tunable UWB transmitter to compensate the parasitic effects of the packaging and the antenna.

Table 4-1: Performance Merits

Solution SoC SoP Freq(MHz)

Merits 50 250 50 250

Amplitude Cont. (v) 0.75 1.12 1 1.24 Duration Cont. (v) 0 0.4 0 0.6 I av (µA) 206 479 119 373 Pav (nW) 371 863 215 673 PSE 19.25 31.73 10.97 15.71 Settling time (ns) 0.81 0.68 0.82 0.48 Vopeak (mV) 160 54 115 45 Iav-STB (nA) 1.83 2.3 Pdc-STB (nW) 3.3 4.1 FoM 1.10 3.50 0.62 2.11

Page 62: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

46 4.5 Conclusion

4.5 Conclusion System integration in LCP substrate has been investigated. As two case studies, a

power scavenging unit and a tunable UWB transmitter have been considered. The power scavenging unit has been implemented by the surface mounted Schottky diode and all other passive components including coupling capacitors and matching network have been implemented in substrate. Simulation results show that with less number of stages (2 stages) the required performance can be achieved compared with on-chip 7 stages standard CMOS integration. That is because of using the Schottky diode with low turn on voltage and low junction capacitor. The UWB transmitter has been implemented in two cases. First, in a System-on-Chip integration all components are considered to be integrated on chip however, in System-on-Package case passive components are moved off the chip. Chip-package co-design has been performed and by defining a figure-of-merit two solutions have been compared. Simulation results show lower FoM for SoP solution however higher FoM is expected if cost analysis is also performed. It also shows that the tunable UWB transmitter is able to compensate the parasitic of the packaging.

Page 63: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

47

CHAPTER 5

5 System Miniaturization

In this chapter, the effect of antenna miniaturization in an impulse UWB system/transceiver is investigated. A Modified small-size Printed Tapered Monopole Antennas (PTMA) are designed in different sizes. In order to evaluate the antennas performance and their functionality, the effect of each antenna is studied in a given impulse UWB system. It includes an impulse UWB transmitter and two kinds of UWB receivers based on correlation detection, and energy detection. The tunable low-power Impulse UWB transmitter is designed and the benefit of its co-design with the PTMA is investigated. A comparison is given between a 50Ω design and a co-designed approach. Our co-design methodology shows improvement in both transmitter efficiency and whole system performance. The simulation results show that the PTMA antenna and its miniaturized geometries are suitable for UWB applications.

Page 64: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

48 5.1 Introduction

5.1 Introduction In many applications such as RFID the size of the system is dominated by the antenna

dimension. Therefore it is very desirable to miniaturize the antenna in order to scale down the system size. In most small-size applications, the optimal solution would be to have the antenna integrated on the printed circuit board (PCB). However, the small-size antenna usually does not meet the traditional requirement of 50 Ω input impedance [71]. In addition, when the antenna is scaled down, its response is changed, which may cause a destructive effect on the pulse shape and consequently degrade the system performance. Therefore, the antenna characteristics should be considered in the earliest design phase, when extracting the individual requirement for each sub-system in a transceiver chain. However, the impact of the antenna until now has been given only limited attention [72, 73].

In this chapter, the miniaturization of the modified small-size PTMA antenna [74] is investigated for co-design with UWB transceiver in order to find the optimum trade off between antenna size miniaturization and its tolerable effect on system performance. A complete UWB transceiver including an impulse-UWB transmitter and two types of UWB receiver architectures based on Correlation Detection (CD) and Energy Detection (ED) are investigated. The tunable low-power Impulse UWB transmitter is co-designed with the antenna and the benefit of its co-design with the PTMA is investigated. A comparison is given between a 50Ω design and a co-designed approach. The whole system performance is estimated in terms of BER and the results are compared in two cases of co-design and normal 50Ω design methods.

5.2 PTMA UWB Antenna

In this section the antenna structure, a small-size, low-cost, PTMA antenna is described. The antenna structure consists of a tapered radiating element fed by a microstrip line is shown in Figure 5-1. The antenna is designed for the 3.1-10.6 GHz band on a high-resistive silicon substrate material (2000 Ωcm) with a dielectric constant εr of 11.9. The thickness of the copper metal layers is 5µm and its conductivity is 5.8e7 S/meter.

The original area of the PTMA antenna, 22×15.7 mm2, is still too large for integration on PCB with the transceiver [75]. Thus, the antenna should be miniaturized in a manner which has minimum degrading effects on the antenna performance. Regularly scaling down the antenna in the x and y dimensions changes the impedance as it works below the resonance. This can be seen in Figure 5-2. The antenna’s real impedance is lower than 50 Ω, and both the antenna’s real and imaginary impedance are shifted to upper frequencies when the antenna scaling factor (S) decreases from 1 to 0.4.

Figure 5-3 shows the maximum antenna directivity as a function of frequency for different antenna sizes. It is clear that the return loss is not included in the directivity calculation. Thus, using a smaller antenna, the directivity at each frequency doesn’t

Page 65: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.2 PTMA UWB Antenna 49

change significantly due to the fact that the antenna works similar to the main full PTMA.

To estimate the received pulse shape at receiver end, a transmission link composed of two modified PTMA antennas placed in front of each other at distance of 10 cm is simulated and extracted S-parameter is utilized to model the transmission link. Figure 5-4 shows the extracted S21 for different sizes of the PTMA antenna.

Figure 5-1: Top and bottom layer view of the UWB Antenna

Figure 5-2: PTMA antenna impedance vs. size scaling

y

y2

y1

y3

y4

y5

z x

Page 66: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

50 5.3 Impulse UWB Transmitter-Antenna Co-Design

Figure 5-3: Antenna directivity vs. size scaling

3 4 5 6 7 8 9 10-55

-50

-45

-40

-35

-30

-25

-20

Freq. (MHz)

S21

(dB

) Exc

ludi

ng th

e ef

fect

of S

11

s=0.4s=0.55s=0.7s=0.85s=1

Figure 5-4: S21 for different sizes of the PTMA antenna

5.3 Impulse UWB Transmitter-Antenna Co-Design

The analysis setup is shown in Figure 5-5. The impulse UWB transmitter is the same as previous chapter. In order to cope with unwanted package parasitic effects and to optimize the transmission efficiency, chip-antenna co-design has been performed for

3 4 5 6 7 8 9 10 110

1

2

3

4

5

Frequency (GHz)

max

imum

dire

ctiv

ity (d

B)

S=0.4S=0.55S=0.7S=0.85S=1

Page 67: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.3 Impulse UWB Transmitter-Antenna Co-Design 51

different-size antennas. The design goal is to have an output pulse with less substantial late-time ringing and high bandwidth, while it complies with the FCC spectral regulation.

The antennas with different sizes are modeled as a 1-port network by their extracted scattering parameters (SP). These 1-port networks are used as the load of the transmitter and the optimum design parameters are found for each antenna. The Effective Isotropic Radiated Power (EIRP) of the antenna is estimated as follows:

( ) )(21 22

11a

aa

aA VPSDjXR

RS

GEIRP ×+

×−

= ( 5-1)

Where GA, Ra, Xa, S11, Va are the gain, the resistance, the reactance, the S-parameter,

and the applied voltage of the antenna respectively. In order to prove our co-design methodology, the circuit is designed in two approaches. First, as in the conventional 50Ω approach, the circuit is designed with a pure 50Ω load and the performance of the circuit is examined in the presence of the real designed antennas. Secondly, in the co-design approach the extracted model for the designed antennas are considered as the load and for each antenna optimum design parameters are found. As can be seen later, the required operation condition can be achieved through the amplitude and duration tunability while all the components are kept constant, which is one of the advantages of the above transmitter design.

Figure 5-5: Schematic of the UWB-IR transmitter with the antenna (a), Antenna model for

EIRP estimation (b)

L1

L2

C1

Lbump

Cbump

Rbump

Amplitude Control

Duration Control

Solder Bump Model

Antenna Model

Solder Bump Model

Solder Bump Model

CpRp

Vcc

Driver

CpRp

On Chip Components

(a)

Ra

Xa

Va

+

-

(b)

Page 68: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

52 5.3 Impulse UWB Transmitter-Antenna Co-Design

5.3.1 Results and Discussion Figure 5-6 shows the output power spectral density (PSD) for the 50Ω design approach

at 100MHz pulse repetition rate. As can be seen, although the PSD fits the FCC regulation with 50Ω load, in the presence of the real antennas there is a large degradation in radiated power, because of the antenna return loss. Especially for the small-size antenna the PSD is much less than FCC limit, which reduces the system performance and operation distance.

In the co-design approach, as mentioned before, the antenna models are considered as the load and the transmitter is optimized to reach the FCC limit and increase the overall performance. Table 5-1 summarizes the resulting control voltages for the 100 MHz pulse repetition rate. The output pulse shapes and their radiated power spectral densities are shown in Figure 5-7 and Figure 5-8 respectively. As can be seen, due to the co-design and by tuning of voltage controls, the power spectral densities for all antennas are adjusted to have the maximum EIRP while they meet the FCC limitation as well.

A Figure-of-Merit (FoM) as equation 5-2 is used to compare the circuit performances.

dc

e

e

uWdc

wattRad

P

dfEIRP

PP

FoM.

96.10

91.3

)(

)( ∫== ( 5-2)

1 2 3 4 5 6 7 8 9 10 11 12

x 109

-80

-75

-70

-65

-60

-55

-50

-45

-40

Frequency

PS

D (d

Bm

/MH

z)

Ant S1Ant S0.85Ant S0.7Ant S0.5Ant S0.4Ant IdealFCC

Figure 5-6: Output PSD for the 50Ω-design case for different antenna sizes

Page 69: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.3 Impulse UWB Transmitter-Antenna Co-Design 53

0.5 1 1.5 2

x 10-9

-0.1

0

0.1Ant Ideal

0.5 1 1.5 2

x 10-9

-0.1-0.05

00.05

Ant S1

0.5 1 1.5 2

x 10-9

-0.1

0

0.1Ant S0.85

0.5 1 1.5 2

x 10-9

-0.1

0

0.1

Ant S0.7

0.5 1 1.5 2

x 10-9

-0.10

0.1

Ant S0.55

0.5 1 1.5 2

x 10-9

-0.2

0

0.2Ant S0.4

Tx output pulse shape @100MHz

Figure 5-7: Co-designed output pulse shape for a 100MHz pulse rate for different antenna sizes

1 2 3 4 5 6 7 8 9 10 11 12

x 109

-80

-75

-70

-65

-60

-55

-50

-45

-40

Frequency

PS

D (d

Bm

/MH

z)

Ant S1Ant S0.85Ant S0.7Ant S0.5Ant S0.4Ant IdealFCC

Figure 5-8: Co-designed PSD for 100MHz pulse rate for different antenna sizes

Page 70: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

54 5.3 Impulse UWB Transmitter-Antenna Co-Design

Table 5-2 compares the normalized FoM for the 50Ω and the co-design cases. The ideal antenna used as reference is a 50Ω antenna with 0dB gain. As can be seen, the FoM decreases fast in the 50Ω design case, while with the co-design approach higher FoM can be achieved. The antenna with scaling factor 1 has a FoM slightly higher than the reference antenna, because of its higher gain. As can be seen, most of the output power is around 6 GHz frequencies. Therefore the antenna with scale 0.7, which has higher radiation resistance in that frequency (Figure 5-2) radiate the same power with less current consumption as can be seen in Table 1. Therefore the antenna with scale 0.7 has higher FoM than scale 0.85 because of the reduction in current. In co-design case, the FoM improvement is clear, especially for smaller antennas. So in the case of antenna miniaturization the importance of co-design becomes more obvious.

Table 5-1: Co-Designed Simulation results summary

Parameters

Antenna

Amplitude Control

(V)

Duration Control

(V)

Idc (μA)

50 Ω 1.05 0.98 329

Scale 1 1.03 0.97 350

Scale 0.85 1.02 0.96 355

Scale 0.7 1 0.9 337

Scale 0.55 1 0.9 338

Scale 0.4 0.5 0.6 573

Table 5-2: Normalized FoMs

FoM Design

Antenna 50Ω

design Co-

Design Ideal 1 1 Scale 1 0.92 1.03 Scale 0.85 0.7 0.85 Scale 0.7 0.6 0.88 Scale 0.55 0.49 0.75 Scale 0.4 0.187 0.4

Page 71: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.4 Antenna Effects on UWB System Performance 55

5.4 Antenna Effects on UWB System Performance In order to study the effect of miniaturizing the antenna on the UWB system

performance, the designed antennas are entered in a given UWB transceiver and the performances in terms of BER are compared. Figure 5-9 shows a simple block diagram of the UWB transceiver. The described transmitter is considered as the UWB-Tx. The antenna and channel loss are estimated by a CST Studio analysis at a reference distance (d0=0.1 meter). An Additive White Gaussian Noise (AWGN) channel is considered in this study. Two kinds of UWB receiver with 50Ω input impedance are considered as the receiver as described later. No multipath fading and no interference are assumed.

Antenna and channel model Vrx Uwb Rx

Zin=50O

UWB Tx

Figure 5-9: Block diagram of UWB transceiver

Figure 5-10 shows the received pulse shape at reference distance d0=10 cm. For the ideal antenna a free space path loss is considered. By utilizing the free space path loss formula, the received signal at reference distance can be scaled for different distances by equations (3) and (4). [26]

20

// .0

⎟⎠⎞

⎜⎝⎛=

ddPP drdr ( 5-3)

ddtVtV drxdrx

0// ).()(

0= ( 5-4)

Where Vrx/d0 is the received pulse at reference distance of d0 and Vrx/d is the received pulse shape at given distance of d.

Two kinds of receiver, one based on Correlation Detection (CD) and one based on Energy Detection (ED) are considered as the receiver and for both cases the BER is evaluated. The analysis parameters are as follows:

• The pulse shape is the received pulses from Figure 5-10.

• The noise figure of the receiver is 8 dB.

• The channel is an AWGN channel and no interferences are considered.

Page 72: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

56 5.4 Antenna Effects on UWB System Performance

• Each bit is represented by Ns=10 pulses, resulting in a bit rate of 10 Mbps corresponding to 100MHz Pulse Repetition Rate (PRR).

• The modulation is Binary Phase Shift Keying (BPSK) and On-Off Keying (OOK) for the CD and ED receiver respectively.

• No transmission code is utilized in this study.

• The system is assumed to be synchronized perfectly.

0 0.5 1 1.5

x 10-9

-2

0

2x 10-3 Ant Ideal

0 0.5 1 1.5

x 10-9

-2

0

2x 10-3 Ant S1

0 0.5 1 1.5

x 10-9

-101

x 10-3 Ant S0.85

0 0.5 1 1.5

x 10-9

-1

0

1

x 10-3 Ant S0.7

0 0.5 1 1.5

x 10-9

-1

0

1

x 10-3 Ant S0.55

0 0.5 1 1.5

x 10-9

-505

10x 10-4 Ant S0.4

Received pulse shape @ D=10 cm

Figure 5-10: Received pulse shape at distance d0=10 cm

5.4.1 Correlation Detection Figure 5-11 shows a simple block diagram of correlation detection. The incoming

signal r(t) is multiplied by a template waveform w(t), and is integrated over the entire Ns pulses. The same pulse shape as the transmitted pulse is used as the template waveform [25].

Page 73: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.4 Antenna Effects on UWB System Performance 57

Figure 5-11: Correlation Detection block diagram

The received signal can be written as

)()...()(1

0tnTjTNitpbtr

i

N

jffsri

s

++−=∑∑−

= ( 5-5)

where pr(t) is the received pulse shape, bi=±1 is the data bit for BPSK modulation, Tf is the pulse period, Ns is the number of pulses per bit, and n(t) is the additive white Gaussian noise with double-sided spectral density of σn

2=N0/2. The signal at the detection block can be written as

∫ ∫∫ +== f fi T T

ris

T

id dttwtnNsdttwtpbNdttwtrtr0 00/ )().()().(.)().()( ( 5-6)

where Ti is the integration window, Tf is the pulse period and w(t) is the local template pulse which is 2nd derivative Gaussian pulse shape in this study. The mean value and variance of rd(t) can be written as

∫ ±=== fT

irisidi bdttwtpbNtrE0/ 1).().(..))((μ ( 5-7)

∫=−= fT

snd dttwNrE0

22222 ).(.)( σμσ ( 5-8)

The probability density function of rd(t) can be expressed as

⎟⎟⎠

⎞⎜⎜⎝

⎛ −−= 2

2

2)(exp

21)(

σμ

σπi

ixxpdf i=0,1 for bit 0 and 1 ( 5-9)

The error probability can be estimated by combining the two conditional probability functions of p(1/0) and p(0/1) as:

⎟⎟⎠

⎞⎜⎜⎝

⎛=+==

σμi

e QppPBER )1/0(5.0)0/1(5.0 ( 5-10)

where

Page 74: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

58 5.4 Antenna Effects on UWB System Performance

⎟⎠⎞

⎜⎝⎛=

2.5.0)( xerfcxQ ( 5-11)

5.4.2 Energy Detection A nominal energy detection block diagram is shown in Figure 5-12. The incoming

signal after amplification and filtering is squared and integrated over the symbol period. The decision is made based on whether there is a pulse or not [26].

Figure 5-12: Energy Detection block diagram

The received signal can be written as

)()()()...()(1

0tntstnTjTNitpbtr i

i

N

jffsri

s

+=++−=∑∑−

= ( 5-12)

where bi=0/1 is the data bits for OOK modulation. The signal at the detection block can be written as

∫∫ ∫∫ ++== spp sp NTT NT

iis

T

id dttndttntsdttsNdttrtr.

0

2

0

.

0

2

0

2/ ).().().(2).()()( ( 5-13)

where Tp is the pulse duration. The first part is the signal part which is deterministic. The second term is a zero mean Gaussian noise and the last part is a non-central chi-squared random process with 2M=2.Bw.Ti.Ns+1 degrees of freedom where Ti is the integration window and Bw is the signal bandwidth [76]. It has been shown that the chi-squared probability distribution function (pdf) can be approximated as a Gaussian process as the degrees of freedom is increased [77]. Then the means and variances of the signal rd(t) for bits 0 and 1 can be expressed as

00 .NM=μ ( 5-14)

20

20 .NM=σ ( 5-15)

bENM += 01 .μ ( 5-16)

020

21 ..2. NENM b+=σ ( 5-17)

Page 75: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.4 Antenna Effects on UWB System Performance 59

where μ0 and σ0 are the mean value and variance of signal for bit 0, μ1 and σ1 for bit 1 and Eb is the received energy per symbol as

dttpE ps TN

rb ).(.

0

2∫= ( 5-18)

As can be seen from equation (5-17) and (5-18), the larger the integration window, the higher the variance in the signal. The optimum value for the integration window can be found as to increase the signal to noise ratio [78]. In this study the signal is integrated over the pulse duration.

The decision threshold γ is located at the intersection of two Gaussian pdfs for bits 0 and 1, and can be evaluated from

)()( 10 γγ pdfpdf = ( 5-19)

The BER can be evaluated by the two probabilities of false alarm and detection, which can expressed as follows [76] .

⎟⎟⎠

⎞⎜⎜⎝

++≈=

020

NEMMNEQPBER

b

be ( 5-20)

5.4.3 Results and Discussion The performances of the designed antennas are evaluated in the two described systems.

In order to evaluate the performance versus antenna scaling factor, the transmitted pulse shapes for each antenna are applied to the system and the system performances are evaluated in terms of BER and compared. The achievable operation distance with BER better than 10-5 is shown in Figure 5-13.

As can be seen, the operating distance is decreased by the scaling factor of the antenna. This reduction is caused by the degradation in the antenna efficiency, gain and radiation properties introduced by the smaller antennas. Figure 5-14 and Figure 5-15 show the BER performance versus distance for the two kinds of receivers and compare the results for the non-co-design and co-design methods. It shows the improvement in performance by doing co-design between antenna and transmitter compared to a standard 50Ω design. Figure 5-16 shows the amount of improvement in terms of operation distance at BER less than 10-5 in comparison with the non-co-design case. As can be seen, in the co-design method distance improvement is huge (up to 100%) for the small size antenna, at the expense of an average current consumption up to 74% compared with the non-co-designed current. It shows that the co-design methodology is highly important in small-size antenna.

Page 76: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

60 5.4 Antenna Effects on UWB System Performance

1 0.85 0.7 0.55 0.410-1

100

101

102

Antenna Scale Factor

Dis

tanc

e (m

eter

)

OOKOOK-CodesignBPSKBPSK-Codesign

Figure 5-13: Operation distance at BER<10-5 @10Mbps

2 4 6 8 10 12 14 16 1810-6

10-5

10-4

10-3

10-2

10-1

100

Distance (meter)

BE

R

BPSK @100Mhz and 10 Mbps

Scale 1Scale 0.85Scale 0.7Scale 0.55Scale 0.4Co-des-S1Co-des-S0.85Co-des-S0.7Co-des-S0.55Co-des-S0.4

Figure 5-14: Comparison of BER of correlation receiver versus distance with and without co-

design

Page 77: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

5.4 Antenna Effects on UWB System Performance 61

0.5 1 1.5 2 2.5 3 3.5 4 4.510-6

10-5

10-4

10-3

10-2

10-1

100

Distance (meter)

BE

R

OOK @100MHz and 10Mbps

Scale 1Scale 0.85Scale 0.7Scale 0.55Scale 0.4Co-des-S1Co-des-S0.85Co-des-S0.7Co-des-S0.55Co-des-S0.4

Figure 5-15: Comparison of BER of ED receiver versus distance with and without antenna

co-design

1 0.85 0.7 0.55 0.40

10

20

30

40

50

60

70

80

90

100

Antenna Scale factor

Incr

emen

t (%

)

Distance-OOKDistance-BPSKCurrent Consumtion

Figure 5-16: Co-design performance improvement and power consumption increment

Page 78: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

62 5.5 Conclusions

5.5 Conclusions The effect of antenna miniaturization in a UWB system has been investigated. A

PTMA UWB antenna has been scaled down, and the chip-antenna co-design has been performed. The antennas and the UWB transmitter performances have been evaluated in two types of UWB systems in an AWGN channel: Correlation Detection and Energy Detection UWB have been studied. The simulation results of the UWB-IR transmitter and antenna co-design show that the standard 50Ω design technique can not reach the best condition in all cases when a real antenna is placed into the system. Performance can be improved significantly when doing co-design. It has been shown that the operating distance at a target performance is reduced with the antenna scaling. To compensate this reduction, the transmitting power can be increased, but only up to the limit of violating the mask regulations. The results show that antenna-chip co-design needs to be considered in the earliest phases of the design flow in order to obtain the maximum system performance, especially when the small size antenna is desired.

Page 79: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

63

CHAPTER 6

6 Conclusion and Future Work

6.1 Conclusion In this thesis, we have investigated the design and implementations of ultra-wideband

impulse radio for wireless sensing and identification systems. A wireless sensor network based on UWB impulse radio has been proposed, focusing on low power and low cost implementation. To meet the power and cost constraint in sensor nodes, a novel autonomous UWB detection has been proposed. The performance of the autonomous detection has been evaluated in term of BER and it shows the performance close to TR-UWB, when timing jitter is not considered. It also shows that the proposed detection is very robustness to timing jitter and time mismatch, which reduces the synchronization and clock requirement significantly.

A novel wireless identification and sensing system based on UWB impulse radio has been proposed. Our innovative contribution is to employ two different communication links (UWB and UHF) respectively in uplink and downlink of the tag. This is because the amount of data or instruction from a reader to a tag is very few and a normal communication link as conventional UHF-RFID at 900 MHz can be used as downlink. UHF signal also provides remote power to the tag. The uplink requires a link with higher data rate and precise positioning capability, therefore an UWB-IR transmitter is employed. A logic control core has been designed for the proposed communication

Page 80: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

64 6.2 Recommendation for Future Work

protocol based on slotted-ALOHA anti-collision algorithm. Simulation results show the throughput more than 2000 tags/s resulting in a great improvement compared with normal RFID system which is at most 1000 tags/s. To verify the system concept, a single chip implementation of the tag has been fabricated in UMC 0.18µm CMOS process. Measurements results show the input sensitivity of -18.5 dBm (14.1 μW) and adaptive data rate up to 10 Mb/s. It corresponds to 13.9 meters operation range considering 4W EIRP, a matched antenna to the tag with 0dB gain, and free space path loss, which is a great improvement in operation distance and data rate, compared with existing passive RFID.

System integration in a LCP substrate has been investigated. As two case studies, a power scavenging unit (PSU) and a UWB transmitter have been studied. Simulation results of the PSU show that the required performance can be achieved with less number of stages (2 stages) compared with standard CMOS on-chip integration (7 stages). That is because of the usage of Schottky diode with low forward voltage drop. The UWB transmitter has been designed in two cases of System-on-Chip (SoC), and System-on-Package (SoP). Chip-package-antenna co-design has been performed. Although SoP shows lower FoM but, higher FoM is expected for SoP if cost analysis is performed. On the other hand, the simulation results show the feasibility of SoP implementation for UWB system.

Miniaturize system integration have been studied through out UWB antenna miniaturization and the effects of antenna scaling have been investigated. A PTMA UWB antenna has been scaled down and the chip-antenna co-design of a tunable impulse-UWB transmitter has been done. The antennas and UWB system performance have been also evaluated. The simulation results show that the standard 50Ω design technique can not reach the best condition in all cases when a real antenna is placed into the system. Performance can be improved significantly when doing co-design. The results show that antenna-chip co-design needs to be considered in the earliest phases of the design flow in order to obtain the maximum system performance, especially when the small size antenna is desired.

6.2 Recommendation for Future Work In following of this thesis and to make the complete proposed system work, further

research is required to be done. The following are suggestions:

• Reader implementation: Asymmetric reader with UWB and UHF uplink and downlink is required. Different UWB receiver architectures need to be investigated to find the optimum solution for the proposed system in different applications.

• Synchronization: Since the available power for transmission from a tag to a reader is limited, a fast and efficient synchronization algorithm is essential.

• Localization: For localization application, accurate synchronization in pulse level is demanded to increase the positioning accuracy. Since the transmitted pulse by the

Page 81: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

6.2 Recommendation for Future Work 65

tag is synchronized to the incoming RF signal, the idea is to use the RF signal in the reader to estimate the time-of-arrival (ToA) of the pulses.

• Security: The feasibility of time hopping can be investigated as a possible solution for adding more security to the system.

• Standard: To make the tag compatible to other existing UWB devices, it would be very interesting to study the feasibility of implementing the IEEE 802.15.4.a (LR-WPAN) standard in proposed battery-less tag.

• Low power sensor: Implementation of low power sensors, which can operate with limited available remote power, on chip or on package is an attractive topic.

• Antenna integration: Different technologies can be considered for antenna integration such as on-chip antenna and printing technology focusing on low cost and small form factor integration.

Page 82: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal
Page 83: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

67

References [1] "The Internet of Things," International Telecommunication Union (ITU) Internet

Report 2005. [2] J. P. Conti, "The Internet of things," Communications Engineer, vol. 4, pp. 20-25,

2006. [3] Z. Li-Rong, M. B. Nejad, S. Rodriguez, Z. Lu, C. Cairong, and H. Tenhunen,

"System-on-flexible-substrates: electronics for future smart-intelligent world," in High Density Microsystem Design and Packaging and Component Failure Analysis, 2006. HDP'06. Conference on, 2006, pp. 29-36.

[4] M. M. Tentzeris, L. Yang, A. Rida, A. Traille, R. Vyas, and T. Wu, "RFID's on Paper using Inkjet-Printing Technology: Is it the first step for UHF Ubiquitous "Cognitive Intelligence" and "Global Tracking"?," in RFID Eurasia, 2007 1st Annual, 2007, pp. 1-4.

[5] J. M. Rabaey, J. Ammer, T. Karalar, L. Suetfei, B. Otis, M. Sheets, and T. Tuan, "PicoRadios for wireless sensor networks: the next challenge in ultra-low power design," in Solid-State Circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002 IEEE International, 2002, pp. 200-201 vol.1.

[6] T. Sanchez Lopez, K. Daeyoung, and P. Taesoo, "A service framework for mobile ubiquitous sensor networks and RFID," in Wireless Pervasive Computing, 2006 1st International Symposium on, 2006, pp. 6 pp.-6.

[7] M. Baghaei Nejad, Z. Zou, D. S. Mendoza, H. Tenhunen, and L.-R. Zheng, "Enabling UbiquitousWireless Sensing by a Novel RFID-Based UWB Module," in First International EURASIP Workshop on RFID Technology, Vienna, Ausria, 2007.

[8] Z. Zou, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "An Efficient Passive RFID System for Ubiquitous Identification and Sensing Using Impulse UWB Radio," e+i journal of OVE, Dec. 2007.

[9] R. Want, "Enabling ubiquitous sensing with RFID," Computer, vol. 37, pp. 84-86, 2004.

[10] G. Werner-Allen, K. Lorincz, M. Ruiz, O. Marcillo, J. Johnson, J. Lees, and M. Welsh, "Deploying a wireless sensor network on an active volcano," Internet Computing, IEEE, vol. 10, pp. 18-25, 2006.

[11] K. Finkenzeller, RFID-Handbook: Fundamentals and Applications in Contactless Smart Cards and Identification, 2nd ed.: Wiley & Sons LTD 2003.

[12] D. M. Dobkin, The RF in RFID: passive UHF RFID in practice: Elsevier Inc., 2008. [13] Z. Lei and W. Zhi, "Integration of RFID into Wireless Sensor Networks:

Architectures, Opportunities and Challenging Problems," in Grid and Cooperative Computing Workshops, 2006. GCCW '06. Fifth International Conference on, 2006, pp. 463-469.

[14] K. Opasjumruskit, T. Thanthipwan, O. Sathusen, P. Sirinamarattana, P. Gadmanee, E. Pootarapan, N. Wongkomet, A. Thanachayanont, and M. Thamsirianunt, "Self-powered wireless temperature sensors exploit RFID technology," Pervasive Computing, IEEE, vol. 5, pp. 54-61, 2006.

Page 84: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

68 References

[15] J. Koch, J. Wettach, E. Bloch, and K. Berns, "Indoor Localisation of Humans, Objects, and mobile Robots with RFID Infrastructure," in Hybrid Intelligent Systems, 2007. HIS 2007. 7th International Conference on, 2007, pp. 271-276.

[16] J. Guang-yao, L. Xiao-yi, and P. Myong-Soon, "An Indoor Localization Mechanism Using Active RFID Tag," in Sensor Networks, Ubiquitous, and Trustworthy Computing, 2006. IEEE International Conference on, 2006, pp. 40-43.

[17] M. N. Lionel, Y. Liu, Y. C. Lau, and A. P. Patil, "LANDMARC: Indoor location sensing using active RFID," Wireless Networks, vol. 10, pp. 701-710, 2004.

[18] "GAO RFID Inc. ," www.gaorfid.com. [19] D. S. Ha and P. R. Schaumont, "Replacing Cryptography with Ultra Wideband

(UWB) Modulation in Secure RFID," in RFID, 2007. IEEE International Conference on, 2007, pp. 23-29.

[20] X. Duo, T. Torikka, Z. Li-Rong, M. Ismail, H. Tenhunen, and E. Tjukanoff, "A DC-13GHz LNA for UWB RFID applications," in Norchip Conference, 2004. Proceedings, 2004, pp. 241-244.

[21] F. U. Dowla, "Long-Range Ultra-Wideband Radio-Frequency Identification," LLNL Engineering 2004.

[22] L. Seong-Soo, H. Sang-Min, S. Mi-Hyun, A. Dmitriev, and A. Panas, "Low power UWB RF transceiver for wireless headset," in Radio-Frequency Integration Technology: Integrated Circuits for Wideband Communication and Wireless Sensor Networks, 2005. Proceedings. 2005 IEEE International Workshop on, 2005, pp. 61-64.

[23] L. Stoica, A. Rabbachin, H. O. Repo, T. S. Tiuraniemi, and I. Oppermann, "An ultrawideband system architecture for tag based wireless sensor networks," Vehicular Technology, IEEE Transactions on, vol. 54, pp. 1632-1645, 2005.

[24] N. Patwari, J. N. Ash, S. Kyperountas, A. O. Hero, III, R. L. Moses, and N. S. Correal, "Locating the nodes: cooperative localization in wireless sensor networks," Signal Processing Magazine, IEEE, vol. 22, pp. 54-69, 2005.

[25] K. Siwiak and D. McKeown, Ultra Wideband Radio Technology: John Wiley & Sons Ltd, 2004.

[26] J. H. Reed, An Introduction to Ultra Wideband Communication Systems: Prentice Hall PTR, 2005.

[27] M. Ghavami, L. B. Michael, and R. Kohno, Ultra Wideband Signals and Systems in Communication Engineering: John Wiley & Sons, 2004.

[28] FCC, "First report and order," Available online http://hraunfoss.fcc.gov/edocs_public/attachmatch/FCC-02-48A1.pdf, 2002.

[29] "IEEE 802.15 WPAN Low Rate Alternative PHY Task Group 4a " available online http://ieee802.org/15/pub/TG4a.html.

[30] "Electronic Product Code," EPC Global (Online) http://www.epcglobalinc.org/home.

[31] "ISO Standards 18000," ISO (Online) http://www.iso.ch. [32] M. Baghaei Nejad and Z. Li-Rong, "An innovative receiver architecture for

autonomous detection of ultra-wideband signals," in Circuits and Systems, 2006. ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, 2006, p. 4 pp.

Page 85: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

References 69

[33] M. Baghaei Nejad, M. Shen, T. Koivisto, T. Peltonen, E. Tjukanoff, H. Tenhunen, and L.-R. Zheng, "UWB radio module design for wireless sensor networks," Analog Integrated Circuits and Signal Processing, vol. 50, pp. 47-57, 2007.

[34] C. Chen, M. Baghaei Nejad, and L.-R. Zheng, "Design and Implementation of a High Efficient Power Converter for Self-Powered UHF RFID Applications," in Industrial and Information Systems, First International Conference on, 2006, pp. 393-395.

[35] M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Power Management and Clock Generator for a Novel Passive UWB Tag," in System-on-Chip, 2007 International Symposium on, Tampere, Finland, 2007, pp. 82-85.

[36] M. Baghaei Nejad, C. Chen, H. Tenhunen, and L.-R. Zheng, "An Innovative Semi-UWB Passive Transponder for Wireless Sensor and RFID Applications," in Industrial and Information Systems, First International Conference on, 2006, pp. 310-315.

[37] S. M. David, M. Baghaei-Nejad, H. Tenhunen, and L.-R. Zheng, "Low Power Tunable CMOS I-UWB Transmitter Design," in IEEE 2007 Norchip, 19-20 November 2007, Aalborg, Denmark, 2007.

[38] Y. Niu, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Design of a Digital Baseband Processor for UWB Transceiver on RFID Tag," in Advanced Information Networking and Applications Workshops, 2007, AINAW '07. 21st International Conference on, 2007, pp. 358-361.

[39] Z. Zou, M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Baseband Design for Passive Semi-UWB Wireless Sensor and Identification Systems," in IEEE International SoC Conference SoCC 07, 2007.

[40] M. Baghaei Nejad, Z. Zou, H. Tenhunen, and L.-R. Zheng, "A Novel Passive Tag with Asymmetric Wireless Link for RFID and WSN Applications," in Circuits and Systems, 2007. ISCAS 2007. IEEE International Symposium on, 2007, pp. 1593-1596.

[41] Z. Zou, M. Baghaei-Nejad, H. Tenhunen, and L. R. Zheng, "An efficient passive RFID system for ubiquitous identification and sensing using impulse UWB radio," Invited paper, e & i Elektrotechnik und Informationstechnik, Springer Wien, vol. 124, pp. 397-403, 2007.

[42] M. Baghaei-Nejad, D. S. Mendoza, Z. Zou, S. Radiom, G. Gielen, L.-R. Zheng, and H. Tenhunen, "A Remote-Powered RFID Tag with 10Mb/s UWB Uplink and -18.5dBm-Sensitivity UHF Downlink in 0.18µm CMOS," Accepted to IEEE International Solid-State Circuits Conference, 2009.

[43] M. Baghaei Nejad, H. Tenhunen, and L.-R. Zheng, "Chip-Package and Antenna Co-Design of a Tunable UWB Transmitter in System-on-Package with On-Chip versus Off-Chip Passives," in Electronics Systemintegration Technology Conference, 2006. 1st, 2006, pp. 291-298.

[44] S. Radiom, M. Baghaei-Nejad, G. A. E. Vandenbosch, H. Tenhunen, L.-R. Zheng, and G. Gielen, "Antenna Miniaturization Influence on the Performance of Impulse Radio UWB system," in The European Microwave Week, Amsterdam, Netherland, 2008.

[45] M. Baghaei-Nejad, S. Radiom, G. A. E. Vandenbosch, H. Tenhunen, L.-R. Zheng, and G. Gielen, "Impulse UWB Antenna size reduction due to Transmitter-Antenna

Page 86: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

70 References

Co-design," in The 2008 IEEE International Conference on Ultra-Wideband, ICUWB 2008, Hannover, Germany, 2008.

[46] M. Baghaei-Nejad, S. Radiom, G. A. E. Vandenbosch, L.-R. Zheng, and G. Gielen, "Miniaturization of UWB Antennas and its Influence on UWB-Transceiver Performance," Submitted to IEEE Transaction on Microwave Theory and Techniques, 2008.

[47] K. Sohraby, D. Minoli, and T. Znati, Wireless sensor networks: technology, protocols, and applications: John Wiley & Sons, Inc., 2007.

[48] W. M. Lovelace and J. K. Townsend, "The effects of timing jitter and tracking on the performance of impulse radio," Selected Areas in Communications, IEEE Journal on, vol. 20, pp. 1646-1651, 2002.

[49] U. Karthaus and M. Fischer, "Fully integrated passive UHF RFID transponder IC with 16.7-/spl mu/W minimum RF input power," Solid-State Circuits, IEEE Journal of, vol. 38, pp. 1602-1608, 2003.

[50] M. Solutions, "The RFID Revolution Starts Now," http://www.multispectral.com/products/sapphire.htm, 2005.

[51] I. Guvenc and H. Arslan, "On the modulation options for UWB systems," in Military Communications Conference, 2003. MILCOM 2003. IEEE, 2003, pp. 892-897 Vol.2.

[52] Z. Yuanjin, M. Annamalai Arasu, W. King-Wan, T. Yen Ju, A. P. H. Suan, T. Duy Duong, Y. Wooi Gan, and K. Dim-Lee, "A 0.18um CMOS 802.15.4a UWB Transceiver for Communication and Localization," in Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International, 2008, pp. 118-600.

[53] M. Demirkan and R. R. Spencer, "A 1.8Gpulses/s UWB Transmitter in 90nm CMOS," in Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International, 2008, pp. 116-600.

[54] Y. Jun, K. Wing-Hung, and T. Chi-Ying, "Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications," Circuits and Systems I: Regular Papers, IEEE Transactions on [Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions on], vol. 54, pp. 153-166, 2007.

[55] T. Preetam, "A CMOS bandgap reference with correction for device-to-device variation," in Circuits and Systems, 2004. ISCAS '04. Proceedings of the 2004 International Symposium on, 2004, pp. I-397-400 Vol.1.

[56] G. D. Vita, G. Iannaccone, and P. Andreani, "A 300 nW, 12 ppm/C Voltage Reference in a Digital 0.35 um CMOS Process," in VLSI Circuits, 2006. Digest of Technical Papers. 2006 Symposium on, 2006, pp. 81-82.

[57] B. Razavi, Design of Analog CMOS Integrated Circuits: McGraw-Hill, 2000. [58] M. Motoyoshi and M. Fujishima, "43µW 6GHz CMOS Divide-by-3 Frequency

Divider Based on Three-Phase Harmonic Injection Locking," in Solid-State Circuits Conference, 2006. ASSCC 2006. IEEE Asian, 2006, pp. 183-186.

[59] R. Barnett, G. Balachandran, S. Lazar, B. Kramer, G. Konnail, S. Rajasekhar, and V. Drobny, "A Passive UHF RFID Transponder for EPC Gen 2 with -14dBm Sensitivity in 0.13¿m CMOS," in Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International, 2007, pp. 582-623.

Page 87: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

References 71

[60] B. Gomez, G. Masson, P. Villard, G. Robert, F. Dehmas, and J. Reverdy, "A 3.4Mb/s RFID Front-end for Proximity Applications Based on a Delta-modulator," in Solid-State Circuits Conference, 2006. ISSCC 2006. Digest of Technical Papers. IEEE International, 2006, pp. 1211-1217.

[61] R. R. Tummala and V. K. Madisetti, "System on chip or system on package?," Design & Test of Computers, IEEE, vol. 16, pp. 48-56, 1999.

[62] E. Davidson, "SoC or SoP? A balanced approach!," in Electronic Components and Technology Conference, 2001. Proceedings., 51st, 2001, pp. 529-534.

[63] Z. Li-Rong, D. Xinzhong, B. N. Majid, R. Saul, M. Ismail, and T. Hannu, "On-Chip versus Off-Chip Passives in Radio and Mixed-Signal System-on-Package Design," in Electronics Systemintegration Technology Conference, 2006. 1st, 2006, pp. 221-232.

[64] Z. Li-Rong, D. Xinzhong, M. Shen, W. Michielsen, and H. Tenhunen, "Cost and performance tradeoff analysis in radio and mixed-signal system-on-package design," Advanced Packaging, IEEE Transactions on [see also Components, Packaging and Manufacturing Technology, Part B: Advanced Packaging, IEEE Transactions on], vol. 27, pp. 364-375, 2004.

[65] P. Wambacq, S. Donnay, P. Pieters, W. Diels, K. Vaesen, W. De Raedt, E. Beyne, M. Engels, and I. Bolsens, "Chip-package co-design of a 5 GHz RF front-end for WLAN," in Solid-State Circuits Conference, 2000. Digest of Technical Papers. ISSCC. 2000 IEEE International, 2000, pp. 318-319.

[66] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, "Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz," Microwave Theory and Techniques, IEEE Transactions on, vol. 52, pp. 1343-1352, 2004.

[67] X. Duo, Z. Li-Rong, H. Tenhunen, L. Chen, G. Zou, and J. Liu, "Design and implementation of a 5GHz RF receiver front-end in LCP based system-on-package module with embedded chip technology," in Electrical Performance of Electronic Packaging, 2003, 2003, pp. 51-54.

[68] Z. Gang, G. Hans, and L. Johan, "Integrated Capacitors and Resistors on Liquid Crystal Polymer Substrate," in High Density Microsystem Design and Packaging and Component Failure Analysis, 2005 Conference on, 2005, pp. 1-4.

[69] Z. N. Low, J. H. Cheong, and C. L. Law, "Low-cost PCB antenna for UWB applications," Antennas and Wireless Propagation Letters, vol. 4, pp. 237-239, 2005.

[70] S. Afonso, L. W. Schaper, J. P. Parkerson, W. D. Brown, S. Ang, and H. A. Naseem, "Modeling and electrical analysis of seamless high off-chip connectivity (SHOCC) interconnects," Advanced Packaging, IEEE Transactions on [see also Components, Packaging and Manufacturing Technology, Part B: Advanced Packaging, IEEE Transactions on], vol. 22, pp. 309-320, 1999.

[71] S. Radiom, G.Vandenbosch, and G.Gielen, "Miniaturization of UWB Antennas and its Influence on Antenna-Transceiver Performance," in IWAT, Chiba, Japan, 2008, pp. 482-485.

[72] A. O. Boryssenko and D. H. Schaubert, "Antenna-Circuit-Signal Co-Design for UWB Systems," in Ultrawideband and Ultrashort Impulse Signals, The Third International Conference, 2006, pp. 97-102.

Page 88: Ultra Wideband Impulse Radio for Wireless Sensing - DiVA Portal

72 References

[73] M. Pelissier, F. Demeestere, F. Hameau, D. A. M. D. Morche, and C. A. D. C. Delaveaud, "LNA-antenna codesign for UWB systems," in Circuits and Systems, 2006. ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, 2006, pp. 4711-4714.

[74] S.Radiom, G.Vandenbosch, and G.Gielen, "A Small-Size Taperd Monopole Antenna for UWB Applications Designed by Genetic Algorithm," in Radio and Wireless Symposium, Florida, USA, 2008.

[75] S. Radiom, H. Aliakbarian, G. Vandenbosch, and G. Gielen, "A modified small-size tapered monopole antenna for UWB applications designed by genetic algorithm," in Radio and Wireless Symposium, 2008 IEEE, 2008, pp. 323-326.

[76] P. A. Humblet and M. Azizoglu, "On the bit error rate of lightwave systems with optical amplifiers," Lightwave Technology, Journal of, vol. 9, pp. 1576-1582, 1991.

[77] J. Proakis, Digital Communications, 4th ed.: McGraw-Hill 2000. [78] M. E. Sahin, I. Guvenc, and H. Arslan, "Optimization of energy detector receivers

for UWB systems," in Vehicular Technology Conference, 2005. VTC 2005-Spring. 2005 IEEE 61st, 2005, pp. 1386-1390 Vol. 2.