ultrascale fpgas transceivers wizard v1 - xilinx · 2020. 10. 2. · ultrascale fpgas transceivers...

115
UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG182 2017 10 4 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Upload: others

Post on 11-Oct-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7

LogiCORE IP 製品ガイド

Vivado Design Suite

PG182 2017 年 10 月 4 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

目次

第 1 章: 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2 章: 製品仕様ウ ィザード IP の基本概念 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

パフォーマンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

第 3 章: コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

リセッ ト コン ト ローラー ヘルパー ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

ユーザーデータ幅のサイズ変更ヘルパー ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

ト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

ト ランシーバー コモン プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

第 4 章: デザイン フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

第 5 章: サンプル デザインサンプル デザインの目的 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

階層および構造 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

リ ンク ステータスおよび初期化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

VIO コア インスタンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

In-System IBERT コアのインスタンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

便利な機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

サンプル デザインの変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

サンプル デザインの制限事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

第 6 章: テストベンチサンプル デザインのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

シ ミ ュレーシ ョ ンの動作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 2PG182 2017 年 10 月 4 日

Page 3: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

付録 A: 移行およびアップグレードVivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

旧バージ ョ ンからのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

従来のデバイス ファ ミ リからの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

付録 B: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

Vivado Design Suite のデバッグ機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

付録 C: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 3PG182 2017 年 10 月 4 日

Page 4: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 4PG182 2017 年 10 月 4 日

はじめにUltraScale™ FPGAs Transceivers Wizard は、 1 つまたは複数のシ リ アル ト ランシーバーの設定に有用な IP コアです。 各種の業界標準規格をサポート したプリセッ ト設定を選択すること も、 すべてのパラ メーターをユーザーが指定するこ と もできます。UltraScale FPGAs Transceivers Wizard は柔軟性が高く、ト ランシーバー、設定したオプシ ョ ン、任意に有効化したポート を持つカスタマイズした IP コアを生成します。また、一般的な機能をシンプルにする各種ヘルパー ブロ ッ ク もオプシ ョ ンで含める こ とができます。 さ らに、 UltraScale FPGAsTransceivers Wizard で生成されるサンプル デザインを利用する と、 シ ミ ュレーシ ョ ンおよびハード ウェア環境でのデモが容易になり ます。

機能• 業界標準規格に対応した ト ランシーバー設定をプリセッ

ト と して用意

• シンプルで直感的な機能選択フロー

• ト ランシーバーのパラ メーターを自動で設定

• 性能を 適化する高度なオプシ ョ ン

• ト ランシーバー サイ トおよび基準クロ ッ クの選択インターフェイス

• ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにするヘルパー ブロッ ク

• コンフ ィギュレーシ ョ ン設定に応じて任意のト ランシーバー ポート をオプシ ョ ンで外部へ引き出すこ とが可能

• 設定可能な PRBS ジェネレーター /チェッカーおよびリンク ステータス インジケーターを含むサンプル デザインによ り、 シ ミ ュレーシ ョ ンおよびハード ウェア環境で機能デモが可能

• 各ヘルパー ブロ ッ クはコア内またはサンプル デザインに配置可能 (前者は簡単に利用でき、 後者はユーザーによるカスタマイズが可能)

• UltraScale および UltraScale+ アーキテクチャをサポート

IP の概要

この LogiCORE™ IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)

UltraScale+™ ファ ミ リ

Kintex® UltraScale FPGAVirtex® UltraScale FPGA

サポート される

ユーザー インターフェイス

該当なし

リ ソース 表 2-2 を参照

コアに含まれるもの

デザイン ファ イル

RTL

サンプル デザイン

Verilog

テス トベンチ Verilog

制約ファイル ザイ リ ンクス デザイン制約ファ イル (.xdc)

シ ミ ュレーシ ョ ン モデル

SecureIP ト ランシーバー シ ミ ュレーシ ョ ン

モデルを含むソース HDL

サポート される ソフ ト ウェア ド ラ イバー

なし

テスト済みデザイン フロー

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポー ト されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド :リ リース ノー ト 、 インス トールおよび

ラ イセンス』 を参照

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記: 1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

Page 5: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 5PG182 2017 年 10 月 4 日

第 1 章

概要UltraScale™ FPGAs Transceivers Wizard は、ザイ リ ンクスの UltraScale または UltraScale+™ デバイスの 1 つまたは複数のシ リアル ト ランシーバーを簡単な方法で設定および使用できるよ うにする IP コアです。コアの詳細は、第 2 章「製品仕様」 を参照してください。

この製品ガイ ドでは、 このウ ィザード IP コアについて説明します。 シ リ アル ト ランシーバーの動作および使用法の詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

機能概要ウ ィザード には、 次のよ うな特長があ り ます。

• 抽象度の高い Vivado 統合設計環境 (IDE) によるカスタマイズ フロー。 こ こでの選択に基づき、 サポート されるト ランシーバー機能が設定され、 プリ ミ ティブのパラ メーターが自動で設定される

• 業界標準規格をターゲッ ト と した各種ト ランシーバー設定をプリセッ ト と して選択可能

• ト ランシーバーの性能を 適化する高度な設定オプシ ョ ン

• ト ランシーバー サイ ト 、 基準クロ ッ ク、 リ カバリ ク ロ ッ クの選択インターフェイスによ り、 ク ロ ッ ク配線の制約を満たしながら 1 つまたは複数のト ランシーバー チャネルを有効化可能

• カンマ検出およびアライ メン ト、 チャネル ボンディング、 ク ロ ッ ク補正、 バッファー制御、 高度なクロ ッキング、 一部のプロ ト コル固有機能に関するオプシ ョ ン機能のコンフ ィギュレーシ ョ ン インターフェイス

• ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにするヘルパー ブロ ッ ク。各ヘルパー ブロ ッ クは、 コアに含めるかコアの外部に含めるかを選択可能

° コア外部のサンプル デザインに含めたヘルパー ブロ ッ クは、 ユーザーによるカスタマイズが可能

• 有効にした ト ランシーバーの コモン プリ ミ ティブはコアまたはサンプル デザインのどちらにも含めるこ とができ、 複数コアでのリ ソース共有が簡単になるよ うに接続

• ト ランシーバー プリ ミ ティブの任意のポート をコア 上位のポート と して引き出せるインターフェイス。 ただし、 これらのポートは従属関係にあるヘルパー コアの配置およびウ ィザードの設定と一致させる必要があ り ます。

• 設定可能な PRBS (擬似ランダム バイナリ シーケンス) データ ジェネレーター /チェッカー、 リ ンク ステータスインジケーター ロジッ クを含む合成可能なサンプル デザインによ り、 シ ミ ュレーシ ョ ンおよびハード ウェア環境でのコアと ト ランシーバーのデモが簡単に実行可能

° サンプル デザインの PRBS ロ ッ クをループバッ クでモニターし、 リ ンク ステータスの結果を表示するシミ ュレーシ ョ ン テス トベンチ

° 基本的なサンプル デザインのハード ウェア ブリ ングアップおよび主要なデバッグ信号のプローブを簡略化する VIO (Virtual Input/Output) コア インスタンス

° 差動基準クロ ッ ク バッファーのインスタンシエート と接続、 チャネル単位のベクター スライシングなどの便利な機能

• 選択したコンフ ィギュレーシ ョ ンに応じたタイ ミ ング、 ロケーシ ョ ン、およびその他の制約を含む、 コア レベルおよびサンプル デザイン レベルのザイ リ ンクス デザイン制約 (XDC) ファ イル

Page 6: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 6PG182 2017 年 10 月 4 日

第 1 章: 概要

アプリケーシ ョ ンUltraScale FPGAs Transceivers Wizard は、ザイ リ ンクス UltraScale FPGA の 1 つまたは複数のシ リ アル ト ランシーバーを設定および使用する方法と してサポート されています。

ライセンスおよび注文情報このザイ リ ンクス LogiCORE™ IP モジュールは、 ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクスVivado Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCOREIP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールやツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

Page 7: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 7PG182 2017 年 10 月 4 日

第 2 章

製品仕様UltraScale™ FPGAs Transceivers Wizard コアは、ザイ リ ンクスの UltraScale または UltraScale+™ デバイスの 1 つまたは複数のシ リ アル ト ランシーバーを設定および使用する方法と してサポート されています。 このウ ィザード IP は個々のアプ リ ケーシ ョ ンに合わせてプ リ ミ テ ィブのパラ メーターを自動的に設定するだけでな く、 ポート有効化やヘルパー ブロ ッ クなどシ リ アル ト ランシーバーの利用をシンプルにする便利な機能を各種備えています。この章では、これらの概念および技術仕様について説明します。

ウィザード IP の基本概念ト ランシーバー プリ ミ ティブ。基本的に、 ウ ィザード IP は 1 つまたは複数のシ リアル ト ランシーバー プリ ミ ティブをインスタンシエート、 設定、 接続し、 これら リ ソースに対する簡略化したユーザー インターフェイスを提供します。 このコア インスタンスは、 Vivado® 統合設計環境 (IDE) でのカスタマイズによって決定した HDL パラ メーター値に基づいてチャネル プリ ミ ティブと コモン プリ ミ ティブを設定します。

ト ランシーバー設定のプリセッ ト。業界標準規格をターゲッ ト と した ト ランシーバー設定がプリセッ ト と して定義されており、 Vivado IDE でのカスタマイズ時に選択できます。 これらのプリセッ ト設定は、個々のアプリ ケーシ ョ ンに合わせてさらにカスタマイズできます。

オプシ ョ ン ポートの有効化。ザイ リ ンクスのシ リ アル ト ランシーバー プリ ミ ティブには多くのポートがあ り ますが、通常、 1 つの使用モードで必要なのはそのご く一部です。 ユーザー インターフェイスをコンパク ト にするため、 デフォル ト ではカスタマイズしたコアに必要と判断されたポートのみが外部に引き出されますが、 このウ ィザード IPのオプシ ョ ン ポート有効化インターフェイスを利用する と、 ト ランシーバー プリ ミ ティブのすべてのポートにアクセスできます。 一部のポートは、 ヘルパー コアのカスタマイズおよびオプシ ョ ンでの有効化によ り、 GT ウ ィザードコアから引き出して利用できない場合があ り ます。

ヘルパー ブロ ッ ク。このウ ィザードでは、ヘルパー ブロ ッ ク と呼ばれるオプシ ョ ンのモジュールを利用できます。ヘルパー ブロ ッ クは、 ト ランシーバーを使用する際に必要となる一般的または複雑な手順 (シーケンス) を抽象化または自動化します。各ヘルパー ブロ ッ クはコアの内部に含めるこ と も、コア外部のサンプル デザインに含めてユーザーが変更を加えるこ と も可能です。 この リ リースのヘルパー ブロ ッ クには、 次のものがあ り ます。

• リセッ ト コン ト ローラー。 ト ランシーバーのリセッ ト シーケンスを制御および抽象化します。

• ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ トワークを駆動するためのリ ソースが含まれます。

• レシーバーのユーザー ク ロ ッキング ネッ ト ワーク。レシーバーのユーザー ク ロ ッキング ネッ ト ワークを駆動するためのリ ソースが含まれます。

• ユーザー データ幅サイズ変更。 ト ランス ミ ッ ターおよびレシーバーのデータ ベクターのサイズを指定したユーザー幅に変更します。

• ト ランス ミ ッ ター バッファー バイパス コン ト ローラー。必要に応じて、 ト ランス ミ ッ ター バッファー バイパスシーケンスを制御および抽象化します。

• レシーバー バッファー バイパス コン ト ローラー。 必要に応じて、 レシーバー バッファー バイパス シーケンスを制御および抽象化します。

Page 8: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 8PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

このウ ィザード IP はシ リ アル ト ランシーバーを簡単に利用できるよ うにするこ とを目的と しています。 ただし、 トランシーバーの動作、 使用方法、 制約は十分に理解しておく必要があ り ます。 詳細は、 『UltraScale アーキテクチャGTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

ウ ィザード IP の基本概念をコア階層に当てはめたものを図 2-1 に示します。

ト ランシーバーのチャネル プ リ ミ テ ィブと コモン プ リ ミ テ ィブは、 それぞれト ランシーバー チャネル ラ ッパー モジュールと ト ランシーバー コモン ラ ッパー モジュールによってインスタンシエート されます。 これらの ト ランシーバー プリ ミ ティブは、1 つまたは複数のラ ッパー モジュールを使用してアプリ ケーシ ョ ンで必要なだけインスタンシエートできます。 ラ ッパー モジュールは、 IP のカスタマイズ時に選択した値、 または選択した ト ランシーバー プリセッ ト設定に基づいて、 それぞれの ト ランシーバー プ リ ミ テ ィブに適切なパラ メーター値を適用します。 これらのラ ッパーを含め、 このコア階層はユーザーによる変更を加えるこ とができません。

ユーザー インターフェイスをコンパク トにするため、 デフォル トでは選択した設定で必要と判断された ト ランシーバー プリ ミ ティブ ポートのみがウ ィザード IP のコア レベル ポート と して外部に引き出されます。 入力ベクター Aは、 1 つまたは複数の ト ランシーバー チャネル プリ ミ ティブの対応する入力ポート を駆動する有効化したコア ポート を表します。 同様に、 出力ベク ター A' は 1 つまたは複数の ト ランシーバー コモン プ リ ミ テ ィブの対応する出力ポートによって駆動されます。ユーザーの必要とするポートがデフォルトで有効になっていない場合、 IP のカスタマイズ時にポート を個別に有効化でき、 大限の柔軟性が確保されます。

X-Ref Target - Figure 2-1

図 2-1: ウィザード IP コアのブロック図

IP Core Wrapper

Top-level HDL

TransceiverCHANNEL Wrapper

CHANNELPrimitive

OptionalHelper Block 2

OptionalHelper Block 1

B

C

D

B’

A’

TransceiverCOMMON Wrapper

COMMONPrimitive

A

X14538

Page 9: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 9PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

コア境界から外部に引き出されていないト ランシーバー プリ ミ ティブ入力ポートは、Vivado Design Suite IP コア ラ ッパー内でコアのカスタマイズ内容に応じた適切な固定値に接続されます。 ネッ ト B は 1 つまたは複数の ト ランシーバー チャネル プリ ミ ティブの入力ポートで、コア ポート と して有効化されていないものを表します。これはウ ィザード IP によって自動的に Low に接続されます。 同様に、 ネッ ト B' はト ランシーバー コモン プリ ミ ティブの入力ポートで、 High に接続されます。

ウ ィザード IP には、 ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにするオプシ ョ ンのヘルパー ブロ ッ クがあ り ます。 各ヘルパー ブロ ッ クはコア内部またはユーザー変更が可能なサンプル デザインに含めるこ とができます。 ベクター C は、 オプシ ョ ンのヘルパー ブロ ッ クをコア内部に含めた場合のシンプルなユーザー インターフェイスを表します。ネッ ト D は、これらヘルパー ブロ ッ ク と ト ランシーバー チャネル/コモン プリ ミ ティブを接続する、 よ り複雑なインターフェイスを表します。

パフォーマンスウ ィザード IP は、インスタンシエートする ト ランシーバー プリ ミ ティブのパフォーマンス特性に従って動作します。

最大周波数

シ リ アル ト ランシーバーのスイ ッチ特性およびユーザー ク ロ ッ ク スイ ッチ特性の詳細は、 デバイスに対応する各データシート を参照してください。

• 『Kintex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 3]

• 『Virtex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS893) [参照 4]

• 『Zync UltraScale+ MPSoC データシート : DC 特性および AC スイ ッチ特性』 (DS925) [参照 5]

• 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922) [参照 6]

• 『Virtex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS923) [参照 7]

ト ランシーバーと コアを正し く動作させるには、 これらデータシートに記載された周波数の範囲に従う必要があ り ます。

重要: リ セッ ト コン ト ローラー ヘルパー ブロ ッ クが ト ランシーバー プリ ミ ティブを リセッ トするには、 フ リーランニング ク ロ ッ ク入力 gtwiz_reset_clk_freerun_in が必要です。 エンジニア リ ング サンプル (ES1 または ES2) UltraScaleデバイスおよび GTHE4、 GTYE4 UltraScale+ デバイスをターゲッ ト と した GTH ト ランシーバー コアの設定で CPLLを使用する場合、 drpclk_in ポートの各ビッ ト も このクロ ッ クで駆動する必要があ り ます。 表 2-1 に示すよ うに、 このク ロ ッ クの 大周波数は上限値またはト ランシーバー チャネルの も低速なユーザー ク ロ ッ ク周波数のいずれか低い方までと します。 IP のカスタマイズ時に指定するフ リーランニング ク ロ ッ クの正確な周波数は、 CPLL キャ リブレーシ ョ ン ブロ ッ ク との依存関係によ り、変更しないよ うにする必要があ り ます。詳細は、第 4 章 「コアのカスタマイズおよび生成」 を参照して ください。 このフ リーランニング ク ロ ッ クを、 ユーザー ク ロ ッ クまたはそのソースから生成するこ とはできません。

表 2-1: フリーランニング クロックの最大周波数

ト ランシーバー ユーザー クロック周波数の関係 gtwiz_reset_clk_freerun_in の最大周波数

FRXUSRCLK2 ≤ FTXUSRCLK2 FUPPER(1) または FRXUSRCLK2 の低い方

FRXUSRCLK2 > FTXUSRCLK2 FUPPER(1) または FTXUSRCLK2 の低い方

注記: 1. エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ ト と した GTH ト ランシーバー コアのコンフ ィギュ

レーシ ョ ンで CPLL を使用する場合、 FUPPER は 200MHz とな り、 その他のコンフ ィギュレーシ ョ ンでは 250MHz とな り ます。

UltraScale+ デバイスの場合は 250MHz とな り ます。

Page 10: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 10PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

その他のパフォーマンス特性

ト ランシーバー プ リ ミ テ ィブのその他のパフォーマンス特性は、 『UltraScale アーキテクチャ GTH ト ランシーバーユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578)[参照 2] を参照して ください。 さ らに、 その他のデバイス レベルの詳細は、 次の各 FPGA データシート を参照してください。

• 『Kintex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 3]

• 『Virtex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS893) [参照 4]

• 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922) [参照 6]

• 『Virtex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS923) [参照 7]

• 『Zynq UltraScale+ MPSoC データシート : DC 特性および AC スイ ッチ特性』 (DS925) [参照 5]

リソース使用状況ウ ィザード IP の基本的な HDL は非常に構造化されており、ト ランシーバー プリ ミ ティブのインスタンシエートおよび接続に使用するデバイス リ ソース量はご くわずかです。エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ トにした GTH ト ランシーバーの設定において、 ト ランス ミ ッ ター PLL タイプ、 レシーバー PLL タイプ、 または選択可能な TXOUTCLK 周波数のソース と して CPLL を使用している場合は、 CPLL キャ リブレーシ ョン ロジッ クが追加されます。この設定では、有効化した各ト ランシーバー チャネルにつき 1 つの BUFG_GT と約 280個の LUT、 285 個のフ リ ップフロ ップが使用されます。

表 2-2 に、オプシ ョ ンのヘルパー ブロ ッ クのデバイス リ ソース使用量を示します。 これらのリ ソースは、各ヘルパーブロ ッ クを有効にしてコア内部で使用するか、 それ以外の方法でデザインに含めた場合のみ消費されます。 こ こに示した リ ソース量はヘルパー ブロ ッ ク 1 インスタンスあた りのものです。 ただしヘルパー ブロ ッ クを有効にした構成のほとんどはインスタンスを 1 つしか使用しません。

必要な リ ソース量は合成後レポートから求めた値であ り、 インプリ メンテーシ ョ ン時に変化する可能性があ り ます。

表 2-2: ヘルパー ブロックのリソース使用量

ヘルパー ブロックデバイス リソース

(ヘルパー ブロック 1 インスタンスあたり )

タイプ コンフ ィギュレーシ ョ ン LUT フリ ップフロップ

クロック バッファー

リセッ ト コン ト ローラー すべての場合 120 195 0(1)

ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク

FTXUSRCLK = FTXUSRCLK2 0 2 1 (BUFG_GT)

FTXUSRCLK ≠ FTXUSRCLK2 0 2 2 (BUFG_GT)

レシーバーのユーザー ク ロ ッキングネッ ト ワーク

FRXUSRCLK = FRXUSRCLK2 0 2 1 (BUFG_GT)

FRXUSRCLK ≠ FRXUSRCLK2 0 2 2 (BUFG_GT)

ト ランス ミ ッ ター バッファー バイパス コン ト ローラー

シングルレーン 8 25 0

マルチ レーン <20 25 0

レシーバー バッファー バイパス コン ト ローラー

シングルレーン 8 25 0

マルチ レーン <20 25 0

ユーザー データ幅サイズ変更 すべての場合 0 0 0

注記: 1. フ リーランニング ク ロ ッ ク用の共有可能な BUFG はヘルパー ブロッ クの HDL には含まれません。

Page 11: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 11PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

ポートの説明ウ ィザード IP では、 インスタンシエート される ト ランシーバー プリ ミティブのポートに必要に応じてアクセスできます。 また、 コア インスタンスに含めたヘルパー ブロ ッ クを利用するためのユーザー インターフェイスも提供されます。 このため、 ウ ィザード IP のユーザー インターフェイスはカスタマイズの内容によって大き く異な り ます。

インターフェイスをコンパク トにするため、 選択したカスタマイズ内容で必要と判断された ト ランシーバー プ リ ミティブ ポートのみがウ ィザード IP のコア レベル ポート と して外部に引き出されます。それ以外のポートにアクセスする必要がある場合は、 IP のカスタマイズ時に柔軟なオプシ ョ ン ポート有効化インターフェイスを使用してポートを個別に有効にできます。オプシ ョ ン ポート有効化の詳細は、第 4 章 「コアのカスタマイズおよび生成」 を参照してください。

ヘルパー ブロ ッ クの有無およびその位置もコアのユーザー インターフェイスに影響します。 ヘルパー ブロ ッ クを有効にしてコア内に含めた場合、 ヘルパー ブロ ッ クが接続する ト ランシーバー プリ ミ ティブ ポートではなく、 コア境界のシンプルなユーザー インターフェイスを利用できます。ヘルパー ブロ ッ クをサンプル デザインに含めた場合は、コア境界ではヘルパー ブロ ッ クが接続する ト ランシーバー プリ ミ ティブの複雑なポートが有効化されます。 有効化されるコアのポートがヘルパー ブロ ッ クの配置によってどのよ うに変化するかを図 2-2 に示します。

Page 12: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 12PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

X-Ref Target - Figure 2-2

図 2-2: ヘルパー ブロックの配置と有効化されるポートの関係

Wizard Example Design or User Design

TransceiverPrimitiveWrapper

TransceiverPrimitive

Helper BlockIn IP Core

Helper BlockIn Example

Design

Wizard IP Core

Helper BlockUser Interface

vs.Transceiver

PrimitivePort Interface

X14539

Page 13: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 13PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

リセッ ト コン ト ローラー ヘルパー ブロックのポート

リ セッ ト コン ト ローラー ヘルパー ブロ ッ クにはユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスを利用する と、 ト ランシーバーのリセッ ト シーケンスを簡単な方法で開始してその完了を監視できます。 ト ランシーバー インターフェイスは、 各種ト ランシーバー プリ ミ テ ィブの リセッ トシーケンスの制御に必要な信号を実装します。

リセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 接頭辞 gtwiz_reset_ で識別されます。 リセッ ト コン ト ローラー ヘルパー ブロ ッ クの使用法は、 第 3 章 「コアを使用するデザイン」 を参照してください。

表 2-3 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 リセッ ト コン トローラー ヘルパー ブロ ッ クをコアに含めた設定の場合、 ウ ィザード IP のコア インスタンスに存在します。 これらのポートはヘルパー ブロ ッ ク自体にも存在し、 ヘルパー ブロ ッ クをサンプル デザインに含めた場合は直接アクセスできます。

表 2-3: リセッ ト コン ト ローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをコアに配置)

名前 方向 幅 クロック ド メ イン 説明

gtwiz_reset_clk_freerun_in 入力 1 ト ランシーバー プ リ ミ テ ィブを リ セット するためのフ リーランニング ク ロ ックです。デバイス コンフ ィギュレーシ ョンの前に ト グルを開始しておく必要があり ます。 大周波数についての説明は、9 ページの 「パフォーマンス」 を参照してください。

gtwiz_reset_all_in 入力 1 非同期 ト ランシーバー プリ ミ ティブの PLL (位相ロ ッ ク ループ) およびア クテ ィ ブなデータ方向を リセッ トするユーザー信号です。 この立ち下がりエッジに非同期のアクティブ High 信号を gtwiz_reset_clk_freerun_in の少な く と も 1 周期間パルスする と、このプロセスが初期化されます。

gtwiz_reset_tx_pll_and_datapath_in

入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの送信データ方向および関連する PLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gtwiz_reset_clk_freerun_in の少なく と も 1 周期間パルスする と、 このプロセスが初期化されます。

gtwiz_reset_tx_datapath_in 入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの送信データ方向を リセッ トするユーザー信号です。このアクティブ High の非同期信号を gtwiz_reset_clk_freerun_in の少な く とも 1 周期間パルスする と、 このプロセスが初期化されます。

gtwiz_reset_rx_pll_and_datapath_in

入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの受信データ方向および関連する PLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gtwiz_reset_clk_freerun_in の少なく と も 1 周期間以上パルスする と、 このプロセスが初期化されます。

Page 14: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 14PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

gtwiz_reset_rx_datapath_in 入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの受信データ方向を リセッ トするユーザー信号です。このアクティブ High の非同期信号を gtwiz_reset_clk_freerun_in の少な く とも 1 周期間パルスする と、 このプロセスが初期化されます。

gtwiz_reset_rx_cdr_stable_out 出力 1 gtwiz_reset_clk_freerun_in

ト ランシーバー プリ ミ ティブの CDR (クロ ッ ク データ リ カバリ ) 回路が安定したこ とを示すアクティブ High の信号です。予約のため、 使用しないでください。

gtwiz_reset_qpll0lock_in 入力 1 × (コモン数)

非同期 QPLL0 ロ ッ ク信号です。 ト ランシーバーコモンをサンプル デザインに配置し、 トランス ミ ッ ターまたはレシーバーの PLLタ イプに QPLL0 を使用した場合に存在します。

gtwiz_reset_qpll1lock_in 入力 1 × (コモン数)

非同期 QPLL1 ロ ッ ク信号です。 ト ランシーバーコモンをサンプル デザインに配置し、 トランス ミ ッ ターまたはレシーバーの PLLタ イプに QPLL1 を使用した場合に存在します。

gtwiz_reset_tx_done_out 出力 1 TX マスター チャネルの

TXUSRCLK2

リ セ ッ ト コン ト ローラー ヘルパー ブロ ッ クによって開始された ト ラ ンシーバー プ リ ミ テ ィブの ト ランス ミ ッ ターリ セッ ト シーケンスが正し く完了したこ とを示すアクティブ High の信号です。

gtwiz_reset_rx_done_out 出力 1 RX マスター チャネルの

RXUSRCLK2

リ セ ッ ト コン ト ローラー ヘルパー ブロ ッ クによって開始されたレシーバープ リ ミ テ ィ ブの ト ラ ン ス ミ ッ ター リセッ ト シーケンスが正し く完了したことを示すアクティブ High の信号です。

gtwiz_reset_qpll0reset_out 出力 1 × (コモン数)

gtwiz_reset_clk_freerun_in

QPLL0 リ セッ ト 信号です。 ト ラ ンシーバー コモンをサンプル デザインに配置し、 ト ランス ミ ッ ターまたはレシーバーの PLL タイプに QPLL0 を使用した場合に存在します。

gtwiz_reset_qpll1reset_out 出力 1 × (コモン数)

gtwiz_reset_clk_freerun_in

QPLL1 リ セッ ト 信号です。 ト ラ ンシーバー コモンをサンプル デザインに配置し、 ト ランス ミ ッ ターまたはレシーバーの PLL タイプに QPLL1 を使用した場合に存在します。

表 2-3: リセッ ト コン ト ローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをコアに配置) (続き)

名前 方向 幅 クロック ド メ イン 説明

Page 15: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 15PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-4 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 リセッ ト コン トローラー ヘルパー ブロ ッ クをサンプル デザインに含めた設定の場合、 コア インスタンスに存在します。

表 2-5 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はリセッ ト コン ト ローラー ヘルパーブロッ ク自体に存在します。

表 2-6 に示すリセッ ト コン ト ローラー ヘルパー ブロッ クの ト ランシーバー インターフェイス ポートは、リセッ ト コン ト ローラー ヘルパー ブロ ッ クを ト ランシーバー プ リ ミ テ ィブに接続します。 これらの接続は、 このヘルパー ブロ ッ クをコア内に含めた場合は内部接続とな り、 ヘルパー ブロ ッ ク出力によって駆動される ト ランシーバー プリ ミティブ入力はコア インスタンスのオプシ ョ ン ポート と して有効化できません。 これに対し、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はコア境界をまたいだ接続とな り、 ヘルパー ブロ ッ クに接続する ト ランシーバープリ ミ ティブ ポートは必然的に有効化されます。

表 2-4: リセッ ト コン ト ローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_reset_tx_done_in 入力 1 非同期 ト ランス ミ ッ ター リ セッ ト シーケンスが正常に完了後、コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクテ ィブ High ポートをアサートする必要があ り ます。 リセッ ト コン トローラー ヘルパー ブロ ッ クはデフォル ト でこのポート を駆動します。

gtwiz_reset_rx_done_in 入力 1 非同期 レシーバー リセッ ト シーケンスが正常に完了後、コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのア ク テ ィ ブ High ポー ト をアサートする必要があ り ます。 リセッ ト コン ト ローラー ヘルパー ブロ ッ クはデフォル ト でこのポート を駆動します。

表 2-5: リセッ ト コン ト ローラー ヘルパー ブロックのその他のユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_reset_userclk_tx_active_in

入力 1 非同期 ト ランシーバー プ リ ミ テ ィブを駆動する TXUSRCLKおよび TXUSRCLK2 信号がアクティブにな り安定すると、 ト ランス ミ ッ ター リセッ ト シーケンスを完了するためにこのアクティブ High ポート をアサートする必要があ り ます。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

gtwiz_reset_userclk_rx_active_in

入力 1 非同期 ト ランシーバー プ リ ミ テ ィブを駆動する RXUSRCLKおよび RXUSRCLK2 信号がアクティブにな り安定すると、 レシーバー リセッ ト シーケンスを完了するためにこのアクティブ High ポート をアサートする必要があ ります。 レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

Page 16: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 16PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-6: リセッ ト コン ト ローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート

名前 方向 幅 クロック ド メイン 説明

gtpowergood_in 入力 1 非同期 ト ランシーバー チャネル ロジッ クによって生成されたすべての GTPOWERGOOD 信号の論理積 (AND) です。

txusrclk2_in 入力 1 マスター ト ランシーバー チャネルの TXUSRCLK2 です。

plllock_tx_in 入力 1 非同期 ト ランシーバー チャネル プ リ ミ テ ィブの送信データパスにク ロ ッ ク を供給する PLL によって生成されたすべてのロ ッ ク信号の論理積 (AND) です。

txresetdone_in 入力 1 非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブによって生成されたすべての TXRESETDONE信号の論理積 (AND) です。

rxusrclk2_in 入力 1 マスター ト ランシーバー チャネルの RXUSRCLK2 です。

plllock_rx_in 入力 1 非同期 ト ランシーバー チャネル プ リ ミ テ ィブの受信データパスにク ロ ッ ク を供給する PLL によって生成されたすべてのロ ッ ク信号の論理積 (AND) です。

rxcdrlock_in 入力 1 非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブによって生成されたすべての RXCDRLOCK 信号の論理積 (AND) です。

rxresetdone_in 入力 1 非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブによって生成されたすべての RXRESETDONE信号の論理積 (AND) です。

pllreset_tx_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

ト ランシーバー チャネル プ リ ミ テ ィブの送信データパスにク ロ ッ クを供給するすべてのPLL のリセッ ト ポートにファンアウ トするアクティブ High の信号です。

txprogdivreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

すべての ト ラ ンシーバー チャネル プ リ ミティブの TXPROGDIVRESET ポートにファンアウ トするアクティブ High 信号です。

gttxreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

すべての ト ラ ンシーバー チャネル プ リ ミティブの GTTXRESET ポートにファンアウ トするアクティブ High 信号です。

txuserrdy_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

すべての ト ラ ンシーバー チャネル プ リ ミテ ィブの TXUSERRDY ポー ト にフ ァンアウトするアクティブ High 信号です。

pllreset_rx_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

ト ランシーバー チャネル プ リ ミ テ ィブの受信データパスにク ロ ッ クを供給するすべてのPLL のリセッ ト ポートにファンアウ トするアクティブ High の信号です。

rxprogdivreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

すべての ト ラ ンシーバー チャネル プ リ ミテ ィ ブの RXPROGDIVRESET ポー ト にフ ァンアウ トするアクティブ High 信号です。

Page 17: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 17PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

注記: サンプル デザインでは、 ク ロ ッ ク ド メ イ ン欄に 「非同期」 と記載されているすべての入力/出力ポー ト はgtwiz_reset_clk_freerun_in に同期します。ユーザー デザインでは、 IP に入力されるすべての非同期信号を十分な時間アサートする必要があ り ます。そ うするこ とで、gtwiz_reset_clk_freerun_in での IP サンプリ ングに含まれるシンクロナイザーがこれらのポートの ト グルを確認できるよ うになり ます。

表 2-7 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのポートは固定値に接続する必要があ り ます。 デフォルトでは、 コアのカスタマイズ内容に応じて適切な固定値に接続されます。

gtrxreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

すべての ト ラ ンシーバー チャネル プ リ ミティブの GTRXRESET ポートにファンアウ トするアクティブ High 信号です。

rxuserrdy_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

すべての ト ラ ンシーバー チャネル プ リ ミテ ィブの RXUSERRDY ポー ト にフ ァンアウトするアクティブ High 信号です。

表 2-7: リセッ ト コン ト ローラー ヘルパー ブロックの固定値接続ポート

名前 方向 幅 クロック ド メイン 説明

tx_enabled_tie_in 入力 1 gtwiz_reset_clk_freerun_in High に接続する と、gtwiz_reset_all_in への応答シーケン スの一部 と し て ト ラ ン ス ミ ッター リ ソースがリセッ ト されます。

rx_enabled_tie_in 入力 1 gtwiz_reset_clk_freerun_in High に接続する と、gtwiz_reset_all_in への応答シーケンスの一部と してレシーバー リソースがリセッ ト されます。

shared_pll_tie_in 入力 1 gtwiz_reset_clk_freerun_in High に接続する と、gtwiz_reset_all_in への応答シーケンスの一部と して共有 PLL が 1 回だけ リセッ ト されます。

表 2-6: リセッ ト コン ト ローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート (続き)

名前 方向 幅 クロック ド メイン 説明

Page 18: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 18PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロックのポート

ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのインターフェイスは 1 つのみで、 ト ランシーバー プ リ ミ テ ィブからの出力ク ロ ッ クで駆動される ソース ク ロ ッ ク入力ポー ト が 1 つあ り ます。 このヘルパー ブロ ッ クのポートは、接頭辞 gtwiz_userclk_tx_ で識別されます。ト ランス ミ ッ ターのユーザー ク ロ ッキング ネット ワーク ヘルパー ブロ ッ クの使用法は、 第 3 章 「コアを使用するデザイン」 を参照してください。

表 2-8 に示すト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、このヘルパー ブロ ッ クをコアに含めた設定の場合、ウ ィザード IP のコア インスタンスに存在します。

表 2-9 に示すト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 このヘルパー ブロ ッ クをサンプル デザインに含めた設定の場合、 コア インスタンスに存在します。

表 2-8: ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロックのコアに存在するポート (ヘルパー ブロックをコアに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_userclk_tx_reset_in 入力 1 非同期 ヘルパー ブロ ッ ク内の ク ロ ッ キング リソースを リ セッ トするためのユーザー信号です。gtwiz_userclk_tx_srcclk_in/out が安定するまでアクテ ィブ High にアサー ト しておく必要があ り ます。

gtwiz_userclk_tx_srcclk_out 出力 1 TXUSRCLK および TXUSRCLK2 出力を派生させバッ フ ァーするための ト ラ ンシーバー プ リ ミ テ ィブ由来のク ロ ッ ク ソースです。

gtwiz_userclk_tx_usrclk_out 出力 1 ト ランシーバー チャネル プ リ ミ テ ィブのTXUSRCLK を駆動します。gtwiz_userclk_tx_srcclk_in/out からのク ロ ックを BUFG_GT プ リ ミ テ ィブによって適切にバッファーおよび分周して生成します。

gtwiz_userclk_tx_usrclk2_out 出力 1 ト ランシーバー チャネル プ リ ミ テ ィブのTXUSRCLK2 を駆動します。gtwiz_userclk_tx_srcclk_in/out からのク ロ ックを BUFG_GT プ リ ミ テ ィブによって適切にバッファーおよび分周して生成します。

gtwiz_userclk_tx_active_out 出力 1 gtwiz_userclk_tx_usrclk2_out

ヘルパー ブロ ッ ク内の ク ロ ッ キング リソースが リ セッ ト状態でないこ とを示すアクティブ High の信号です。

Page 19: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 19PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-10 に示すト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はトランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク自体に存在します。

表 2-9: ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_userclk_tx_active_in 入力 1 非同期 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クによって生成されたク ロ ッ クがアクティブになったら、コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクティブ High ポートをアサー トする必要があ り ます。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロッ クはデフォルトでこのポート を駆動します。

gtwiz_userclk_tx_reset_in 入力 1 非同期 このコア ポートは、エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ ト と した GTHト ランシーバーの設定で CPLL を使用する場合に存在します。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネット ワーク ヘルパー ブロ ッ クをサンプル デザインに含めた場合、 ヘルパー ブロ ッ クの gtwiz_userclk_tx_reset_inポート と同じソースで駆動する必要があ り ます。

表 2-10: ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロックのその他のユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_userclk_tx_srcclk_in 入力 1 TXUSRCLK および TXUSRCLK2 出力を派生させバッファーするための ト ランシーバー プリ ミ ティブ由来のクロ ッ ク ソースです。

Page 20: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 20PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロックのポート

レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのインターフェイスは 1 つのみで、 ト ランシーバー プ リ ミ テ ィブからの出力ク ロ ッ クで駆動される ソース ク ロ ッ ク入力ポート が 1 つあ り ます。 このヘルパー ブロ ッ クのポートは、 接頭辞 gtwiz_userclk_rx_ で識別されます。 レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの使用法は、 第 3 章 「コアを使用するデザイン」 を参照して ください。

表 2-11 に示すレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイスポートは、 このヘルパー ブロ ッ クをコアに含めた設定の場合、 ウ ィザード IP のコア インスタンスに存在します。

表 2-12 に示すレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイスポートは、 このヘルパー ブロ ッ クをサンプル デザインに含めた設定の場合、 コア インスタンスに存在します。

表 2-11: レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロックのコアに存在するポート (ヘルパー ブロックをコアに配置)

名前 方向 幅 クロック ド メイン 説明

gtwiz_userclk_rx_reset_in 入力 1 非同期 ヘルパー ブロ ッ ク内のク ロ ッキング リソースを リ セッ トするためのユーザー信号です。gtwiz_userclk_rx_srcclk_in/out が安定するまでアクティブ High にアサート したままにします。

gtwiz_userclk_rx_srcclk_out 出力 1 RXUSRCLK および RXUSRCLK2 出力を派生させバッ フ ァーするための ト ラ ンシーバー プ リ ミ テ ィ ブ由来のク ロ ッ クソースです。

gtwiz_userclk_rx_usrclk_out 出力 1 ト ランシーバー チャネル プリ ミ ティブのRXUSRCLK を駆動します。gtwiz_userclk_rx_srcclk_in/out からのクロ ッ クを BUFG_GT プ リ ミ テ ィブによって適切にバッファーおよび分周して生成します。

gtwiz_userclk_rx_usrclk2_out 出力 1 ト ランシーバー チャネル プリ ミ ティブのRXUSRCLK2 を駆動します。 gtwiz_userclk_rx_srcclk_in/out からのク ロ ッ クをBUFG_GT プ リ ミ テ ィブによって適切にバッファーおよび分周して生成します。

gtwiz_userclk_rx_active_out 出力 1 gtwiz_userclk_rx_usrclk2_out

ヘルパー ブロ ッ ク内のク ロ ッキング リソースが リ セッ ト状態でないこ とを示すアクティブ High の信号です。

表 2-12: レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_userclk_rx_active_in 入力 1 非同期 レシーバーのユーザー ク ロ ッ キング ネッ トワーク ヘルパー ブロッ クによって生成されたクロ ッ クがアクティブになったら、コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクティブ High ポート をアサートする必要があ り ます。 レシーバーのユーザー クロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

Page 21: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 21PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-13 に示すレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイスポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク自体に存在します。

ユーザー データ幅のサイズ変更ヘルパー ブロックのポート

ユーザー データ幅のサイズ変更ヘルパー ブロ ッ クは、 ト ランス ミ ッ ター ユーザー データ インターフェイス用とレシーバー ユーザー データ インターフェイス用の 2 つのモジュールで構成されます。 どちらのモジュールにもユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスは、 ユーザーデータ幅に ト ランシーバー チャネル数を掛けた単一のベクターと して提供されます。 ト ランシーバー インターフェイスは、 ト ランシーバー チャネル プリ ミ ティブのデータ送信および受信ポートに接続するために必要なビッ ト割り当ておよびインターリーブ/デインターリーブを実行します。

ユーザー データ幅のサイズ変更ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、接頭辞 gtwiz_userdata_ で識別されます。 ユーザー データ幅のサイズ変更ヘルパー ブロ ッ クの詳細は、 第 3 章 「コアを使用するデザイン」 を参照して ください。 このヘルパー ブロ ッ クの ト ランス ミ ッ ターおよびレシーバー モジュールのユーザー インターフェイス と ト ランシーバー インターフェイスを表 2-14 ~表 2-17 に示します。

表 2-13: レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロックのその他のユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メ イン

説明

gtwiz_userclk_rx_srcclk_in 入力 1 RXUSRCLK および RXUSRCLK2 出力を派生させバッファーするための ト ランシーバー プリ ミ ティブ由来のクロ ッ ク ソースです。

表 2-14: ユーザー データ幅のサイズ変更ヘルパー ブロックのユーザー インターフェイス ポート (ト ランスミ ッ ター モジュール)

名前 方向 幅 クロック ド メイン 説明

gtwiz_userdata_tx_in 入力 (TX ユーザー データ幅)× (チャネル数)

各チャネルの TXUSRCLK2

ト ラ ンシーバー チャネルで送信するデータ用のユーザー イ ン ターフェイスです。

表 2-15: ユーザー データ幅のサイズ変更ヘルパー ブロックのユーザー インターフェイス ポート (レシーバー モジュール)

名前 方向 幅 クロック ド メイン 説明

gtwiz_userdata_rx_out 出力 (RX ユーザー データ幅)× (チャネル数)

各チャネルの RXUSRCLK2

ト ランシーバー チャネルで受信するデータ用のユーザー インターフェイスです。

表 2-16: ユーザー データ幅のサイズ変更ヘルパー ブロックのト ランシーバー インターフェイス ポート (ト ランスミ ッ ター モジュール)

名前 方向 幅 クロック ド メイン 説明

txdata_out 出力 128 × (チャネル数) 各チャネルの TXUSRCLK2

ト ランシーバー チャネル プ リ ミ テ ィブのTXDATA へ接続されます。

txctrl0_out 出力 16 × (チャネル数) 各チャネルの TXUSRCLK2

ト ランシーバー チャネル プ リ ミ テ ィブのTXCTRL0 へ接続されます。

txctrl1_out 出力 16 × (チャネル数) 各チャネルの TXUSRCLK2

ト ランシーバー チャネル プ リ ミ テ ィブのTXCTRL1 へ接続されます。

Page 22: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 22PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのポート

ト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クにはユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスを利用する と、 ト ランシーバーの ト ランスミ ッ ター バッファー バイパス シーケンスを簡単な方法で開始してそのステータスを監視できます。 ト ランシーバーインターフェイスは、 ト ランシーバー プリ ミ ティブのバッファー バイパス シーケンスの制御に必要な信号を実装します。

このヘルパー ブロ ッ クのユーザー インターフェイス ポートは、接頭辞 gtwiz_buffbypass_tx_ で識別されます。 ト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの使用法は、第 3 章「コアを使用するデザイン」を参照してください。

表 2-18 に示すト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 このヘルパー ブロ ッ クをコアに含めた設定の場合、 ウ ィザード IP のコア インスタンスに存在します。 これらのポートはヘルパー ブロ ッ ク自体にも存在し、 ヘルパー ブロ ッ クをサンプル デザインに含めた場合は直接アクセスできます。

この構成では、 ヘルパー ブロ ッ クのク ロ ッ ク ポート gtwiz_buffbypass_tx_clk_in は ト ランス ミ ッ ター マスター チャネルの TXUSRCLK2 と同じ ソースによってコア内部で駆動されるため、 外部には引き出されません。

表 2-17: ユーザー データ幅サイズ変更ヘルパー ブロックのト ランシーバー インターフェイス ポート (レシーバー モジュール)

名前 方向 幅 クロック ド メイン 説明

rxdata_in 入力 128 × (チャネル数) 各チャネルの RXUSRCLK2

ト ランシーバー チャネル プリ ミ ティブの RXDATA へ接続されます。

rxctrl0_out 入力 16 × (チャネル数) 各チャネルの RXUSRCLK2

ト ランシーバー チャネル プリ ミ ティブの RXCTRL0 へ接続されます。

rxctrl1_out 入力 16 × (チャネル数) 各チャネルの RXUSRCLK2

ト ランシーバー チャネル プリ ミ ティブの RXCTRL1 へ接続されます。

表 2-18: ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをコアに配置)

名前 方向 幅 クロック ド メイン 説明

gtwiz_buffbypass_tx_reset_in 入力 1 gtwiz_buffbypass_tx_clk_in

ヘルパー ブロ ッ ク内のロジッ クを リセッ トするためのユーザー信号です。すべての ト ランシーバー チャネルでTXUSRCLK2 が安定した直後にア クテ ィブ High の同期パルスを供給する必要があ り ます。

gtwiz_buffbypass_tx_start_user_in 入力 1 gtwiz_buffbypass_tx_clk_in

このアクテ ィブ High のユーザー信号を同期パルスする と ト ラ ン ス ミ ッター バッファー バイパス シーケンスが強制的に再開されます。使用しない場合は Low に保持します。

gtwiz_buffbypass_tx_done_out 出力 1 gtwiz_buffbypass_tx_clk_in

ト ランス ミ ッ ター バッファー バイパス シーケンスが完了したこ と を示すアクティブ High の信号です。

gtwiz_buffbypass_tx_error_out 出力 1 gtwiz_buffbypass_tx_clk_in

ト ランス ミ ッ ターのバッフ ァー バイパス コン ト ローラー ヘルパー ブロックでエラー条件が発生したこ と を示すアクティブ High の信号です。

Page 23: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 23PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-19 に示すト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はトランス ミ ッ ター バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク自体に存在します。

表 2-20 に示すト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの ト ランシーバー インターフェイス ポートは、 このヘルパー ブロ ッ クを ト ランシーバー プリ ミ ティブに接続します。 これらの接続は、 このヘルパー ブロ ッ クをコア内に含めた場合は内部接続とな り、 ヘルパー ブロ ッ ク出力によって駆動される ト ランシーバー プリ ミ ティブ入力はコア インスタンスのオプシ ョ ン ポート と して有効化できません。 これに対し、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はコア境界をまたいだ接続となり、 ヘルパー ブロ ッ クに接続するト ランシーバー プリ ミ ティブ ポートは必然的に有効化されます。

マルチ レーン バッファー バイパス シーケンスを実行するには、各信号のポート幅に ト ランス ミ ッ ターのバッファーバイパス コン ト ローラー ヘルパー ブロ ッ クが接続する ト ランシーバー チャネルの数を掛けます。

表 2-19: ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのその他のユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_buffbypass_tx_clk_in 入力 1 ト ランス ミ ッ ターのバッフ ァー バイパス コント ローラー ヘルパー ブロ ッ クを制御するために使用する ト ランシーバー プリ ミ ティブ由来のクロ ッ クです。 ト ランス ミ ッ ター マスター チャネルの TXUSRCLK2 と同じ ソースで駆動する必要があ り ます。

gtwiz_buffbypass_tx_resetdone_in 入力 1 非同期 ト ランス ミ ッ ター リ セッ ト シーケンスが完了してバッファー バイパス シーケンスが開始可能になったこ と を示すアクテ ィブ High の信号です。

表 2-20: ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート

名前 方向 幅 クロック ド メイン 説明

txphaligndone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHALIGNDONE へ接続されます。

txphinitdone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHINITDONE へ接続されます。

txdlysresetdone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYSRESETDONE へ接続されます。

txsyncout_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXSYNCOUT へ接続されます。

txsyncdone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXSYNCDONE へ接続されます。

txphdlyreset_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHDLYRESET へ接続されます。

txphalign_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHALIGN へ接続されます。

txphalignen_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHALIGNEN へ接続されます。

txphdlypd_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHDLYPD へ接続されます。

txphinit_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHINIT へ接続されます。

Page 24: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 24PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックのポート

レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クにはユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスを利用する と、 ト ランシーバーのレシーバー バッファー バイパス シーケンスを簡単な方法で開始してそのステータスを監視できます。 ト ランシーバー インターフェイスは、 ト ランシーバー プリ ミ ティブのバッファー バイパス シーケンスの制御に必要な信号を実装します。

このヘルパー ブロ ッ クのユーザー インターフェイス ポートは、接頭辞 gtwiz_buffbypass_rx_ で識別されます。レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの使用法は、第 3 章 「コアを使用するデザイン」 を参照してください。

表 2-21 に示すレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイスポートは、 このヘルパー ブロ ッ クをコアに含めた設定の場合、 ウ ィザード IP のコア インスタンスに存在します。 これらのポートはヘルパー ブロ ッ ク自体にも存在し、 ヘルパー ブロ ッ クをサンプル デザインに含めた場合は直接アクセスできます。

この構成では、ヘルパー ブロ ッ クのクロ ッ ク ポート gtwiz_buffbypass_rx_clk_in はレシーバー マスター チャネルの RXUSRCLK2 と同じ ソースによってコア内部で駆動されるため、 外部には引き出されません。 シングルレーンバッファー バイパス シーケンスを使用する場合は、各ト ランシーバー チャネルにこのヘルパー ブロ ッ クのインスタンスが 1 つだけ存在します。 各ポート幅にこの乗数を掛け、 各ヘルパー ブロ ッ ク インスタンスは関連するチャネルの RXUSRCLK2 と同じ ソースで駆動します。

txphovrden_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHOVRDEN へ接続されます。

txdlysreset_out 出力 1 × (チャネル数)

gtwiz_buffbypass_tx_clk_in

(非同期に使用)

ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYSRESET へ接続されます。

txdlybypass_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYBYPASS へ接続されます。

txdlyen_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYEN へ接続されます。

txdlyovrden_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYOVRDEN へ接続されます。

txphdlytstclk_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHDLYTSTCLK へ接続されます。

txdlyhold_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYHOLD へ接続されます。

txdlyupdown_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXDLYUPDOWN へ接続されます。

txsyncmode_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXSYNCMODE へ接続されます。

txsyncallin_out 出力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXSYNCALLIN へ接続されます。

txsyncin_out 出力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXSYNCIN へ接続されます。

表 2-20: ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート (続き)

名前 方向 幅 クロック ド メイン 説明

Page 25: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 25PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-22 に示すレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイスポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はレシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク自体に存在します。

表 2-23 に示すレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの ト ランシーバー インターフェイス ポートは、このヘルパー ブロ ッ クを ト ランシーバー プリ ミ ティブに接続します。これらの接続は、このヘルパーブロ ッ クをコア内に含めた場合は内部接続とな り、 ヘルパー ブロ ッ ク出力によって駆動される ト ランシーバー プリミ ティブ入力はコア インスタンスのオプシ ョ ン ポート と して有効化できません。 これに対し、 このヘルパー ブロ ックをサンプル デザインに含めた場合はコア境界をまたいだ接続とな り、 ヘルパー ブロ ッ クに接続する ト ランシーバー プリ ミ ティブ ポートは必然的に有効化されます。

マルチ レーン バッファー バイパス シーケンスを実行するには、 各ポート幅にレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クが接続する ト ランシーバー チャネルの数を掛けます。シングルレーン バッファー バイパス シーケンスを使用する場合、 各ト ランシーバー チャネルにはこのヘルパー ブロ ッ クのインスタンスが 1 つのみ存在するため、 乗数は 1 です。

表 2-21: レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート (ヘルパー ブロックをコアに配置)

名前 方向 幅 クロック ド メイン 説明

gtwiz_buffbypass_rx_reset_in 入力 1 gtwiz_buffbypass_rx_clk_in

ヘルパー ブロ ッ ク内のロジ ッ ク を リセッ ト するためのユーザー信号です。すべての ト ラ ンシーバー チャネルでRXUSRCLK2 が安定し た直後にア クテ ィブ High の同期パルスを供給する必要があ り ます。

gtwiz_buffbypass_rx_start_user_in 入力 1 gtwiz_buffbypass_rx_clk_in

このアクテ ィブ High のユーザー信号を同期パルスする と レシーバー バッフ ァー バイパス シーケンスが強制的に再開されます。 使用し ない場合はLow に保持します。

gtwiz_buffbypass_rx_done_out 出力 1 gtwiz_buffbypass_rx_clk_in

レシーバー バッファー バイパス シーケンスが完了したこ とを示すアクテ ィブ High の信号です。

gtwiz_buffbypass_rx_error_out 出力 1 gtwiz_buffbypass_rx_clk_in

レシーバーのバッ フ ァー バイパス コン ト ローラー ヘルパー ブロ ッ クでエラー条件が発生したこ と を示すア クティブ High の信号です。

表 2-22: レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックのその他のユーザー インターフェイス ポート (ヘルパー ブロックをサンプル デザインに配置)

名前 方向 幅クロック ド メイン

説明

gtwiz_buffbypass_rx_clk_in 入力 1 レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クを制御するために使用する ト ラ ンシーバー プ リ ミ テ ィ ブ由来の クロ ッ クです。 レシーバー マスター チャネルのRXUSRCLK2 と同じ ソースで駆動する必要があり ます。

gtwiz_buffbypass_rx_resetdone_in 入力 1 非同期 レシーバー リ セ ッ ト シーケン スが完了してバッファー バイパス シーケンスが開始可能になったこ とを示すアクティブ High の信号です。

Page 26: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 26PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

表 2-23: レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート

名前 方向 幅 クロック ド メイン 説明

rxphaligndone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXPHALIGNDONE へ接続されます。

rxdlysresetdone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXDLYSRESETDONE へ接続されます。

rxsyncout_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXSYNCOUT へ接続されます。

rxsyncdone_in 入力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXSYNCDONE へ接続されます。

rxphdlyreset_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXPHDLYRESET へ接続されます。

rxphalign_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXPHALIGN へ接続されます。

rxphalignen_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXPHALIGNEN へ接続されます。

rxphdlypd_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXPHDLYPD へ接続されます。

rxphovrden_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXPHOVRDEN へ接続されます。

rxdlysreset_out 出力 1 × (チャネル数)

gtwiz_buffbypass_rx_clk_in

(非同期に使用)

ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXDLYSRESET へ接続されます。

rxdlybypass_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXDLYBYPASS へ接続されます。

rxdlyen_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXDLYEN へ接続されます。

rxdlyovrden_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXDLYOVRDEN へ接続されます。

rxsyncmode_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXSYNCMODE へ接続されます。

rxsyncallin_out 出力 1 × (チャネル数)

非同期 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXSYNCALLIN へ接続されます。

rxsyncin_out 出力 1 × (チャネル数)

固定値に接続 ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのRXSYNCIN へ接続されます。

Page 27: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 27PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

ト ランシーバー コモン ポート

ウ ィザード IP コア インスタンスに 1 つまたは複数のアクティブな ト ランシーバー コモン プ リ ミ テ ィブを含めて設定し、 これらのプリ ミ ティブをコア内に配置した場合、表 2-24 に示すポートの一部がウ ィザード IP コア インスタンスに存在します。 これらのポートは、 コア階層を経由して対応する ト ランシーバー コモン プリ ミ テ ィブのポートに接続されます。 カスタマイズした個々のコアには一部のポート しか必要ないため、デフォルトではほとんどのポートがコア インターフェイスのポート と して外部に引き出されません。 オプシ ョ ン ポート有効化の詳細は、 第 4 章 「コアのカスタマイズおよび生成」 を参照してください。

各ポートの幅は、 コア インスタンス内にインスタンシエート された ト ランシーバー コモン プリ ミ ティブの数に比例します。 このポート幅の 下位ビッ トから順に、 有効化された ト ランシーバー コモン プリ ミ ティブがグ リ ッ ドの昇順 (Y 軸の値が先にインク リ メ ン ト してから X 軸の値がインク リ メ ン ト ) に割り当てられます。 例と して、 ポート幅が 3 ビッ トの ト ランシーバー コモン プリ ミ ティブ QPLL0REFCLKSEL の場合で説明します。 仮に、 ウ ィザード IP コアのカスタマイズで GTHE3_COMMON_X0Y2、 GTHE3_COMMON_X0Y5、 および GTHE3_COMMON_X1Y3 の場所に 3 つの GTH ト ラ ンシーバー コモン プ リ ミ テ ィ ブをインス タンシエー ト した とする と、 コア インス タンスのqpll0refclksel_in ポートのサイズは [8:0] とな り、 次のよ うに接続されます。

• qpll0refclksel_in[2:0] は GTHE3_COMMON_X0Y2 の位置にある共通のト ランシーバー インスタンスに接続

• qpll0refclksel_in[5:3] は GTHE3_COMMON_X0Y5 の位置にある共通のト ランシーバー インスタンスに接続

• qpll0refclksel_in[8:6] は GTHE3_COMMON_X1Y3 の位置にある共通のト ランシーバー インスタンスに接続

このよ うなベクター化によって、コアのユーザー インターフェイスをコンパク トで予測可能なものにしています。サンプル デザインには、 連結したベクターの関連するビッ ト スライスを割り当てたプリ ミ ティブ単位の便利な信号が用意されています。 サンプル デザインの機能の詳細は、 第 5 章 「サンプル デザイン」 を参照してください。

この文書では、 ト ランシーバー プリ ミ テ ィブ ポートの使用法については説明しません。 詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

表 2-24: ト ランシーバー コモン ポート

名前 方向 幅 説明

bgbypassb_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの BGBYPASSB へ接続されます。

bgmonitorenb_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの BGMONITORENB へ接続されます。

bgpdb_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの BGPDB へ接続されます。

bgrcalovrd_in 入力 5 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの BGRCALOVRD へ接続されます。

bgrcalovrdenb_in 入力 1 × (コモン数) ト ラ ンシーバー コモン プ リ ミ テ ィブの BGRCALOVRDENBへ接続されます。

drpaddr_common_in 入力 9 × (コモン数) (GTHE3)

10 × (コモン数) (GTYE3)

16 × (コモン数) (GTHE4)

16 × (コモン数) (GTYE4)

ト ランシーバー コモン プリ ミ ティブの DRPADDR へ接続されます。

Page 28: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 28PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

drpclk_common_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの DRPCLK へ接続されます。

drpdi_common_in 入力 16 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの DRPDI へ接続されます。

drpen_common_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの DRPEN へ接続されます。

drpwe_common_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの DRPWE へ接続されます。

gtgrefclk0_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの GTGREFCLK0 へ接続されます。

gtgrefclk1_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの GTGREFCLK1 へ接続されます。

gtnorthrefclk00_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTNORTHREFCLK00へ接続されます。

gtnorthrefclk01_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTNORTHREFCLK01へ接続されます。

gtnorthrefclk10_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTNORTHREFCLK10へ接続されます。

gtnorthrefclk11_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTNORTHREFCLK11へ接続されます。

gtrefclk00_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの GTREFCLK00 へ接続されます。

gtrefclk01_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの GTREFCLK01 へ接続されます。

gtrefclk10_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの GTREFCLK10 へ接続されます。

gtrefclk11_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTREFCLK11 へ接続されます。

gtsouthrefclk00_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTSOUTHREFCLK00へ接続されます。

gtsouthrefclk01_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTSOUTHREFCLK01へ接続されます。

gtsouthrefclk10_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTSOUTHREFCLK10へ接続されます。

gtsouthrefclk11_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの GTSOUTHREFCLK11へ接続されます。

pcierateqpll0_in 入力 3 × (コモン数) ト ランシーバー コモン プリ ミ ティブの PCIERATEQPLL0 へ接続されます (GTHE4 および GTYE4 のみ)。

pcierateqpll1_in 入力 3 × (コモン数) ト ランシーバー コモン プリ ミ ティブの PCIERATEQPLL1 へ接続されます (GTHE4 および GTYE4 のみ)。

pmarsvd0_in 入力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの PMARSVD0 へ接続されます。

pmarsvd1_in 入力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの PMARSVD1 へ接続されます。

表 2-24: ト ランシーバー コモン ポート (続き)

名前 方向 幅 説明

Page 29: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 29PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

qpll0clkrsvd0_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0CLKRSVD0 へ接続されます。

qpll0clkrsvd1_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0CLKRSVD1 へ接続されます (GTHE3、 GTHE4、 GTYE4 のみ)。

qpll0fbdiv_in 入力 8 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0FBDIV へ接続されます (GTHE4 および GTYE4 のみ)。

qpll0lockdetclk_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミティブの QPLL0LOCKDETCLKへ接続されます。

qpll0locken_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0LOCKEN へ接続されます。

qpll0pd_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL0PD へ接続されます。

qpll0refclksel_in 入力 3 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL0REFCLKSEL へ接続されます。

qpll0reset_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0RESET へ接続されます。

qpll1clkrsvd0_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1CLKRSVD0 へ接続されます。

qpll1clkrsvd1_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1CLKRSVD1 へ接続されます (GTHE3、 GTHE4、 GTYE4 のみ)。

qpll1fbdiv_in 入力 8 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1FBDIV へ接続されます (GTHE4 および GTYE4 のみ)。

qpll1lockdetclk_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミティブの QPLL1LOCKDETCLKへ接続されます。

qpll1locken_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1LOCKEN へ接続されます。

qpll1pd_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL1PD へ接続されます。

qpll1refclksel_in 入力 3 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL1REFCLKSEL へ接続されます。

qpll1reset_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1RESET へ接続されます。

qpllrsvd1_in 入力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLLRSVD1 へ接続されます。

qpllrsvd2_in 入力 5 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLLRSVD2 へ接続されます。

qpllrsvd3_in 入力 5 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLLRSVD3 へ接続されます。

qpllrsvd4_in 入力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLLRSVD4 へ接続されます。

rcalenb_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの RCALENB へ接続されます。

sdm0data_in 入力 25 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの SDM0DATA へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

表 2-24: ト ランシーバー コモン ポート (続き)

名前 方向 幅 説明

Page 30: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 30PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

sdm0reset_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM0RESET へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

sdm0toggle_in 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM0TOGGLE へ接続されます (GTHE4 および GTYE4 のみ)。

sdm0width_in 入力 2 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの SDM0WIDTH へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

sdm1data_in 入力 25 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの SDM1DATA へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

sdm1reset_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM1RESET へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

sdm1toggle_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM1TOGGLE へ接続されます (GTHE4 および GTYE4 のみ)。

sdm1width_in 入力 2 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの SDM1WIDTH へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

tcongpi_in 入力 10 × (コモン数) ト ランシーバー コモン プリ ミ ティブの TCONGPI へ接続されます (GTHE4 のみ)。

tconpowerup_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの TCONPOWERUP へ接続されます (GTHE4 のみ)。

tconreset_in 入力 2 × (コモン数) ト ランシーバー コモン プリ ミ ティブの TCONRESET へ接続されます (GTHE4 のみ)。

tconrsvdin1_in 入力 2 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの TCONRSVDIN1 へ接続されます (GTHE4 のみ)。

ubcfgstreamen_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBCFGSTREAMEN へ接続されます (GTYE4 のみ)。

ubdo_in 入力 16 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBDO へ接続されます(GTYE4 のみ)。

ubdrdy_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの UBDRDY へ接続されます (GTYE4 のみ)。

ubenable_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBENABLE へ接続されます (GTYE4 のみ)。

ubgpi_in 入力 2 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの UBGPI へ接続されます (GTYE4 のみ)。

ubintr_in 入力 2 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBINTR へ接続されます (GTYE4 のみ)。

ubiolmbrst_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBIOLMBRST へ接続されます (GTYE4 のみ)。

ubmbrst_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMBRST へ接続されます (GTYE4 のみ)。

ubmdmcapture_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMDMCAPTURE へ接続されます (GTYE4 のみ)。

ubmdmdbgrst_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMDMDBGRST へ接続されます (GTYE4 のみ)。

ubmdmdbgupdate_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMDMDBGUPDATEへ接続されます (GTYE4 のみ)。

表 2-24: ト ランシーバー コモン ポート (続き)

名前 方向 幅 説明

Page 31: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 31PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

ubmdmregen_in 入力 4 × (コモン数) ト ランシーバー コモン プリ ミ テ ィブの UBMDMREGEN へ接続されます (GTYE4 のみ)。

ubmdmshift_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMDMSHIFT へ接続されます (GTYE4 のみ)。

ubmdmsysrst_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMDMSYSRST へ接続されます (GTYE4 のみ)。

ubmdmtck_in 入力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの UBMDMTCK へ接続されます (GTYE4 のみ)。

ubmdmtdi_in 入力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBMDMTDI へ接続されます (GTYE4 のみ)。

drpdo_common_out 出力 16 × (コモン数) ト ランシーバー コモン プリ ミ ティブの DRPDO へ接続されます。

drprdy_common_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの DRPRDY へ接続されます。

pmarsvdout0_out 出力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの PMARSVDOUT0 へ接続されます。

pmarsvdout1_out 出力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの PMARSVDOUT1 へ接続されます。

qpll0fbclklost_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL0FBCLKLOST へ接続されます。

qpll0lock_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL0LOCK へ接続されます。

qpll0outclk_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0OUTCLK へ接続されます。

qpll0outrefclk_out 出力 1 × (コモン数) ト ラ ンシーバー コモン プ リ ミ テ ィブの QPLL0OUTREFCLKへ接続されます。

qpll0refclklost_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL0REFCLKLOSTへ接続されます。

qpll1fbclklost_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL1FBCLKLOST へ接続されます。

qpll1lock_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLL1LOCK へ接続されます。

qpll1outclk_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1OUTCLK へ接続されます。

qpll1outrefclk_out 出力 1 × (コモン数) ト ラ ンシーバー コモン プ リ ミ テ ィブの QPLL1OUTREFCLKへ接続されます。

qpll1refclklost_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの QPLL1REFCLKLOSTへ接続されます。

qplldmonitor0_out 出力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLLDMONITOR0 へ接続されます。

qplldmonitor1_out 出力 8 × (コモン数) ト ランシーバー コモン プリ ミ ティブの QPLLDMONITOR1 へ接続されます。

refclkoutmonitor0_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミティブの REFCLKOUTMONITOR0へ接続されます。

表 2-24: ト ランシーバー コモン ポート (続き)

名前 方向 幅 説明

Page 32: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 32PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

refclkoutmonitor1_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミティブの REFCLKOUTMONITOR1へ接続されます。

rxrecclk0_sel_out 出力 2 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの RXRECCLK0_SEL へ接続されます (GTHE3 および GTYE3 のみ)。

rxrecclk1_sel_out 出力 2 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの RXRECCLK1_SEL へ接続されます (GTHE3 および GTYE3 のみ)。

sdm0finalout_out 出力 4 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM0FINALOUT へ接続されます (GTE3、 GTHE4、 GTYE4Y のみ)。

sdm0testdata_out 出力 15 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM0TESTDATA へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

rxrecclk0sel_out 出力 2 × (コモン数) ト ランシーバー コモン プリ ミ ティブの RXRECCLK0SEL へ接続されます (GTHE4 および GTYE4 のみ)。

rxrecclk1sel_out 出力 2 × (コモン数) ト ランシーバー コモン プリ ミ ティブの RXRECCLK1SEL へ接続されます (GTHE4 および GTYE4 のみ)。

sdm1finalout_out 出力 4 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM1FINALOUT へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

sdm1testdata_out 出力 15 × (コモン数) ト ランシーバー コモン プリ ミ ティブの SDM1TESTDATA へ接続されます (GTYE3、 GTHE4、 GTYE4 のみ)。

tcongpo_out 出力 10 × (コモン数) ト ランシーバー コモン プリ ミ ティブの TCONGPO へ接続されます (GTHE4 のみ)。

tconrsvdout0_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの TCONRSVDOUT0 へ接続されます (GTHE4 のみ)。

ubdaddr_out 出力 16 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの UBDADDR へ接続されます (GTYE4 のみ)。

ubden_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBDEN へ接続されます (GTYE4 のみ)。

ubdi_out 出力 16 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBDI へ接続されます(GTYE4 のみ)。

ubdwe_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBDWE へ接続されます (GTYE4 のみ)。

ubmdmtdo_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの UBMDMTDO へ接続されます (GTYE4 のみ)。

ubrsvdout_out 出力 1 × (コモン数) ト ランシーバー コモン プ リ ミ テ ィブの UBRSVDOUT へ接続されます (GTYE4 のみ)。

ubtxuart_out 出力 1 × (コモン数) ト ランシーバー コモン プリ ミ ティブの UBTXUART へ接続されます (GTYE4 のみ)。

表 2-24: ト ランシーバー コモン ポート (続き)

名前 方向 幅 説明

Page 33: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 33PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

ト ランシーバー チャネル ポート

表 2-25 に示すポートの一部がウ ィザード IP コア インスタンスに存在します。 これらのポートは、 コア階層を経由して対応する ト ランシーバー チャネル プリ ミ ティブのポートに接続されます。 カスタマイズした個々のコアには一部のポート しか必要ないため、デフォルトではほとんどのポートがコア インターフェイスのポート と して外部に引き出されません。 オプシ ョ ン ポート有効化の詳細は、 第 4 章 「コアのカスタマイズおよび生成」 を参照して ください。

各ポートの幅は、 コア インスタンス内にインスタンシエート された ト ランシーバー チャネル プリ ミ ティブの数に比例します。 このポート幅の 下位ビッ トから順に、 有効化された ト ランシーバー チャネル プリ ミ テ ィブがグ リ ッ ドの昇順 (Y 軸の値が先にインク リ メ ン ト してから X 軸の値がインク リ メ ン ト ) に割り当てられます。 例と して、 ポート幅が 4 ビッ トの ト ランシーバー チャネル プリ ミ ティブ TXDIFFCTRL の場合で説明します。仮に、ウ ィザード IP コアのカスタマイズで GTHE3_CHANNEL_X0Y3、 GTHE3_CHANNEL_X0Y10、 および GTHE3_CHANNEL_X1Y0 の場所に 3 つの GTH ト ランシーバー チャネル プリ ミ テ ィブをインスタンシエート したとする と、 コア インスタンスのtxdiffctrl_in ポートのサイズは [11:0] とな り、 次のよ うに接続されます。

• txdiffctrl_in[3:0] は GTHE3_CHANNEL_X0Y3 の位置にある ト ランシーバー チャネル インスタンスに接続

• txdiffctrl_in[7:4] は GTHE3_CHANNEL_X0Y10 の位置にある ト ランシーバー チャネル インスタンスに接続

• txdiffctrl_in[11:8] は GTHE3_CHANNEL_X1Y0 の位置にある ト ランシーバー チャネル インスタンスに接続

このよ うなベクター化によって、コアのユーザー インターフェイスをコンパク トで予測可能なものにしています。サンプル デザインには、 連結したベクターの関連するビッ ト スライスを割り当てたプリ ミ ティブ単位の便利な信号が用意されています。 サンプル デザインの機能の詳細は、 第 5 章 「サンプル デザイン」 を参照してください。

この文書では、 ト ランシーバー プリ ミ テ ィブ ポートの使用法については説明しません。 詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

表 2-25: ト ランシーバー チャネル ポート

名前 方向 幅 説明

cdrstepdir_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブのCDRSTEPDIR へ接続されます (GTYE3、 GTHE4、GTYE4 のみ)。

cdrstepsq_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブのCDRSTEPSQ へ接続されます (GTYE3、 GTHE4、GTYE4 のみ)。

cdrstepsx_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CDRSTEPSX へ接続されます (GTYE3、 GTHE4、GTYE4 のみ)。

cfgreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CFGRESET へ接続されます。

clkrsvd0_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CLKRSVD0 へ接続されます。

clkrsvd1_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CLKRSVD1 へ接続されます。

cpllfreqlock_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLFREQLOCK へ接続されます (GTHE4 および GTYE4 のみ)。

cplllockdetclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLLOCKDETCLK へ接続されます。

Page 34: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 34PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

cplllocken_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLLOCKEN へ接続されます。

cpllpd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLPD へ接続されます。

cpllrefclksel_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLREFCLKSEL へ接続されます。

cpllreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLRESET へ接続されます。

dmonfiforeset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DMONFIFORESET へ接続されます。

dmonitorclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DMONITORCLK へ接続されます。

drpaddr_in 入力 drp_addr_width × (チャネル数)

ト ランシーバー チャネル プリ ミ ティブの DRPADDR へ接続されます。

drp_addr_width の詳細は、 『UltraScale アーキテクチャ GTH ト ラ ンシーバー ユーザー ガイ ド』(UG576) [参照 1] または 『UltraScale アーキテ クチャ GTY ト ラ ンシーバー ユーザー ガイ ド』(UG578) [参照 2] を参照して ください。

drpclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPCLK へ接続されます。 このポートは、gtwiz_reset_clk_freerun_in と同じ安定したソースに接続するこ とを推奨します。

drpdi_in 入力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPDI へ接続されます。

drpen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPEN へ接続されます。

drprst_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPRST へ接続されます (GTHE4 および GTYE4 のみ)。

drpwe_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPWE へ接続されます。

elpcaldvorwren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの ELPCALDVORWREN へ接続されます (GTYE3 のみ)。

elpcalpaorwren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミティブの ELPCALPAORWREN へ接続されます (GTYE3 のみ)。

evoddphicaldone_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EVODDPHICALDONE へ接続されます (GTHE3 および GTYE3 のみ)。

evoddphicalstart_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EVODDPHICALSTART へ接続されます (GTHE3 および GTYE3 のみ)。

evoddphidrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EVODDPHIDRDEN へ接続されます (GTHE3 および GTYE3 のみ)。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 35: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 35PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

evoddphidwren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EVODDPHIDWREN へ接続されます (GTHE3 および GTYE3 のみ)。

evoddphixrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EVODDPHIXRDEN へ接続されます (GTHE3 および GTYE3 のみ)。

evoddphixwren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EVODDPHIXWREN へ接続されます (GTHE3 および GTYE3 のみ)。

eyescanmode_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブのEYESCANMODE へ接続されます (GTHE3 および GTYE3 のみ)。

eyescanreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EYESCANRESET へ接続されます。

eyescantrigger_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EYESCANTRIGGER へ接続されます。

freqos_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの FREQOS へ接続されます (GTHE4 および GTYE4 のみ)。

gtgrefclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTGREFCLK へ接続されます。

gthrxn_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTHRXN へ接続されます (GTH のみ)。

gthrxp_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTHRXP へ接続されます (GTH のみ)。

gtnorthrefclk0_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTNORTHREFCLK0 へ接続されます。

gtnorthrefclk1_in 入力 1 × (チャネル数) ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのGTNORTHREFCLK1 へ接続されます。

gtrefclk0_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTREFCLK0 へ接続されます。

gtrefclk1_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTREFCLK1 へ接続されます。

gtresetsel_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTRESETSEL へ接続されます (GTHE3 および GTYE3 のみ)。

gtrsvd_in 入力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTRSVD へ接続されます。

gtrxreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTRXRESET へ接続されます。

gtrxresetsel_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTRXRESETSEL へ接続されます (GTHE4 および GTYE4 のみ)。

gtsouthrefclk0_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTSOUTHREFCLK0 へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 36: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 36PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

gtsouthrefclk1_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTSOUTHREFCLK1 へ接続されます。

gttxreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTTXRESET へ接続されます。

gttxresetsel_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTTXRESETSEL へ接続されます (GTHE4 および GTYE4 のみ)。

incpctrl_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの INCPCTRL へ接続されます (GTHE4 および GTYE4 のみ)。

gtyrxn_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTYRXN へ接続されます (GTY のみ)。

gtyrxp_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTYRXP へ接続されます (GTY のみ)。

loopback_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの LOOPBACK へ接続されます。

looprsvd_in 入力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの LOOPRSVD へ接続されます (GTYE3 のみ)。

lpbkrxtxseren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの LPBKRXTXSEREN へ接続されます (GTHE3 および GTYE3 のみ)。

lpbktxrxseren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの LPBKTXRXSEREN へ接続されます (GTHE3 および GTYE3 のみ)。

pcieeqrxeqadaptdone_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIEEQRXEQADAPTDONE へ接続されます。

pcierstidle_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIERSTIDLE へ接続されます。

pciersttxsyncstart_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIERSTTXSYNCSTART へ接続されます。

pcieuserratedone_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CIEUSERRATEDONE へ接続されます。

pcsrsvdin_in 入力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCSRSVDIN へ接続されます。

pcsrsvdin2_in 入力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCSRSVDIN2 へ接続されます (GTHE3 および GTYE3 のみ)。

pmarsvdin_in 入力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PMARSVDIN へ接続されます (GTHE3 および GTYE3 のみ)。

qpll0clk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの QPLL0CLK へ接続されます。

qpll0freqlock_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの QPLL0FREQLOCK へ接続されます (GTHE4 および GTYE4 のみ)。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 37: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 37PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

qpll0refclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの QPLL0REFCLK へ接続されます。

qpll1clk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの QPLL1CLK へ接続されます。

qpll1freqlock_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの QPLL1FREQLOCK へ接続されます (GTHE4 および GTYE4 のみ)。

qpll1refclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの QPLL1REFCLK へ接続されます。

resetovrd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RESETOVRD へ接続されます。

rstclkentx_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RSTCLKENTX へ接続されます (GTHE3 および GTYE3 のみ)。

rx8b10ben_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RX8B10BEN へ接続されます。

rxafecfoken_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXAFECFOKEN へ接続されます (GTHE4 および GTYE4 のみ)。

rxbufreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXBUFRESET へ接続されます。

rxcdrfreqreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDRFREQRESET へ接続されます。

rxcdrhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDRHOLD へ接続されます。

rxcdrovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDROVRDEN へ接続されます。

rxcdrreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDRRESET へ接続されます。

rxcdrresetrsv_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDRRESETRSV へ接続されます (GTHE3 および GTYE3 のみ)。

rxchbonden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHBONDEN へ接続されます。

rxchbondi_in 入力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHBONDI へ接続されます。

rxchbondlevel_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHBONDLEVEL へ接続されます。

rxchbondmaster_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHBONDMASTER へ接続されます。

rxchbondslave_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHBONDSLAVE へ接続されます。

rxckcalreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCKCALRESET へ接続されます (GTYE3、GTHE4、 GTYE4 のみ)。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 38: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 38PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxckcalstart_in 入力 7 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCKCALSTART へ接続されます (GTHE4 および GTYE4 のみ)。

rxcommadeten_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCOMMADETEN へ接続されます。

rxdfeagcctrl_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEAGCCTRL へ接続されます (GTH のみ)。

rxdccforcestart_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDCCFORCESTART へ接続されます (GTYE3 のみ)。

rxdfeagchold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEAGCHOLD へ接続されます。

rxdfeagcovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEAGCOVRDEN へ接続されます。

rxdfecfokfcnum_in 入力 4 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFECFOKFCNUM へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfecfokfen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFECFOKFEN へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfecfokfpulse_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFECFOKFPULSE へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfecfokhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFECFOKHOLD へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfecfokovren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFECFOKOVREN へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfekhhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEKHHOLD へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfekhovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEKHOVRDEN へ接続されます (GTHE4 および GTYE4 のみ)。

rxdfelfhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFELFHOLD へ接続されます。

rxdfelfovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFELFOVRDEN へ接続されます。

rxdfelpmreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFELPMRESET へ接続されます。

rxdfetap10hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP10HOLD へ接続されます。

rxdfetap10ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP10OVRDEN へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 39: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 39PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxdfetap11hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP11HOLD へ接続されます。

rxdfetap11ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP11OVRDEN へ接続されます。

rxdfetap12hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP12HOLD へ接続されます。

rxdfetap12ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP12OVRDEN へ接続されます。

rxdfetap13hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP13HOLD へ接続されます。

rxdfetap13ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP13OVRDEN へ接続されます。

rxdfetap14hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP14HOLD へ接続されます。

rxdfetap14ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP14OVRDEN へ接続されます。

rxdfetap15hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP15HOLD へ接続されます。

rxdfetap15ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP15OVRDEN へ接続されます。

rxdfetap2hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP2HOLD へ接続されます。

rxdfetap2ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP2OVRDEN へ接続されます。

rxdfetap3hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP3HOLD へ接続されます。

rxdfetap3ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP3OVRDEN へ接続されます。

rxdfetap4hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP4HOLD へ接続されます。

rxdfetap4ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP4OVRDEN へ接続されます。

rxdfetap5hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP5HOLD へ接続されます。

rxdfetap5ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP5OVRDEN へ接続されます。

rxdfetap6hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP6HOLD へ接続されます。

rxdfetap6ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP6OVRDEN へ接続されます。

rxdfetap7hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP7HOLD へ接続されます。

rxdfetap7ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP7OVRDEN へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 40: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 40PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxdfetap8hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP8HOLD へ接続されます。

rxdfetap8ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP8OVRDEN へ接続されます。

rxdfetap9hold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP9HOLD へ接続されます。

rxdfetap9ovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFETAP9OVRDEN へ接続されます。

rxdfeuthold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEUTHOLD へ接続されます。

rxdfeutovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEUTOVRDEN へ接続されます。

rxdfevphold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEVPHOLD へ接続されます。

rxdfevpovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEVPOVRDEN へ接続されます。

rxdfevsen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEVSEN へ接続されます (GTHE3 および GTYE3 のみ)。

rxdfexyden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDFEXYDEN へ接続されます。

rxdlybypass_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDLYBYPASS へ接続されます。

rxdlyen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDLYEN へ接続されます。

rxdlyovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDLYOVRDEN へ接続されます。

rxdlysreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDLYSRESET へ接続されます。

rxelecidlemode_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXELECIDLEMODE へ接続されます。

rxeqtraining_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXEQTRAINING へ接続されます (GTHE4 および GTYE4 のみ)。

rxgearboxslip_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXGEARBOXSLIP へ接続されます。

rxlatclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLATCLK へ接続されます。

rxlpmen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMEN へ接続されます。

rxlpmgchold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMGCHOLD へ接続されます。

rxlpmgcovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMGCOVRDEN へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 41: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 41PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxlpmhfhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMHFHOLD へ接続されます。

rxlpmhfovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMHFOVRDEN へ接続されます。

rxlpmlfhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMLFHOLD へ接続されます。

rxlpmlfklovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMLFKLOVRDEN へ接続されます。

rxlpmoshold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMOSHOLD へ接続されます。

rxlpmosovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLPMOSOVRDEN へ接続されます。

rxmcommaalignen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXMCOMMAALIGNEN へ接続されます。

rxmonitorsel_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXMONITORSEL へ接続されます。

rxoobreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOOBRESET へ接続されます。

rxoscalreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSCALRESET へ接続されます。

rxoshold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSHOLD へ接続されます。

rxosintcfg_in 入力 4 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTCFG へ接続されます (GTHE3 および GTYE3 のみ)。

rxosinten_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTEN へ接続されます (GTHE3 および GTYE3 のみ)。

rxosinthold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTHOLD へ接続されます (GTHE3 および GTYE3 のみ)。

rxosintovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTOVRDEN へ接続されます (GTHE3 および GTYE3 のみ)。

rxosintstrobe_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTSTROBE へ接続されます (GTHE3 および GTYE3 のみ)。

rxosinttestovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTTESTOVRDEN へ接続されます (GTHE3 および GTYE3 のみ)。

rxosovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSOVRDEN へ接続されます。

rxoutclksel_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOUTCLKSEL へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 42: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 42PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxpcommaalignen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPCOMMAALIGNEN へ接続されます。

rxpcsreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPCSRESET へ接続されます。

rxpd_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPD へ接続されます。

rxphalign_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHALIGN へ接続されます。

rxphalignen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHALIGNEN へ接続されます。

rxphdlypd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHDLYPD へ接続されます。

rxphdlyreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHDLYRESET へ接続されます。

rxphovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHOVRDEN へ接続されます (GTE3、 GTHE4、GTYE4 のみ)。

rxpllclksel_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPLLCLKSEL へ接続されます。

rxpmareset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPMARESET へ接続されます。

rxpolarity_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPOLARITY へ接続されます。

rxprbscntreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPRBSCNTRESET へ接続されます。

rxprbssel_in 入力 4 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPRBSSEL へ接続されます。

rxprogdivreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPROGDIVRESET へ接続されます。

rxqpien_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXQPIEN へ接続されます (GTH のみ)。

rxrate_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXRATE へ接続されます。

rxratemode_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXRATEMODE へ接続されます。

rxslide_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIDE へ接続されます。

rxslipoutclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIPOUTCLK へ接続されます。

rxslippma_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIPPMA へ接続されます。

rxsyncallin_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSYNCALLIN へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 43: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 43PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxsyncin_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSYNCIN へ接続されます。

rxsyncmode_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSYNCMODE へ接続されます。

rxsysclksel_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSYSCLKSEL へ接続されます。

rxtermination_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXTERMINATION へ接続されます (GTHE4 および GTYE4 のみ)。

rxuserrdy_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXUSERRDY へ接続されます。

rxusrclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXUSRCLK へ接続されます。

rxusrclk2_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXUSRCLK2 へ接続されます。

sigvalidclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの SIGVALIDCLK へ接続されます。

tstin_in 入力 20 × (チャネル数) ト ランシーバー チャネル プ リ ミ テ ィブの TSTINへ接続されます。

tx8b10bbypass_in 入力 8 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TX8B10BBYPASS へ接続されます。

tx8b10ben_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TX8B10BEN へ接続されます。

txbufdiffctrl_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXBUFDIFFCTRL へ接続されます (GTHE3 および GTYE3 のみ)。

txcominit_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCOMINIT へ接続されます。

txcomsas_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCOMSAS へ接続されます。

txcomwake_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCOMWAKE へ接続されます。

txctrl0_in 入力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCTRL0 へ接続されます。

txctrl1_in 入力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCTRL1 へ接続されます。

txctrl2_in 入力 8 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCTRL2 へ接続されます。

txdata_in 入力 128 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDATA へ接続されます。

txdataextendrsvd_in 入力 8 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDATAEXTENDRSVD へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 44: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 44PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

txdccforcestart_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDCCFORCESTART へ接続されます (GTYE3、GTHE4、 GTYE4 のみ)。

txdccreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDCCRESET へ接続されます (GTYE3、 GTHE4、GTYE4 のみ)。

txdeemph_in 入力 1 × (チャネル数) (GTHE3 または GTYE3 の場合)

2 × (チャネル数) (GTHE4 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの TXDEEMPH へ接続されます。

txdetectrx_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDETECTRX へ接続されます。

txdiffctrl_in 入力 4 × (チャネル数) (GTHE3)

5 × (チャネル数) (GTYE3、GTHE4、 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの TXDIFFCTRL へ接続されます。

txdiffpd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDIFFPD へ接続されます (GTHE3 および GTYE3のみ)。

txdlybypass_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYBYPASS へ接続されます。

txdlyen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYEN へ接続されます。

txdlyhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYHOLD へ接続されます。

txdlyovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYOVRDEN へ接続されます。

txdlysreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYSRESET へ接続されます。

txdlyupdown_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYUPDOWN へ接続されます。

txelecidle_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXELECIDLE へ接続されます。

txelforcestart_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXELFORCESTART へ接続されます (GTYE3 のみ)。

txheader_in 入力 6 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXHEADER へ接続されます。

txinhibit_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXINHIBIT へ接続されます。

txlatclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXLATCLK へ接続されます。

txlfpstreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXLFPSTRESET へ接続されます (GTHE4 および GTYE4 のみ)。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 45: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 45PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

txlfpsu2lpexit_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXLFPSU2LPEXIT へ接続されます (GTHE4 および GTYE4 のみ)。

txlfpsu3wake_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXLFPSU3WAKE へ接続されます (GTHE4 および GTYE4 のみ)。

txmaincursor_in 入力 7 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXMAINCURSOR へ接続されます。

txmargin_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXMARGIN へ接続されます。

txmuxdcdexhold_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXMUXDCDEXHOLD へ接続されます (GTHE4 および GTYE4 のみ)。

txmuxdcdorwren_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXMUXDCDORWREN へ接続されます (GTHE4 および GTYE4 のみ)。

txoneszeros_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXONESZEROS へ接続されます (GTHE4 および GTYE4 のみ)。

txoutclksel_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXOUTCLKSEL へ接続されます。

txpcsreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPCSRESET へ接続されます。

txpd_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPD へ接続されます。

txpdelecidlemode_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPDELECIDLEMODE へ接続されます。

txphalign_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHALIGN へ接続されます。

txphalignen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHALIGNEN へ接続されます。

txphdlypd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHDLYPD へ接続されます。

txphdlyreset_in 入力 1 × (チャネル数) ト ラ ンシーバー チャネル プ リ ミ テ ィ ブのTXPHDLYRESET へ接続されます。

txphdlytstclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHDLYTSTCLK へ接続されます。

txphinit_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHINIT へ接続されます。

txphovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHOVRDEN へ接続されます。

txpippmen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPIPPMEN へ接続されます。

txpippmovrden_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPIPPMOVRDEN へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 46: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 46PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

txpippmpd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPIPPMPD へ接続されます。

txpippmsel_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPIPPMSEL へ接続されます。

txpippmstepsize_in 入力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPIPPMSTEPSIZE へ接続されます。

txpisopd_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPISOPD へ接続されます。

txpllclksel_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPLLCLKSEL へ接続されます。

txpmareset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPMARESET へ接続されます。

txpolarity_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPOLARITY へ接続されます。

txpostcursor_in 入力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPOSTCURSOR へ接続されます。

txpostcursorinv_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPOSTCURSORINV へ接続されます (GTHE3 のみ)。

txprbsforceerr_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPRBSFORCEERR へ接続されます。

txprbssel_in 入力 4 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPRBSSEL へ接続されます。

txprecursor_in 入力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPRECURSOR へ接続されます。

txprecursorinv_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPRECURSORINV へ接続されます (GTHE3 のみ)。

txprogdivreset_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPROGDIVRESET へ接続されます。

txqpibiasen_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXQPIBIASEN へ接続されます (GTH のみ)。

txqpistrongpdown_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXQPISTRONGPDOWN へ接続されます (GTHE3 のみ)。

txqpiweakpup_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXQPIWEAKPUP へ接続されます (GTH のみ)。

txrate_in 入力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXRATE へ接続されます。

txratemode_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXRATEMODE へ接続されます。

txsequence_in 入力 7 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSEQUENCE へ接続されます。

txswing_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSWING へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 47: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 47PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

txsyncallin_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSYNCALLIN へ接続されます。

txsyncin_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSYNCIN へ接続されます。

txsyncmode_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSYNCMODE へ接続されます。

txsysclksel_in 入力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSYSCLKSEL へ接続されます。

txuserrdy_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXUSERRDY へ接続されます。

txusrclk_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXUSRCLK へ接続されます。

txusrclk2_in 入力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXUSRCLK2 へ接続されます。

bufgtce_out 出力 3 × (チャネル数) (GTHE3 または GTYE3 の場合)

1 × (チャネル数) (GTHE4 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの BUFGTCE へ接続されます。

bufgtcemask_out 出力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの BUFGTCEMASK へ接続されます。

bufgtdiv_out 出力 9 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの BUFGTDIV へ接続されます。

bufgtreset_out 出力 3 × (チャネル数) (GTHE3 または GTYE3 の場合)

1 × (チャネル数) (GTHE4 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの BUFGTRESET へ接続されます。

bufgtrstmask_out 出力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの BUFGTRSTMASK へ接続されます。

cpllfbclklost_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLFBCLKLOST へ接続されます。

cplllock_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLLOCK へ接続されます。

cpllrefclklost_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの CPLLREFCLKLOST へ接続されます。

dmonitorout_out 出力 17 × (チャネル数) (GTHE3 または GTYE3 の場合)

16 × (チャネル数) (GTHE4 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの DMONITOROUT へ接続されます。

dmonitoroutclk_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DMONITOROUTCLK へ接続されます (GTHE4 および GTYE4 のみ)。

drpdo_out 出力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPDO へ接続されます。

drprdy_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの DRPRDY へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 48: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 48PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

eyescandataerror_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの EYESCANDATAERROR へ接続されます。

gthtxn_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTHTXN へ接続されます (GTH のみ)。

gthtxp_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTHTXP へ接続されます (GTH のみ)。

gtpowergood_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTPOWERGOOD へ接続されます。

gtrefclkmonitor_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTREFCLKMONITOR へ接続されます。

gtytxn_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTYTXN へ接続されます (GTY のみ)。

gtytxp_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの GTYTXP へ接続されます (GTY のみ)。

pcierategen3_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIERATEGEN3 へ接続されます。

pcierateidle_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIERATEIDLE へ接続されます。

pcierateqpllpd_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIERATEQPLLPD へ接続されます。

pcierateqpllreset_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIERATEQPLLRESET へ接続されます。

pciesynctxsyncdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIESYNCTXSYNCDONE へ接続されます。

pcieusergen3rdy_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIEUSERGEN3RDY へ接続されます。

pcieuserphystatusrst_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIEUSERPHYSTATUSRST へ接続されます。

pcieuserratestart_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PCIEUSERRATESTART へ接続されます。

pcsrsvdout_out 出力 12 × (チャネル数) (GTHE3)

16 × (チャネル数) (GTYE3、GTHE4、 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの PCSRSVDOUT へ接続されます。

phystatus_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの PHYSTATUS へ接続されます。

pinrsrvdas_out 出力 8 × (チャネル数) (GTHE3 または GTYE3 の場合)

16 × (チャネル数) (GTHE4 または GTYE4 の場合)

ト ランシーバー チャネル プリ ミ ティブの PINRSRVDAS へ接続されます。

powerpresent_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの POWERPRESENT へ接続されます (GTHE4 および GTYE4 のみ)。

resetexception_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RESETEXCEPTION へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 49: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 49PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxbufstatus_out 出力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXBUFSTATUS へ接続されます。

rxbyteisaligned_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXBYTEISALIGNED へ接続されます。

rxbyterealign_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXBYTEREALIGN へ接続されます。

rxcdrlock_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDRLOCK へ接続されます。

rxcdrphdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCDRPHDONE へ接続されます。

rxchanbondseq_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHANBONDSEQ へ接続されます。

rxchanisaligned_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHANISALIGNED へ接続されます。

rxchanrealign_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHANREALIGN へ接続されます。

rxchbondo_out 出力 5 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCHBONDO へ接続されます。

rxckcaldone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCKCALDONE へ接続されます (GTYE3、GTHE4、 GTYE4 のみ)。

rxclkcorcnt_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCLKCORCNT へ接続されます。

rxcominitdet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCOMINITDET へ接続されます。

rxcommadet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCOMMADET へ接続されます。

rxcomsasdet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCOMSASDET へ接続されます。

rxcomwakedet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCOMWAKEDET へ接続されます。

rxctrl0_out 出力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCTRL0 へ接続されます。

rxctrl1_out 出力 16 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCTRL1 へ接続されます。

rxctrl2_out 出力 8 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCTRL2 へ接続されます。

rxctrl3_out 出力 8 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXCTRL3 へ接続されます。

rxdata_out 出力 128 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDATA へ接続されます。

rxdataextendrsvd_out 出力 8 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDATAEXTENDRSVD へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 50: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 50PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxdatavalid_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDATAVALID へ接続されます。

rxdlysresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXDLYSRESETDONE へ接続されます。

rxelecidle_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXELECIDLE へ接続されます。

rxheader_out 出力 6 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXHEADER へ接続されます。

rxheadervalid_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXHEADERVALID へ接続されます。

rxlfpstresetdet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLFPSTRESETDET へ接続されます (GTHE4 および GTYE4 のみ)。

rxlfpsu2lpexitdet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLFPSU2LPEXITDET へ接続されます (GTHE4 および GTYE4 のみ)。

rxlfpsu3wakedet_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXLFPSU3WAKEDET へ接続されます (GTHE4 および GTYE4 のみ)。

rxmonitorout_out 出力 7 × (チャネル数) (GTHE3 および GTYE3 のみ)

8 × (チャネル数) (GTHE4 および GTYE4 のみ)

ト ランシーバー チャネル プリ ミ ティブの RXMONITOROUT へ接続されます。

rxosintdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTDONE へ接続されます。

rxosintstarted_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTSTARTED へ接続されます。

rxosintstrobedone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTSTROBEDONE へ接続されます。

rxosintstrobestarted_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOSINTSTROBESTARTED へ接続されます。

rxoutclk_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOUTCLK へ接続されます。

rxoutclkfabric_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOUTCLKFABRIC へ接続されます。

rxoutclkpcs_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXOUTCLKPCS へ接続されます。

rxphaligndone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHALIGNDONE へ接続されます。

rxphalignerr_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPHALIGNERR へ接続されます。

rxpmaresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPMARESETDONE へ接続されます。

rxprbserr_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPRBSERR へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 51: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 51PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

rxprbslocked_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPRBSLOCKED へ接続されます。

rxprgdivresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXPRGDIVRESETDONE へ接続されます。

rxqpisenn_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXQPISENN へ接続されます (GTH のみ)。

rxqpisenp_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXQPISENP へ接続されます (GTH のみ)。

rxratedone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXRATEDONE へ接続されます。

rxrecclkout_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXRECCLKOUT へ接続されます。

rxresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXRESETDONE へ接続されます。

rxsliderdy_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIDERDY へ接続されます。

rxslipdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIPDONE へ接続されます。

rxslipoutclkrdy_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIPOUTCLKRDY へ接続されます。

rxslippmardy_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSLIPPMARDY へ接続されます。

rxstartofseq_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSTARTOFSEQ へ接続されます。

rxstatus_out 出力 3 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSTATUS へ接続されます。

rxsyncdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSYNCDONE へ接続されます。

rxsyncout_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXSYNCOUT へ接続されます。

rxvalid_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの RXVALID へ接続されます。

txbufstatus_out 出力 2 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXBUFSTATUS へ接続されます。

txcomfinish_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXCOMFINISH へ接続されます。

txdccdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDCCDONE へ接続されます (GTYE3、 GTHE4、GTYE4 のみ)。

txdlysresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXDLYSRESETDONE へ接続されます。

txoutclk_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXOUTCLK へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 52: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 52PG182 2017 年 10 月 4 日

第 2 章: 製品仕様

txoutclkfabric_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXOUTCLKFABRIC へ接続されます。

txoutclkpcs_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXOUTCLKPCS へ接続されます。

txphaligndone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHALIGNDONE へ接続されます。

txphinitdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPHINITDONE へ接続されます。

txpmaresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPMARESETDONE へ接続されます。

txprgdivresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXPRGDIVRESETDONE へ接続されます。

txqpisenn_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXQPISENN へ接続されます (GTH のみ)。

txqpisenp_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXQPISENP へ接続されます (GTH のみ)。

txratedone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXRATEDONE へ接続されます。

txresetdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXRESETDONE へ接続されます。

txsyncdone_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSYNCDONE へ接続されます。

txsyncout_out 出力 1 × (チャネル数) ト ランシーバー チャネル プリ ミ ティブの TXSYNCOUT へ接続されます。

表 2-25: ト ランシーバー チャネル ポート (続き)

名前 方向 幅 説明

Page 53: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 53PG182 2017 年 10 月 4 日

第 3 章

コアを使用するデザインこの章では、UltraScale™ FPGAs Transceivers Wizard IP コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン ガイド ライン基本的に、 このコアのデザイン ガイ ド ラインは、 ウ ィザード IP によってインスタンシエート されるシ リ アル ト ランシーバーのデザイン ガイ ド ラ インと同じです。 シ リ アル ト ランシーバーの一般的な使用法、 およびシステムでシ リアル ト ランシーバーを正し く動作させるために必要な手順を理解しておく必要があ り ます。詳細は、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

ウ ィザード IP は Vivado® 統合設計環境 (IDE) で非常に柔軟なカスタマイズが可能で、 ト ランシーバーの使用モードの基本的なカスタマイズに加え、 リ ソースの位置を選択するインターフェイスやオプシ ョ ン ポート有効化インターフェイスも利用でき、ヘルパー ブロ ッ クの配置場所も選択できます。 このよ うにして、個々のアプリ ケーシ ョ ン要件に応じたコア インスタンスを生成できます。 このため、 ウ ィザード IP のコア インスタンスはユーザーによる変更の必要がなく、生成されたファイルを直接編集するこ とは避けてください。生成したコアの出力ファイルに変更を加えた場合、 タイ ミ ング、 機能、 サポートは保証されません。

ヘルパー ブロックを使用するデザイン

ウ ィザード IP に付属するヘルパー ブロ ッ ク モジュールを使用する と、 ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにできます。次のセクシ ョ ンからは、これらヘルパー ブロ ッ クの設計および使用に関するガイド ラインを示します。

ヘルパー ブロ ッ クをコアとサンプル デザインのどちらに含めるかを決めるには、 その長所と短所を考慮する必要があ り ます。ヘルパー ブロ ッ クをコアに含める と、 インターフェイスが抽象化されシンプルになるだけでなく、 コアを新しいバージ ョ ンにアップグレードする とその一部であるヘルパー ブロ ッ ク も更新される利点があ り ます。 ただし、用途に応じてヘルパー ブロ ッ クの動作を変更する場合でも、 ユーザーによる変更はできません。

ヘルパー ブロ ッ クをサンプル デザインに含める と、 ヘルパー ブロ ッ クの接続や内容を個々の要件に合わせて変更してユーザーのシステムに統合できる利点があ り ます。ただしヘルパー ブロッ クはコアに含まれないため、コアを新しいバージ ョ ンにアップグレード した場合はサンプル デザインを生成し直し、手作業による変更をも う一度加える必要があ り ます。 サンプル デザインの内容を変更した場合、 ザイ リ ンクスのサポートは保証されません。

サンプル デザインを使用するデザイン

ウ ィザード IP は、 どのよ う なコア インスタンスに対してもサンプル デザインを生成できます。 サンプル デザインは、 コア インスタンス、 サンプル デザインに含めるよ うに選択したすべてのヘルパー ブロ ッ ク、 必要な基準クロ ックおよびリ カバリ ク ロ ッ ク バッファーをインスタンシエート します。 また、 チャネル単位のベクター スライシングなどの便利な機能も各種利用できます。コアをカスタマイズする と、その内容をサポートするためにサンプル デザインの内容もカスタマイズされます。サンプル デザインはデモンス ト レーシ ョ ンと して使用するか、カスタマイズしてユーザーのシステムに統合する使用法があ り ます。

Page 54: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 54PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

リセッ ト コン ト ローラー ヘルパー ブロックリセッ ト コン ト ローラー ヘルパー ブロ ッ クは、シ リ アル ト ランシーバー プリ ミ ティブのリセッ トおよび初期化シーケンスを簡略化します。 このヘルパー ブロ ッ クを動作させるには、 デバイス コンフ ィギュレーシ ョ ン前の IP のカスタマイズ時に指定した周波数で ト グルするフ リーランニング ク ロ ッ ク gtwiz_reset_clk_freerun_in をヘルパー ブロ ッ クに供給する必要があ り ます。

このヘルパー ブロ ッ クのインスタンスは、 ウ ィザード IP コアの各インスタンスに 1 つ生成されます。 このヘルパーブロ ッ クのユーザー インターフェイスを使用する と、簡単な方法でト ランシーバーのリセッ ト手順を開始し、その完了をモニターできます。 このヘルパー ブロ ッ クの ト ランシーバー インターフェイスはコア インスタンス内の各ト ランシーバー プリ ミ ティブ リ ソースに接続します。

このヘルパー ブロ ッ クには、 次に示す 3 つの有限ステート マシン (FSM) が含まれます。

• ト ランス ミ ッ ター リセッ ト ステート マシン: すべてのト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター PLL またはト ランス ミ ッ ター データパス (またはその両方) を リセッ ト し、 完了時に通知します。

• レシーバー リセッ ト ステート マシン: すべてのト ランシーバー プリ ミ ティブのレシーバー PLL またはレシーバー データパス (またはその両方) を リセッ ト し、 完了時に通知します。

• 「全リセッ ト 」 ステート マシン: ト ランス ミ ッ ター リセッ ト ステート マシンとレシーバー リセッ ト ステート マシンの動作を制御して、 冗長な操作なしに必要な ト ランシーバー プリ ミ ティブをすべて適切にリセッ ト します。

ト ランス ミ ッ ターおよびレシーバー リセッ ト ステート マシンは互いに独立しており、ユーザー インターフェイスからそれぞれを直接開始するこ と も、 全リセッ ト コマンドを開始して 「全リセッ ト 」 ステート マシンで制御するこ ともできます。 全リセッ ト ステート マシンはユーザーの利便を図るために提供されており、 初のブリ ングアップに使用する と便利です。 ただし、 ト ランス ミ ッ ターとレシーバーを同時にリセッ トする必要がなければ使用は必須ではあ り ません。

リセッ ト ステート マシン

ト ランス ミ ッ ターおよびレシーバー リセッ ト ステート マシンにはそれぞれ 2 つのエン ト リ ポイン トがあ り ます。1 つは関連する PLL を リセッ ト した後、データパスを リセッ ト します。も う 1 つはデータパスのみを リセッ ト します。図 3-1 に、 3 つの リ セッ ト コン ト ローラー ヘルパー ブロ ッ クの有限ステー ト マシン と、 それぞれが制御する リセッ ト シーケンスを示します。

Page 55: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 55PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

X-Ref Target - Figure 3-1

図 3-1: リセッ ト コン ト ローラー ヘルパー ブロックの有限ステート マシン

Transmitter ResetState Machine

Receiver ResetState Machine

“Reset All”State Machine

ST_RESET_TX_BRANCH

TX PLL and Datapath Reset Requested TX Datapath Reset Requested

TX PLL Not Locked

ST_RESET_TX_WAIT_USERRDY

TX User Clock Active

TXUSERRDY ‘1’

TX PLL Locked

GTTXRESET ‘0’

TX User Clock Not Active

ST_RESET_TX_WAIT_RESETDONE

TX Reset Not Done

TX Reset Done

TX Reset Done User Indicator ‘1’

ST_RESET_TX_IDLE

If TX PLL Not Locked thenTX Reset Done User Indicator ‘0’

ST_RESET_TX_PLL

TX PLL Reset ‘1’GTTXRESET ‘1’TXUSERRDY ‘0’

ST_RESET_TX_DATAPATH

GTTXRESET ‘1’TXUSERRDY ‘0’

ST_RESET_TX_WAIT_LOCK

TX PLL Reset ‘0’

ST_RESET_RX_BRANCH

RX PLL and Datapath Reset Requested RX Datapath Reset Requested

RX PLL Not Locked

ST_RESET_RX_WAIT_CDR

CDR Locked

RX PLL Locked

GTRXRESET ‘0’

CDR Not Locked

ST_RESET_RX_WAIT_RESETDONE

RX Reset Not DoneRX Reset Done

RX Reset Done User Indicator ‘1’

ST_RESET_RX_IDLE

If RX PLL Not Locked thenRX Reset Done User Indicator ‘0’

ST_RESET_RX_PLL

RX PLL Reset ‘1’GTRXRESET ‘1’

RXUSERRDY ‘0’

ST_RESET_RX_DATAPATH

GTRXRESET ‘1’

RXUSERRDY ‘0’

ST_RESET_RX_WAIT_LOCK

RX PLL Reset ‘0’

ST_RESET_RX_WAIT_USERRDY

RX User Clock Not Active

RX User Clock Active

RXUSERRDY ‘1’

ST_RESET_ALL_BRANCH

ST_RESET_ALL_TX_PLL

Reset TX PLL and Datapath

ST_RESET_ALL_RX_PLL

Reset RX PLL and Datapath

TX Direction Enabled TX Direction Not Enabled

ST_RESET_ALL_TX_PLL_WAIT

TX Reset Not Done

TX Reset Done andRX Direction Enabled andPLL Not Shared Between TX & RX

TX Reset Done andRX Direction Enabled andPLL Shared Between TX & RX

ST_RESET_ALL_RX_DP

Reset RX DatapathST_RESET_ALL_RX_WAIT

ST_RESET_ALL_DONETX Reset Done andRX Direction Not Enabled

RX Reset Not Done

RX Reset Done

TX PLL and Datapath Reset Requested or TX Datapath Reset Requested

RX PLL and Datapath Reset Requested orRX Datapath Reset Requested

Falling Edge of “Reset All” User Input

ST_RESET_ALL_INIT

Power is Good to All Transceiver Channels

Initial Device Configuration

X14540

RXPROGDIVRESET ‘1’RXPROGDIVRESET ‘1’

RXPROGDIVRESET ‘0’

Page 56: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 56PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

gtwiz_reset_tx_pll_and_datapath_in 入力をパルスすると、 ト ランス ミ ッター リセッ ト ステート マシンは PLLリセッ ト を開始した後、 ト ランス ミ ッター データパスを リセッ ト します。 ト ランス ミ ッター データパスにクロッ クを供給するコア インスタンスによってインスタンシエート されたすべての PLL (QPLL および CPLL) が、 この入力によって リセッ ト されます。PLL がすべてロッ クする と、すべてのト ランシーバー プリ ミティブのト ランス ミ ッターの設定変更可能な除算器とデータパスがリセッ ト されます。PLL のリセッ トが不要な場合は、gtwiz_reset_tx_datapath_in入力をパルスする と ト ランス ミ ッ ター データパスのみのリセッ トが開始します。 どちらのエン ト リ ポイン トから リセッ ト を開始した場合も、 すべてのト ランシーバー プリ ミティブのト ランス ミ ッター リセッ ト シーケンスが完了すると、ト ランス ミ ッター マスター チャネルの TXUSRCLK2 に同期して gtwiz_reset_tx_done_out ステータス信号がアサート されます。

同様に、 gtwiz_reset_rx_pll_and_datapath_in 入力をパルスする と、 レシーバー リ セッ ト ステート マシンは PLL リセッ ト を開始した後、 レシーバー データパスを リセッ ト します。 レシーバー データパスにクロ ッ クを供給するコア インスタンスによってインスタンシエート されたすべての PLL (QPLL および CPLL) が、 この入力によってリセッ ト されます。 PLL がすべてロ ッ クする と、 すべての ト ランシーバー プリ ミ ティブのレシーバー データパスがリセッ ト されます。 PLL のリセッ トが不要な場合は、 gtwiz_reset_rx_datapath_in 入力をパルスする とレシーバー データパスのみのリセッ トが開始します。 どちらのエン ト リ ポイン トから リセッ ト を開始した場合も、 すべての ト ランシーバー プリ ミ テ ィブのレシーバー リ セッ ト シーケンスが完了する と、 レシーバー マスター チャネルのRXUSRCLK2 に同期して gtwiz_reset_rx_done_out ステータス信号がアサート されます。

重要: ト ランス ミ ッ ター リセッ ト ステート マシンとレシーバー リセッ ト ステート マシンは独立しているため、シンプルで便利です。 ただし ト ランス ミ ッ ター データパス と レシーバー データパスで PLL を共有するこ とがあるため、gtwiz_reset_tx_pll_and_datapath_in および gtwiz_reset_rx_pll_and_datapath_in 入力を使用する際はシステムへの潜在的な影響を考慮する必要があ り ます。 たとえば QPLL0 リ ソースから ト ランス ミ ッ ター データパス とレシーバー データパスの両方にクロ ッ クを供給している場合、これら 2 つの入力のいずれかをアサートする と各ト ランシーバー クワ ッ ドで共有している QPLL0 がリセッ ト され、 反対方向のデータパスのリ ンクが切断されてしまいます。 したがって、 特に PLL リ ソースをほかのコア インスタンス と共有している場合、 これら入力の使用には注意が必要です。

全リセッ ト ステート マシンを使用する と、 このよ うに冗長な PLL リセッ ト シーケンスを避けるこ とができます。 さらに、全リセッ ト ステート マシンはト ランス ミ ッ ター データ方向を リセッ ト してからレシーバー データ方向を リセットするためループバッ クやその他いくつかの条件下でデータ完全性が改善します。 また、全リセッ ト ステート マシンは 1 入力のシンプルなインターフェイスでト リガーできます。全リセッ ト ステート マシン自体は、 ト ランシーバー プリ ミティブのリセッ ト信号を直接操作するのではなく、 コアのカスタマイズ内容に応じて ト ランス ミ ッ ターおよびレシーバー リ セッ ト ステート マシンを適切に制御し、 その結果と して gtwiz_reset_tx_pll_and_datapath_in、gtwiz_reset_rx_pll_and_datapath_in、gtwiz_reset_rx_datapath_in の一連のアサート を制御します。コアのカスタマイズ内容に応じた全リセッ ト ステート マシンの動作は、図 3-1 を参照してください。こ こでは、全リセット ステート マシンは同期した gtwiz_reset_all_in 入力の立ち下がりエッジによって開始されます。

Page 57: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 57PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

エンジニアリング サンプル (ES1 または ES2) UltraScale デバイスの場合の GTH ト ランシーバーの CPLL リセッ トに関する特別な要件

エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ トにした GTH ト ランシーバーの設定において、 ト ランス ミ ッ ター PLL タイプ、 レシーバー PLL タイプ、 または選択可能な TXOUTCLK 周波数のソース として CPLL を使用している場合、PLL リセッ ト シーケンスの一部と して特別な CPLL キャ リブレーシ ョ ン手順が実行されます。エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ ト と した GTH ト ランシーバーコアの設定で CPLL を使用する場合、 リセッ トに関して次に示す条件と特性があ り ます。

• CPLL リセッ ト を初期化してから CPLL ロ ッ ク インジケーターがアサート されるまで、またはパワーダウン モードの CPLL を リ リースしてから CPLL リセッ ト を初期化するまでの間、 ト ランシーバー チャネルの DRP ト ランザクシ ョ ンを実行しないでください。 これらの期間中、 ト ランシーバー チャネルの DRP ト ランザクシ ョ ンは無視されます。

• CPLL リセッ ト を初期化してから CPLL ロ ッ ク インジケーターがアサート されるまで、またはパワーダウン モードの CPLL を リ リースしてから CPLL リ セッ ト を初期化するまでの間、 txprogdivreset_in をアサート したり txoutclksel_in ポートの値を変更したり しないでください。 これらの期間中、 これらポートへの入力は無視されます。

• drpclk_in ポートの各ビッ トは、 IP のカスタマイズ時に指定したのと同じ周波数で動作するフ リーランニングクロ ッ クによって駆動する必要があ り ます。 詳細は、 9 ページの 「パフォーマンス」 を参照してください。

• ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クをサンプル デザインに含めた場合、このヘルパー ブロ ッ クの gtwiz_userclk_tx_reset_in ポー ト と コアの gtwiz_userclk_tx_reset_inは同じソースで駆動する必要があ り ます。詳細は、18 ページの「 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネット ワーク ヘルパー ブロ ッ クのポート 」 を参照してください。

• ハードウェア動作において CPLL がロッ クするまでに必要な時間は、CPLL リセッ トの種類によって異なり ます。

• CPLL がレシーバー データパス専用に使用されていても、 CPLL を リセッ トする と TXOUTCLK 信号が一時的に中断されるため、 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クによって生成されたク ロ ッ ク も中断されます。 これは、 CPLL がどのリ ソースから駆動されているかは関係なく、 CPLL リ セッ ト時には CPLL キャ リブレーシ ョ ン手順によって TXOUTCLK が制御されるためです。CPLL がレシーバー リ ソースのみを駆動する設定で、ト ランス ミ ッ ター ユーザー ク ロ ッ クの動作中の中断が許容されない場合は、ト ランス ミ ッター リ ソースを駆動する前に CPLL を リセッ ト してロ ッ クするか、 またはト ランス ミ ッ ター リ ソースを駆動しないよ うに注意する必要があ り ます。

CPLL リセッ トの初期化には、gtwiz_reset_all_in、gtwiz_reset_tx_pll_and_datapath_in ( ト ランス ミ ッター データパスに CPLL を使用している場合)、 gtwiz_reset_rx_pll_and_datapath_in (レシーバー データパスに CPLL を使用している場合)、または cpllreset_in ( リセッ ト コン ト ローラー ヘルパー ブロ ッ クを使用していない場合) のパルスが含まれます。

CPLL ロ ッ ク インジケーターには、 gtwiz_reset_tx_done_out ( ト ランス ミ ッ ター データパスに CPLL を使用している場合)、 gtwiz_reset_rx_done_out (レシーバー データパスに CPLL を使用し ている場合)、 またはcplllock_out ( リセッ ト コン ト ローラー ヘルパー ブロ ッ クを使用していない場合、 または直接の CPLL ロ ッ ク インジケーターが必要な場合) が含まれます。

UltraScale+ デバイスの CPLL キャリブレーション ブロックの有効化

UltraScale+ GTH/GTY ト ランシーバーでは、 次の場合 CPLL を確実にロッ クできないこ とがあ り ます。

• コンフ ィギュレーシ ョ ン後

• 基準クロ ッ クを削除する /再度適用する場合

• CPLLPD をアサート /ディアサートする場合

ロ ッ クできなかった場合、 無効な周波数出力で CPLL が停止し、 CPLLLOCK 信号が誤って High になるこ とがあ り ます。 この問題の解決策と して、 CPLL キャ リブレーシ ョ ン ブロ ッ クを含める方法があ り ます。 これを可能にするオプシ ョ ンは GUI に表示されませんが、 次のパラ メーターが UltraScale GT ウ ィザード IP に追加されています。

Page 58: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 58PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

• INCLUDE_CPLL_CAL

° Default Value => 2: CPLL が設定されている IP の場合、 GTHE4 および GTYE4 に対して CPLL キャ リブレーシ ョ ン ブロ ッ クはデフォルトで内部で有効になり ます。

° setting 1 => CPLL キャ リブレーシ ョ ン ブロ ッ クは含まれます。

° setting 0 => CPLL キャ リブレーシ ョ ン ブロ ッ クは含まれません。

• SIM_CPLL_CAL_BYPASS

° Default Value => 0

° setting 1 => 論理シ ミ ュレーシ ョ ンでのみ CPLL キャ リブレーシ ョ ン ブロ ッ クの一部のカウンターをバイパスします。

GTYE4/GTHE4 UltraScale+ デバイスの CPLL キャ リブレーシ ョ ン ブロ ッ クを有効にするには、TCL カスタマイズで IPを生成する際に INCLUDE_CPLL_CAL を 1 に設定する必要があ り ます。 このブロ ッ クが追加されたこ とで、 シ ミ ュレーシ ョ ン時間が増えます。 これは、 CPLL キャ リブレーシ ョ ン ブロ ッ クによ り、 CPLL がロ ッ クする周波数が評価されるためです。論理シ ミ ュレーシ ョ ン時間を短縮するためにこのブロッ クをバイパスするには、 IP カスタマイズ時に SIM_CPLL_CAL_BYPASS ユーザー パラ メーターを 1 に設定する必要があ り ます。

注記: これによる、 合成後のシ ミ ュレーシ ョ ンおよびハード ウェア機能への影響はあ り ません。

CPLL キャ リブレーシ ョ ン ブロッ クは、 高度なユース ケース向けに TX だけに、 または RX だけに使用できるよ うに拡張されています。TX のみ、または TX と RX で CPLL が使用されている場合、TX CPLL キャ リブレーシ ョ ン ブロ ックのみ適用可能です。一方、TX が CPLL を使用せず RX が CPLL を使用するユース ケースでは (txpllclksel_in!=2 および rxpllclksel_in ==2)、RX CPLL キャ リブレーシ ョ ン ブロ ッ クが使用されます。次に示す CPLL キャ リブレーシ ョ ン ブロ ッ ク ポートは、 INCLUDE_CPLL_CAL ユーザー パラ メーターが 1 に設定されている場合にのみ現れます。 ラ イン レートに対応するデフォル ト値は、 [Open IP Example Design] の手順、 または表の 「説明」 欄に示す式から得られます。 INCLUDE_CPLL_CAL の値を 2 に設定する と、 ウ ィザード内の HDL ロジッ クは IP カスタマイズ時にコンフ ィギュレーシ ョ ンに関連するポート を内部駆動します。GT 親 IP でライン レートの動的な切り替えを実行する場合、 ザイ リ ンクスでは、 INCLUDE_CPLL_CAL を 1 に設定し、 表 3-1 に示すポートに適切な値を駆動するこ とを推奨しています。

表 3-1: CPLL キャリブレーシ ョ ン ブロックの追加ポート

名前 方向 説明

USER_TXOUTCLK_BUFG_CE_INgtwiz_gthe4_cpll_cal_bufg_ce_ingtwiz_gtye4_cpll_cal_bufg_ce_in

入力 TX ク ロ ッキング用の BUFG_GT の CE

CNT_TOL_IN[17:0]gtwiz_gthe4_cpll_cal_cnt_tol_in gtwiz_gtye4_cpll_cal_cnt_tol_in

入力 ROUND(0.01*TXOUTCLK_PERIOD_IN) に設定する

TXOUTCLK_PERIOD_IN[17:0]gtwiz_gthe4_cpll_cal_txoutclk_period_ingtwiz_gtye4_cpll_cal_txoutclk_period_in

入力 次のよ うに計算する

FREQ_COUNT_WINDOW を 16,000 に設定します。FREQ_CLKINは、 図 4-4 に示す UltraScale GT ウ ィ ザード の [PhysicalResources] タブで FREERUN_FREQUENCY ユーザー パラ メーター ([Free-running and DRP clock frequency (MHz)]) と も呼ばれる gtwiz_reset_clk_freerun_in の周波数です。([Free-running and DRP clock frequency (MHz)]) と も呼ばれる。CPLL_VCO_RATE は、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] では fPLLClkout と呼ばれています。

Page 59: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 59PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

リセッ ト シーケンスおよびその他のサービス

ト ランス ミ ッ ターおよびレシーバー リ セッ ト ステート マシンは、 『UltraScale アーキテクチャ GTH ト ランシーバーユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578)[参照 2] に記載された リセッ ト シーケンスを実装しています。 リセッ ト コン ト ローラー ヘルパー ブロ ッ クの ト ランシーバー インターフェイスは、 ト ランシーバー プリ ミ ティブに接続します。 TXUSERRDY および RXUSERRDY 信号を適切に実装するため、 リセッ ト コン ト ローラー ヘルパー ブロ ッ ク と ト ランス ミ ッ ターおよびレシーバーのユーザークロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの間には配線があ り ます。 また、 ト ランス ミ ッ ターまたはレシーバーバッファーをバイパスする場合、リセッ ト コン ト ローラー ヘルパー ブロ ッ ク と関連するバッファー バイパス コン トローラー ヘルパー ブロ ッ クの間にはリセッ ト シーケンス完了時にバッファー バイパス シーケンスを開始するための配線があ り ます。 この配線は、 各ヘルパー ブロ ッ クの位置にかかわらず存在します。

デバイスのコンフ ィギュレーシ ョ ン後、 ト ランシーバーのパワーグッ ドが報告されるまで リセッ ト ヘルパー ブロ ックの リセッ ト入力をアサート しないでください。リセッ ト コン ト ローラー ヘルパー ブロ ッ クは、すべての ト ランシーバー チャネルの GTPOWERGOOD が High になるまですべての PLL およびデータパス リ ソースを内部でリセッ トに保持した後、 全リセッ ト ステート マシンを 1 回だけ 後まで遷移してすべての ト ランシーバー リ ソースを リセッ ト します。このため、gtpowergood_out ポート を有効化している場合はこのポートのすべてのビッ トがアサート されるのを待つか、 gtwiz_reset_tx_done_out と gtwiz_reset_rx_done_out の両方のビッ ト がすべてアサート されるのを待ってから リセッ ト を実行してください。 ただし UltraScale+ デバイスで、 FPGA がプログラムされる JTAG周波数が 6MHz を超える場合、 BUFG_GT が後に続く IBUFDS_GTE4 からの GT 基準クロ ッ ク出力が 初は若干安定し ない こ と がわかっ てい ます。 こ れを回避する ために、 ロ ジ ッ ク が 初に リ セ ッ ト 状態に保たれる よ うgtpowergood_out を保持するパワーグッ ド遅延ロジッ クがデフォルトで GT ウ ィザード IP 内部に追加されています。 これを有効にする USER_GTPOWERGOOD_DELAY_EN ユーザー パラ メーターが追加されています。 CPLL が有効のと きは、必須の CPLL キャ リブレーシ ョ ン ブロ ッ クが GT 基準クロ ッ クのソースを使用するこ とがあるため、 このパラ メーターは 0 に設定しないでください。

ト ランス ミ ッ ター リセッ ト ステート マシンの完了時、ト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター データパスにクロ ッ クを供給している 1 つまたは複数の PLL がロッ クを失う と、ユーザー インターフェイスの gtwiz_reset_tx_done_out 出力がディアサート されます。 この場合リセッ ト シーケンスは自動では再開せず、ユーザーによる操作が必要です。

同様に、レシーバー リセッ ト ステート マシンの完了時、 ト ランシーバー プリ ミ ティブのレシーバー データパスにクロ ッ クを供給している 1 つまたは複数の PLL がロッ クを失う と、 ユーザー インターフェイスの gtwiz_reset_rx_done_out 出力がディアサート されます。 この場合リセッ ト シーケンスは自動では再開せず、ユーザーによる操作が必要です。

このヘルパー ブロ ッ クは、 ユーザーの設定によってコア内またはサンプル デザイン内のどちらにも含めるこ とができます。 このヘルパー ブロ ッ クの位置、 およびほかのヘルパー ブロ ッ クの位置に応じて、 関連するポートの信号がコア境界をまたぐ必要がある場合は、 それらのポートはコア インターフェイスで有効化されます。

これ以外のリセッ ト制御または関連ポートがアプリ ケーシ ョ ンで必要な場合、またはト ランシーバー プリ ミ ティブの個々のリセッ ト ステータス信号を観察する場合は、 IP のカスタマイズ時にオプシ ョ ン ポート有効化インターフェイスを使用して関連ポート をコア インスタンス上で有効にできます。

リセッ ト コン ト ローラー ヘルパー ブロ ッ クの各ポートの説明は、 第 2 章 「製品仕様」 を参照して ください。 ト ランシーバー プリ ミ ティブのリセッ ト と初期化の詳細は、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

Page 60: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 60PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロック ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クは、 1 つまたは複数の ト ランシーバーチャネル プリ ミ ティブの TXUSRCLK および TXUSRCLK2 入力を駆動する適切なクロ ッ クを派生させバッファーするために使用するシンプルなモジュールです。

このヘルパー ブロ ッ クのインスタンスは、 ウ ィザード IP コアの各インスタンスに 1 つ生成されます。 デフォルトでは、 このヘルパー ブロッ クのソース ク ロ ッ ク入力ポート gtwiz_userclk_tx_srcclk_in はマスター ト ランシーバー チャネルの TXOUTCLK ポートによって駆動されます。 このソースは、 ヘルパー ブロ ッ ク内で 1 つまたは 2 つのBUFG_GT プリ ミ ティブ (ク ロ ッ ク分周機能を持つグローバル ク ロ ッ ク バッファー ) を駆動します。

図 3-2 に示すよ うに、 TXUSRCLK と TXUSRCLK2 の周波数が同じ場合 (すなわち ト ランス ミ ッ ター ユーザー データ幅が内部データ幅以下の場合)、 ヘルパー ブロ ッ クには BUFG_GT が 1 つだけインスタンシエート されます。 このバッファーは、 各ト ランシーバー チャネル プリ ミ ティブの TXUSRCLK および TXUSRCLK2 入力ポートにそれぞれ接続された gtwiz_userclk_tx_usrclk_out および gtwiz_userclk_tx_usrclk2_out ヘルパー ブロ ッ ク出力ポート を両方駆動します。 このヘルパー ブロ ッ クは、 ソース ク ロ ッ クが適切なユーザー ク ロ ッ ク周波数まで分周されるよ うに BUFG_GT を設定します。

図 3-3 に示すよ うに、 TXUSRCLK の周波数が TXUSRCLK2 の 2 倍の場合 (すなわち ト ランス ミ ッ ター ユーザー データ幅が内部データ幅よ り大きい場合)、 ヘルパーブロ ッ クには BUFG_GT プ リ ミ テ ィブが 2 つインスタンシエート されます。 そのうちの 1 つは、 ソース ク ロ ッ クを適切な ト ランス ミ ッ ター データパス周波数まで分周し、 各ト ランシーバー チャネル プリ ミ ティブの TXUSRCLK 入力ポートに接続された gtwiz_userclk_tx_usrclk_out ヘルパー ブロ ッ ク出力ポート を駆動するよ うにヘルパー ブロ ッ クによって設定されます。 も う 1 つの BUFG_GT は、 ソース クロ ッ クを適切な ト ランス ミ ッ ター ユーザー インターフェイス周波数まで分周し、各ト ランシーバー チャネル プリ ミティブの TXUSRCLK2 入力ポートに接続された gtwiz_userclk_tx_usrclk2_out ヘルパー ブロ ッ ク出力ポートを駆動するよ うにヘルパー ブロ ッ クによって設定されます。

X-Ref Target - Figure 3-2

図 3-2: ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロック (BUFG_GT が 1 つの場合)

X14541

gtwiz_userclk_tx_srcclk_inFrom TXOUTCLK of TX Master Channel

gtwiz_userclk_tx_usrclk_outTo TXUSRCLK of All Channels

gtwiz_userclk_tx_usrclk2_outTo TXUSRCLK2 of All Channels

Transmitter User ClockingNetwork Helper Block

(FTXUSRCLK = FTXUSRCLK2)

/

/

Page 61: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 61PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

gtwiz_userclk_tx_reset_in ユーザー入力をアサートする と、このヘルパー ブロッ クは BUFG_GT プリ ミティブをリセッ ト状態に保持します。 このリセッ ト入力は、 ソース クロッ ク入力の安定が確認されるまで High に保持する必要があり ます。 リセッ ト入力を リ リースする と、 ユーザー インターフェイスの gtwiz_userclk_tx_active_out 出力が同期してアサート され、アクティブなユーザー クロッ クが存在するこ とを示します。 これによって、ほかのヘルパーブロッ クが動作を開始します。

このヘルパー ブロ ッ クは、 ユーザーの設定によってコア内またはサンプル デザイン内のどちらにも含めるこ とができます。 コア内に含めた場合、 マスター ト ランシーバー チャネル プ リ ミ テ ィブの TXOUTCLK 出力ポートからヘルパー ブロ ッ クの gtwiz_userclk_tx_srcclk_in 入力ポートへの配線もコア内部に存在しますが、 そのク ロ ッ ク信号は gtwiz_userclk_tx_srcclk_out と してコア インターフェイスに出力されます。同様に、ヘルパー ブロ ックの gtwiz_userclk_tx_usrclk_out および gtwiz_userclk_tx_usrclk2_out 出力ポー ト から ト ランシーバー チャネル プ リ ミ テ ィブへの配線もコア内部に存在しますが、 これらのヘルパー ブロ ッ ク出力はコア インターフェイスにも出力されます。

このヘルパー ブロ ッ クをサンプル デザインに含めた場合、関連する ト ランシーバー チャネル ク ロ ッ ク ポートの信号はコア境界をまたぐこ とになるため、 必然的にこれらのポートはコア インターフェイスで有効化されます。

これ以外のク ロ ッ ク信号または関連ポー ト がアプ リ ケーシ ョ ンで必要な場合、 IP のカスタマイズ時にオプシ ョ ンポート有効化インターフェイスを使用して関連ポート をコア インスタンス上で有効にできます。ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの各ポートの説明は、 第 2 章 「製品仕様」 を参照してください。 ト ランシーバー プ リ ミ テ ィブのク ロ ッキングの詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照して ください。

X-Ref Target - Figure 3-3

図 3-3: ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク ヘルパー ブロック

X14542

gtwiz_userclk_tx_srcclk_inFrom TXOUTCLK of TX Master Channel

gtwiz_userclk_tx_usrclk_outTo TXUSRCLK of All Channels

gtwiz_userclk_tx_usrclk2_outTo TXUSRCLK2 of All Channels

Transmitter User ClockingNetwork Helper Block

(FTXUSRCLK <> FTXUSRCLK2)

/

/

Page 62: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 62PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロック レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クは、1 つまたは複数のト ランシーバー チャネルプ リ ミ テ ィブの RXUSRCLK および RXUSRCLK2 入力を駆動する適切なク ロ ッ クを派生させバッファーするために使用するシンプルなモジュールです。

通常、 このヘルパー ブロ ッ クのインスタンスはウ ィザード IP コアの各インスタンスに 1 つ生成されます。 または、レシーバー エラスティ ッ ク バッファーをバイパスしてシングルレーン バッファー バイパス モードを有効にした場合、 このヘルパー ブロ ッ クのインスタンスは独立してクロ ッ ク供給される各ト ランシーバー チャネル プリ ミ ティブインスタンスに 1 つ生成され、 これら ト ランシーバー チャネル プリ ミ ティブ インスタンスに接続されます。

デフォルトでは、 ヘルパー ブロ ッ クのソース ク ロ ッ ク入力ポート gtwiz_userclk_rx_srcclk_in は、 一般的な構成ではマスター ト ランシーバー チャネルの RXOUTCLK ポートによって駆動され、シングルレーンのバッファー バイパス モードの場合は対応する ト ランシーバー チャネルの RXOUTCLK ポートによって駆動されます。このソースは、ヘルパー ブロ ッ ク内で 1 つまたは 2 つの BUFG_GT プ リ ミ テ ィブ (ク ロ ッ ク分周機能を持つグローバル ク ロ ッ クバッファー ) を駆動します。

図 3-4 に示すよ うに、 RXUSRCLK と RXUSRCLK2 の周波数が同じ場合 (すなわちレシーバー ユーザー データ幅が内部データ幅以下の場合)、 ヘルパー ブロ ッ クには BUFG_GT が 1 つだけインスタンシエート されます。 このバッファーは、 適切な ト ランシーバー チャネル プリ ミ ティブの RXUSRCLK および RXUSRCLK2 入力ポートにそれぞれ接続された gtwiz_userclk_rx_usrclk_out および gtwiz_userclk_rx_usrclk2_out ヘルパー ブロ ッ ク出力ポートを両方駆動します。 このヘルパー ブロ ッ クは、 ソース ク ロ ッ クが適切なユーザー ク ロ ッ ク周波数まで分周されるように BUFG_GT を設定します。

Page 63: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 63PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

X-Ref Target - Figure 3-4

図 3-4: レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロック (BUFG_GT が 1 つの場合)

gtwiz_userclk_rx_srcclk_inFrom RXOUTCLK of RX Master Channel

gtwiz_userclk_rx_usrclk_outTo RXUSRCLK of All Channels

gtwiz_userclk_rx_usrclk2_outTo RXUSRCLK2 of All Channels

Receiver User Clocking Network Helper BlockFor Most Configurations

(FRXUSRCLK = FRXUSRCLK2)

/

/

X14543

gtwiz_userclk_rx_srcclk_inFrom RXOUTCLK of

Corresponding Channel

gtwiz_userclk_rx_usrclk_outTo RXUSRCLK of Corresponding Channel

gtwiz_userclk_rx_usrclk2_outTo RXUSRCLK2 of Corresponding Channel

Receiver User Clocking Network Helper BlockFor Single-Lane RX Elastic Buffer Bypass Configurations

(FRXUSRCLK = FRXUSRCLK2)

/

/

Page 64: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 64PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

図 3-5 に示すよ うに、 RXUSRCLK の周波数が RXUSRCLK2 の 2 倍の場合 (すなわちレシーバー ユーザー データ幅が内部データ幅よ り大きい場合)、ヘルパーブロ ッ クには BUFG_GT プリ ミ ティブが 2 つインスタンシエート されます。そのうちの 1 つは、 ソース ク ロ ッ クを適切なレシーバー データパス周波数まで分周し、 適切な ト ランシーバー チャネル プリ ミ テ ィブの RXUSRCLK 入力ポートに接続された gtwiz_userclk_rx_usrclk_out ヘルパー ブロ ッ ク出力ポート を駆動するよ うにヘルパー ブロ ッ クによって設定されます。 も う 1 つの BUFG_GT は、 ソース ク ロ ッ クを適切なレシーバー ユーザー インターフェ イス周波数まで分周し、 適切な ト ランシーバー チャネル プ リ ミ テ ィブのRXUSRCLK2 入力ポート に接続された gtwiz_userclk_rx_usrclk2_out ヘルパー ブロ ッ ク出力ポート を駆動するよ うにヘルパー ブロ ッ クによって設定されます。

gtwiz_userclk_rx_reset_in ユーザー入力をアサートする と、 このヘルパー ブロ ッ クは BUFG_GT プリ ミ テ ィブを リセッ ト状態に保持します。 この リセッ ト入力は、 ソース ク ロ ッ ク入力の安定が確認されるまで High に保持する必要があ り ます。 リセッ ト入力を リ リースする と、 ユーザー インターフェイスの gtwiz_userclk_rx_active_out 出力が同期してアサート され、 アクティブなユーザー ク ロ ッ クが存在するこ とを示します。 これによって、 ほかのヘルパー ブロ ッ クが動作を開始します。

このヘルパー ブロ ッ クは、 ユーザーの設定によってコア内またはサンプル デザイン内のどちらにも含めるこ とができます。 コア内に含めた場合、 適切な ト ランシーバー チャネル プリ ミ ティブの RXOUTCLK 出力ポートからヘルパーブロ ッ クの gtwiz_userclk_rx_srcclk_in 入力ポートへの配線もコア内部に存在しますが、そのクロ ッ ク信号はgtwiz_userclk_rx_srcclk_out と してコア インターフェイスに出力されます。

X-Ref Target - Figure 3-5

図 3-5: レシーバーのユーザー クロッキング ネッ トワーク ヘルパー ブロック (BUFG_GT プリ ミテ ィブが 2 つの場合)

gtwiz_userclk_rx_srcclk_inFrom RXOUTCLK of RX Master Channel

gtwiz_userclk_rx_usrclk_outTo RXUSRCLK of All Channels

gtwiz_userclk_rx_usrclk2_outTo RXUSRCLK2 of All Channels

Receiver User Clocking Network Helper BlockFor Most Configurations

(FRXUSRCLK <> FRXUSRCLK2)

/

X14544

gtwiz_userclk_rx_srcclk_inFrom RXOUTCLK of

Corresponding Channel

gtwiz_userclk_rx_usrclk_outTo RXUSRCLK of Corresponding Channel

gtwiz_userclk_rx_usrclk2_outTo RXUSRCLK2 of Corresponding Channel

Receiver User Clocking Network Helper BlockFor Single-Lane RX Elastic Buffer Bypass Configurations

(FRXUSRCLK <> FRXUSRCLK2)

/

/

/

Page 65: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 65PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

同様に、ヘルパー ブロ ッ クの gtwiz_userclk_rx_usrclk_out および gtwiz_userclk_rx_usrclk2_out 出力ポートから ト ランシーバー チャネル プリ ミ ティブへの配線もコア内部に存在しますが、 これらのヘルパー ブロ ッ ク出力はコア インターフェイスにも出力されます。 このヘルパー ブロ ッ クをサンプル デザインに含めた場合、 関連する ト ランシーバー チャネル ク ロ ッ ク ポートの信号はコア境界をまたぐこ とになるため、 これらのポートはコア インターフェイスで有効化されます。

これ以外のク ロ ッ ク信号または関連ポー ト がアプ リ ケーシ ョ ンで必要な場合、 IP のカスタマイズ時にオプシ ョ ンポート有効化インターフェイスを使用して関連ポート をコア インスタンス上で有効にできます。 レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの各ポートの説明は、 第 2 章 「製品仕様」 を参照してください。ト ランシーバー プリ ミ ティブのクロ ッキングの詳細は、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

ユーザーデータ幅のサイズ変更ヘルパー ブロックユーザーデータ幅のサイズ変更ヘルパー ブロ ッ クは、 ト ランシーバー チャネル プリ ミ ティブの ト ランス ミ ッ ターおよびレシーバー データ ポートへの接続手順を簡略化します。

各ト ランシーバー チャネルの TXDATA および RXDATA ポートは 128 ビッ トですが、 実際に使用されるのはト ランスミ ッ ターおよびレシーバー ユーザー データ幅と して設定された範囲のビッ トのみで、 その他のビッ トは固定値に接続されるか未接続のまま と します。 複数のチャネルを有効にした場合、 txdata_in および rxdata_out コア ポート ベクターの有効ビッ トの識別には手間がかかり ます。 しかもユーザー データ幅が 20、 40、 80、 または 160 ビッ トの場合、 TXCTRL0 と TXCTRL1 の一部が TXDATA と インターリーブされ、 RXCTRL0 と RXCTRL1 の一部が RXDATAと インターリーブされます。

このヘルパー ブロ ッ クはこ う した ト ランシーバーとの接続に関する複雑さを解消する もので、 選択したユーザーデータ幅にサイズを調整したシンプルなユーザー インターフェイスを配線のみを使用して提供します。このヘルパーブロ ッ クは、ト ランス ミ ッ ター モジュールとレシーバー モジュールの 2 つの独立したモジュールで構成されます。どちらのモジュールも生成された HDL の配線割り当てを使用します。 組み合わせロジッ ク も順序ロジッ ク も使用しないため、 データパスには影響しません。

ト ランスミ ッ ター モジュール

このヘルパー ブロ ッ クの ト ランス ミ ッ ター モジュールの gtwiz_userdata_tx_in ポートは、 選択した ト ランスミ ッ ター ユーザー データ幅と有効な ト ランシーバー チャネル数を掛けたサイズのベクターです。 コアの規則では、このベクターの 下位ビッ トは、 も低い XY グ リ ッ ド位置にある ト ランシーバー チャネルの 下位ビッ トに対応します。

図 3-6 に、ト ランス ミ ッ ター ユーザー データ幅が 32 ビッ トで 4 つのト ランシーバー チャネルを有効にした場合のコア設定に対するヘルパー ブロ ッ クの構成を示します。 この場合、 gtwiz_userdata_tx_in ベクターは 128 ビッ トにパッ ク化され、 ヘルパー ブロ ッ クは各ト ランシーバー チャネルの TXDATA ポート の適切なビッ ト を駆動します。ト ランス ミ ッ ター ユーザー データ幅が 20、 40、 80、 160 ビッ トの場合、 このヘルパー ブロ ッ クは各ト ランシーバーチャネルの TXCTRL0 および TXCTRL1 ポートの適切なビッ ト も駆動し、 必要なデインターリーブを実行します。 それ以外の構成では TXCTRL0 および TXCTRL1 ポートはヘルパー ブロ ッ クによって駆動されないため、 ユーザーからのアクセスが可能です。

Page 66: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 66PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

レシーバー モジュール

このヘルパー ブロ ッ クのレシーバー モジュールの gtwiz_userdata_rx_out ポートは、 選択したレシーバー ユーザー データ幅と有効な ト ランシーバー チャネル数を掛けたサイズのベクターです。 コアの規則では、 このベクターの 下位ビッ トは、 も低い XY グ リ ッ ド位置にある ト ランシーバー チャネルの 下位ビッ トに対応します。

図 3-7 に、レシーバー ユーザー データ幅が 32 ビッ トで 4 つのト ランシーバー チャネルを有効にした場合のコア設定に対するヘルパー ブロ ッ クの構成を示し ます。 この場合、 ヘルパー ブロ ッ クの 128 ビ ッ ト にパッ ク化されたgtwiz_userdata_rx_out ベク ターが各 ト ランシーバー チャネルの RXDATA ポー ト から受信した適切なビ ッ トデータを供給します。 レシーバー ユーザー データ幅が 20、 40、 80、 160 ビッ トの場合、 このヘルパー ブロ ッ クは各ト ランシーバー チャネルの RXCTRL0 および RXCTRL1 ポートからの適切なビッ ト も供給し、 必要なインターリーブを実行します。 RXCTRL0 および RXCTRL1 ポートはユーザーからのアクセスが可能です。

X-Ref Target - Figure 3-6

図 3-6: ユーザーデータ幅サイズ変更ヘルパー ブロック (ト ランスミ ッ ター モジュール) の構成例

X-Ref Target - Figure 3-7

図 3-7: ユーザーデータ幅サイズ変更ヘルパー ブロック (レシーバー モジュール) の構成例

gtwiz_userdata_tx_in128 (4 Channels x 32 bits)

User Data Width Sizing Helper Block(Transmitter Module)

txdata_out512 (4 Channels x 128 bits)

txctrl0_out64 (4 Channels x 16 bits)

txctrl1_out64 (4 Channels x 16 bits)

To TransceiverChannel Primitives

X14545

gtwiz_userdata_rx_out128 (4 Channels x 32 bits)

User Data Width Sizing Helper Block(Receiver Module)

rxdata_in512 (4 Channels x 128 bits)

rxctrl0_in64 (4 Channels x 16 bits)

rxctrl1_in64 (4 Channels x 16 bits)

From TransceiverChannel Primitives

X14546

Page 67: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 67PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クは、シ リ アル ト ランシーバーのト ランスミ ッ ター バッファーを使用しない場合に必要なバッファー バイパス シーケンスを自動で実行します。 このヘルパーブロ ッ クは自動モードのバッファー バイパス シーケンスを実行します。

このヘルパー ブロ ッ クのインスタンスは、 ト ランス ミ ッ ター バッファーをバイパスするよ うに設定されたウ ィザード IP コアの各インスタンスに 1 つ生成されます。 このユーザー インターフェイスを利用する と、 ト ランス ミ ッ ターバッファー バイパス シーケンスを簡単な方法で開始してそのステータスを監視できます。 ト ランシーバー インターフェイスは、 コア内の各ト ランシーバー チャネル プリ ミ ティブに接続します。

複数のシ リアル ト ランシーバー プリ ミ ティブを含む構成では、このヘルパー ブロ ッ クはマルチレーン バッファー バイパス シーケンスを実行します。 ト ランス ミ ッ ター マスター チャネルは IP のカスタマイズ時に指定します。

このヘルパー ブロ ッ クは、gtwiz_buffbypass_tx_reset_in ユーザー入力をアサートする と同期して リセッ ト されます。 この信号は、 すべてのチャネルで ト ランス ミ ッ ター データパス リ セ ッ ト シーケンスが完了する前に、TXUSRCLK2 が安定したらすぐにリ リースする必要があ り ます。デフォルトでは、 リセッ ト コン ト ローラー ヘルパーブロ ッ クの gtwiz_reset_tx_done_out 出力はト ランス ミ ッ ター バッファー バイパス コン ト ローラー ヘルパーブロッ クの gtwiz_buffbypass_tx_resetdone_in 入力に接続されます。このポートの立ち上がりエッジによ り、ト ランス ミ ッ ター バッファー バイパス シーケンスが自動的に開始します。

ト ランス ミ ッ ター バッファー バイパス シーケンスが完了する と、 ユーザー インターフェイスの gtwiz_buffbypass_tx_done_out 出力がアサート され、 gtwiz_buffbypass_tx_error_out 出力が確定します。バッファー バイパス シーケンスの結果は、 表 3-2 に示すよ うに 2 つのユーザー インターフェイス出力に基づいてデコード します。

ヘルパー ブロ ッ クが リセッ ト され、 初期シーケンスが完了した後は、 ト ランス ミ ッ ターのバッファー バイパス コント ローラー ヘルパー ブロ ッ クに gtwiz_buffbypass_tx_start_user_in ユーザー入力をパルスしていつでもバッファー バイパス シーケンスを開始できます。

このヘルパー ブロ ッ クは、 ユーザーの設定によってコア内またはサンプル デザイン内のどちらにも含めるこ とができます。 このヘルパー ブロ ッ クの位置、 およびほかのヘルパー ブロ ッ クの位置に応じて、 関連するポートの信号がコア境界をまたぐ必要がある場合は、 それらのポートはコア インターフェイスで有効化されます。 ヘルパー ブロ ックをコアに含め、 なおかつト ランシーバー プリ ミ ティブの個々のバッファー バイパス ステータス信号を観察する場合は、 IP のカスタマイズ時にオプシ ョ ン ポート有効化インターフェイスを使用して関連ポート をコア インスタンス上で有効にできます。

ト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの各ポートの説明は、第 2 章「製品仕様」を参照して ください。 ト ランシーバー プ リ ミ テ ィブの ト ランス ミ ッ ター バッファーのバイパスについての詳細は、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

表 3-2: ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックの完了結果のエンコード

gtwiz_buffbypass_tx_done_out gtwiz_buffbypass_tx_error_out バッファー バイパス シーケンスの結果

0 ドン ト ケア 未完了

1 0 完了 (エラーなし )

1 1 完了 (エラーあ り )

Page 68: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 68PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クは、 シ リ アル ト ランシーバーのレシーバー エラスティ ッ ク バッファーを使用しない場合に必要なバッファー バイパス シーケンスを自動で実行します。 このヘルパー ブロ ッ クは自動モードのバッファー バイパス シーケンスを実行します。レシーバー エラスティ ッ ク バッファーをバイパスするよ うにウ ィザード IP を設定した場合、 次の内容が該当します。

• マルチレーン バッファー バイパス モードを有効にした場合、 このヘルパー ブロ ッ クのインスタンスが 1 つ生成され、 マルチレーン バッファー バイパス シーケンスを実行します。 この場合、 ユーザー インターフェイスのポート幅はそのままで、 ト ランシーバー インターフェイスはコア内のチャネル プリ ミ ティブの数を掛けた値になり ます。

• シングルレーン バッファー バイパス モードを有効にした場合、 このヘルパー ブロ ッ クのインスタンスは各ト ランシーバー チャネル プリ ミ ティブ インスタンスに 1 つ生成され、これら ト ランシーバー チャネル プリ ミ ティブインスタンスに接続されます。 この場合、 ユーザー インターフェイスのポート幅はヘルパー ブロ ッ クの数を掛けた値になり、 ト ランシーバー インターフェイスは対応するチャネル プリ ミ ティブにのみ接続します。

このヘルパー ブロ ッ クのユーザー インターフェイスを利用する と、レシーバー バッファー バイパス シーケンスを簡単な方法で開始してそのステータスを監視できます。 ト ランシーバー インターフェイスは、コア内の ト ランシーバーチャネル プリ ミ ティブに接続します。

このヘルパー ブロ ッ クは、gtwiz_buffbypass_rx_reset_in ユーザー入力をアサートする と同期して リセッ ト されます。 この信号は、 すべてのチャネルでレシーバー データパス リセッ ト シーケンスが完了する前に、 レシーバーマスター チャネルの RXUSRCLK2 (マルチレーン バッファー バイパス コンフ ィギュレーシ ョ ンの場合) または対応するチャネルの RXUSRCLK2 (シングルレーン バッファー バイパス コンフ ィギュレーシ ョ ンの場合) が安定したらすぐに リ リ ースする必要があ り ます。 デフ ォル ト では、 リ セ ッ ト コ ン ト ローラー ヘルパー ブロ ッ ク のgtwiz_reset_rx_done_out 出力はレシーバー バッ フ ァー バイパス コ ン ト ローラー ヘルパー ブロ ッ ク のgtwiz_buffbypass_rx_resetdone_in 入力に接続されます。このポートの立ち上がりエッジによ り、レシーバーバッファー バイパス シーケンスが自動的に開始します。

レシーバー バッファー バイパス シーケンスが完了する と、 ユーザー インターフェイスの gtwiz_buffbypass_rx_done_out 出力がアサート され、 gtwiz_buffbypass_rx_error_out 出力が確定します。 バッファー バイパス シーケンスの結果は、 表 3-3 に示すよ うに 2 つのユーザー インターフェイス出力に基づいてデコード します。

ヘルパー ブロ ッ クが リセッ ト され、 初期シーケンスが完了した後は、 レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クに gtwiz_buffbypass_rx_start_user_in ユーザー入力をパルス していつでもバッファー バイパス シーケンスを開始できます。

このヘルパー ブロ ッ クは、 ユーザーの設定によってコア内またはサンプル デザイン内のどちらにも含めるこ とができます。 このヘルパー ブロ ッ クの位置、 およびほかのヘルパー ブロ ッ クの位置に応じて、 関連するポートの信号がコア境界をまたぐ必要がある場合は、 それらのポートはコア インターフェイスで有効化されます。

ヘルパー ブロ ッ クをコアに含め、なおかつト ランシーバー プリ ミ ティブの個々のバッファー バイパス ステータス信号を観察する場合は、 IP のカスタマイズ時にオプシ ョ ン ポート有効化インターフェイスを使用して関連ポート をコア インスタンス上で有効にできます。

表 3-3: ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックの完了結果のエンコード

gtwiz_buffbypass_rx_done_out gtwiz_buffbypass_rx_error_out バッファー バイパス シーケンスの結果

0 ドン ト ケア 未完了

1 0 完了 (エラーなし )

1 1 完了 (エラーあ り )

Page 69: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 69PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの各ポートの説明は、 第 2 章 「製品仕様」 を参照してください。 ト ランシーバー プリ ミ ティブのレシーバー エラスティ ッ ク バッファーのバイパスについての詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

ト ランシーバー コモン プリ ミテ ィブQPLL0 または QPLL1 ク ロ ッキング リ ソースを使用するコア設定ではト ランシーバー コモン プリ ミ ティブが必要なためインスタンシエート されます。 これはト ランシーバー プリ ミ ティブですが、 その論理位置を IP のカスタマイズ時に指定できます。 ヘルパー ブロ ッ ク同様、 コア内またはサンプル デザイン内に配置できます。

このよ うに柔軟な配置が可能なため、次に示す条件を満たした場合に 1 つのト ランシーバー コモンを複数のウ ィザード IP コア インスタンスで共有できます。

• これらコア インスタンスの ト ランシーバー リ ソースを 1 つのト ランシーバー クワ ッ ドに配置する場合。

• ト ランシーバー コモンの設定が同じ、 または 2 つのコア インスタンスで共有しても安全な構成の場合。

複数のコア インスタンスによる ト ランシーバー コモンの共有は上級ユーザー向けの使用方法のため、 制限事項を十分に理解した上で実行して ください。 ト ランシーバー コモン プリ ミ ティブの使用法の詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

図 3-8 に、 1 つまたは複数の ト ランシーバー コモン プリ ミ テ ィブを有効にしてコア インスタンス内に配置した場合の例を示します。この例では、ト ランシーバー コモン プリ ミ ティブの QPLL#OUTCLK および QPLL#OUTREFCLK ポートが関連する ト ランシーバー チャネル プリ ミ ティブの QPLL#CLK および QPLL#REFCLK ポート をコア内部で駆動します (# は QPLL0 の場合 0、QPLL1 の場合 1)。ただし、これらの信号はコア インターフェイスから qpll#outclk_outおよび qpll#outrefclk_out と しても出力されます。

X-Ref Target - Figure 3-8

図 3-8: ト ランシーバー コモンをコアに配置した場合

TransceiverCOMMON Wrapper

COMMON Primitive

TransceiverCHANNEL Wrapper

CHANNEL Primitive

Wizard IP Core

qpll#outclk_outqpll#outrefclk_out

X14547

Page 70: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 70PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

図 3-9 に、 1 つまたは複数の ト ランシーバー コモン プリ ミ テ ィブを有効にしてサンプル デザインに配置した場合の例を示します。 この例では、 ト ランシーバー コモン プリ ミ ティブの QPLL#OUTCLK および QPLL#OUTREFCLK ポートがコア インターフェイスの qpll#clk_in および qpll#refclk_in 入力ポート を駆動し、この信号が関連する トランシーバー チャネル プリ ミ ティブの QPLL#CLK および QPLL#REFCLK ポートに接続されます。

複数のコア インスタンスをシステムに統合する場合、これまでに説明した 2 種類のカスタマイズを組み合わせ、片方のインスタンスに存在する ト ランシーバー コモン リ ソースを別のインスタンス と共有できます。図 3-10 に示すよ うに、 ト ランシーバー コモン リ ソースを含むコア インスタンスの出力ポート を、 ト ランシーバー コモン リ ソースを含まないコア インスタンスの関連する入力ポートに簡単に接続できます。基本的に、これら 2 つのインスタンスはコアを統合する際に相互に接続され、 サンプル デザイン ラ ッパーは除外されます。

X-Ref Target - Figure 3-9

図 3-9: ト ランシーバー コモンをサンプル デザインに配置した場合

TransceiverCOMMON Wrapper

COMMON Primitive

TransceiverCHANNEL Wrapper

CHANNEL Primitive

Wizard IP Core

qpll#clk_in

qpll#refclk_in

X14548

IP Example Design

qpll#outclk_int

qpll#outrefclk_int

Page 71: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 71PG182 2017 年 10 月 4 日

第 3 章: コアを使用するデザイン

GTH ト ランシーバーを使用するコモン ブロ ッ クの共有方法は、 AR#65228 を参照して ください。

X-Ref Target - Figure 3-10

図 3-10: ト ランシーバー コモンの共有

TransceiverCHANNEL Wrapper

CHANNEL Primitive

Wizard IP Core

TransceiverCOMMON Wrapper

COMMON Primitive

TransceiverCHANNEL Wrapper

CHANNEL Primitive

Wizard IP Core

qpll#outclk_outqpll#outrefclk_out

X14549

qpll#clk_inqpll#refclk_in

Page 72: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 72PG182 2017 年 10 月 4 日

第 4 章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプ リ メ ンテーシ ョ ンの手順について説明します。一般的な Vivado® デザイン フローについては、次の 『Vivado Design Suite ユーザー ガイ ド』 を参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 9]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10]

コアのカスタマイズおよび生成こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

ウ ィザード IP コアはユーザー デザインに合わせてカスタマイズできます。 それには、 コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. Vivado Design Suite で新規プロジェク ト を作成するか、 サポート されている UltraScale™ または UltraScale+™ デバイスのいずれかをターゲッ トにして作成した既存のプロジェク ト を開きます。

重要: スピード グレード、温度グレード、シ リ コン レベルなどの特性によってシ リ アル ト ランシーバーの利用可能な機能や性能の上限が異なるため、 使用するデバイスは正し く指定する必要があ り ます。 ウ ィザード IP をカスタマイズする際、 Vivado 統合設計環境 (IDE) で利用可能な選択肢は各デバイス特性に応じて変わり ます。

2. IP カタログを開き、[FPGA Features and Design] → [I/O Interfaces] → [UltraScale FPGAs Transceivers Wizard] をク リ ック してウ ィザード IP を選択します。

3. IP をダブルク リ ッ クするか、 ツールバーまたはポップアップ メニューから [Customize IP] コマンドを選択してウ ィザード IP の [Customize IP] ダイアログ ボッ クスを表示します。

注記: このコアは Vivado IP インテグレーターでは使用できません。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] を参照してください。

注記: この章の図には Vivado IDE のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Page 73: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 73PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

Vivado IDE のカスタマイズ可能なパラメーター

ウ ィザード IP のパラ メーターは 4 つのタブにま とめられています。

• [Basic]: ト ランシーバーの種類、 ト ランス ミ ッ ターおよびレシーバーの設定など、 ト ランシーバーの基本機能をカスタマイズします。 ト ランシーバーのプリセッ ト設定を選ぶこ と もできます。 「[Basic] タブ」 を参照してください。

• [Physical Resources]: 有効にする ト ランシーバー チャネル サイ ト、 および基準クロ ッ クの配線オプシ ョ ンをテーブル表示とグラフ ィ ッ ク表示で選択します。 「[Physical Resources] タブ」 を参照してください。

• [Optional Features]: オプシ ョ ン機能または高度な機能に関する設定項目が豊富に用意されています。 アプ リ ケーシ ョ ンで必要な場合に設定します。 「[Optional Features] タブ」 を参照して ください。

• [Structural Options]: 利用可能な各ヘルパー ブロ ッ クの位置を選択します。オプシ ョ ン ポート有効化インターフェイスもあ り ます。 「[Structural Options] タブ」 を参照してください。

利用可能な選択肢を検討した上で、 ユーザーのシステム要件を満たしたコア インスタンスが生成されるよ うにオプシ ョ ンを変更してください。 ト ランシーバー プリ ミ ティブの機能および利用可能な使用モードの詳細は、 『UltraScaleアーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY トランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

[Component Name] およびシンボル

[Component Name] では、 生成される IP の名前を設定します。 デフォルトのコンポーネン ト名は gtwizard_ultrascale_0です。 プロジェク ト全体で一意の名前を設定する必要があ り ます。

[Customize IP] ダイアログ ボッ クスの左側にある [IP Symbol] には、デフォルトで有効化されたポートのみが表示されます。 この IP シンボルの左側には入力ポート、 右側には出力ポートが表示されます。 カスタマイズ オプシ ョ ンを変更するか、 オプシ ョ ン ポート有効化インターフェイスを使用する と IP シンボルの表示が更新されます。 各ポート とその使用法の詳細は、 第 2 章 「製品仕様」 を参照してください。

[Basic] タブ

こ こでは、 [Basic] タブ (図 4-1) に表示される IP のカスタマイズ オプシ ョ ンについて説明します。 こ こで選択した設定は、 コア インスタンス内で有効化した各ト ランシーバー チャネルに適用されます。 各カスタマイズ オプシ ョ ンの詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

Page 74: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 74PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

X-Ref Target - Figure 4-1

図 4-1: [Basic] タブ

Page 75: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 75PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

[System] セクシ ョ ン

このセクシ ョ ンでは、 システム全体に関する設定をカスタマイズします。 XGUI デザインにおける設定の優先度は、ト ップダウン方式です。 ト ランス ミ ッ ター側 ([Transmitter]) の更新はよ り優先度が高く、 この設定を受けて従属関係にあるレシーバー側 ([Receiver]) のカスタマイズが更新されます。

• [Transceiver configuration preset]: 業界標準規格をサポート したプリセッ ト設定がいくつか登録されています。プ リセッ ト設定を選択する と、 その業界標準規格に適したオプシ ョ ンが設定されます。 プ リセッ ト を適用後、 個々のシステムおよびプロ ト コル IP に合わせてオプシ ョ ンをさ らに変更するこ と もできます。 すべてのオプシ ョ ンを自分で設定する場合は、 [Start from scratch] を選択し ます。 このダイアログ ボッ ク スの上部にある [Switch toDefaults] をク リ ッ クする と、 すべてのオプシ ョ ンが [Start from scratch] のデフォルト設定に戻り ます。

• [Transceiver type]: 設定するシ リ アル ト ランシーバーのタイプを選択します。使用するデバイスに存在する ト ランシーバー タイプのみを選択できます。

[Transmitter] セクシ ョ ン

このセクシ ョ ンでは、 シ リ アル ト ランシーバーのト ランス ミ ッ ター設定をカスタマイズします。

• [Line rate (Gb/s)]: ト ランス ミ ッ ターのライン レート を Gb/s で入力します。入力可能な値の範囲はト ランシーバーのタイプおよび使用するデバイスによって異なり ます。

• [PLL type]: 有効化した各シ リ アル ト ランシーバー チャネルの ト ランス ミ ッ ターにクロ ッ クを供給する PLL のタイプを選択します。 [QPLL0]、 [QPLL1]、 [CPLL] のいずれかを指定できますが、 選択したデバイスおよびト ランス ミ ッ ター ラ イン レートによっては利用可能な選択肢が制限される場合があ り ます。 [QPLL0] または [QPLL1]を選択した場合、1 つまたは複数のト ランシーバー コモン プリ ミ ティブがインスタンシエート されます。 ト ランス ミ ッ ターとレシーバーのライン レートが異なる場合、 データ方向ごとに異なるタイプの PLL が必要になることがあ り ます。

• [QPLL Fractional-N options]: フラ クシ ョナル N フ ィードバッ ク分周器をサポートするデバイスの QPLL をターゲッ トにするコンフ ィギュレーシ ョ ンでは、 [Requested reference clock (MHz)] に値を入力して [Calc] をク リ ッ クします。 これによ り、 要求した値に基づいて、 サポート される複数の ト ランス ミ ッ ター基準ク ロ ッ ク周波数が[Actual Reference Clock (MHz)] にリ ス トで示されます。 ほとんどの場合、 要求する周波数はリ ス トから選択可能です。 この [Calc] によ り、 [Resulting fractional part of QPLL feedback divider] に、 ト ランス ミ ッ ター データパスへのクロ ッ ク供給に使用される QPLL フ ィードバッ ク分周器の小数部も示されます。この値は、高度なユース ケース向けに利用可能な [Actual Reference Clock (MHz)] の選択肢を微調整して手動で調整するこ とができます。 0 ~16777215 の値を設定できます (0 の場合はフラ クシ ョナル N 動作が無効)。 このフ ィールドを変更する と、利用可能な [Actual Reference Clock (MHz)] の選択肢も更新されます。

• [Actual Reference clock (MHz)]: 選択した ト ランス ミ ッ ター ライン レート となるよ うに、 選択した PLL タイプに供給される基準クロ ッ クの周波数を互換性のあるすべての周波数の中から選択します。

• [Encoding]: データ送信時にト ランシーバーが適用するエンコーディングまたはデータ フォーマッ ト処理のタイプを選択します。 次に示す項目から選択できますが、 使用するデバイス、 ト ランシーバー タイプ、 ラ イン レートによっては利用可能な選択肢が制限される場合があ り ます。

° [Raw (no encoding)]: データをそのまま送信します。

° [8B/10B]: データを 8B/10B フォーマッ トでエンコード してから送信します。

° [Sync. gearbox for 64B/66B]: 64B/66B アプリ ケーシ ョ ンで通常モードの TX 同期ギアボッ クスを使用してデータを送信します。

° [Sync. gearbox for 64B/66B (CAUI mode)]: 64B/66B アプリ ケーシ ョ ンで CAUI (デュアル データ ス ト リーム)モードの TX 同期ギアボッ クスを使用してデータを送信します。

° [Async. gearbox for 64B/66B]: 64B/66B アプリ ケーシ ョ ンで通常モードの TX 非同期ギアボッ クスを使用してデータを送信します。

° [Async. gearbox for 64B/66B (CAUI mode)]: 64B/66B アプリ ケーシ ョ ンで CAUI (デュアル データ ス ト リーム)モードの TX 非同期ギアボッ クスを使用してデータを送信します。

° [Sync. gearbox for 64B/67B]: 64B/67B アプリ ケーシ ョ ンで通常モードの TX 同期ギアボッ クスを使用してデータを送信します。

Page 76: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 76PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

° [Sync. gearbox for 64B/67B (CAUI mode)]: 64B/67B アプリ ケーシ ョ ンで CAUI (デュアル データ ス ト リーム)モードの TX 同期ギアボッ クスを使用してデータを送信します。

• [User data width]: 外部データ幅と も呼びます。各シ リ アル ト ランシーバー チャネルのト ランス ミ ッ ター ユーザーデータ インターフェイスのビッ ト幅を選択します。 16、 20、 32、 40、 64、 80、 128、 160 のいずれかを指定できますが、 使用するデバイス、 ト ランシーバー タイプ、 ラ イン レート、 エンコーディングによっては利用可能な選択肢が制限される場合があ り ます。 こ こで選択した値によって、 ト ランス ミ ッ ター データ ベクターの有効な範囲が設定され、 ユーザー データ幅サイズ変更ヘルパー ブロ ッ クをコアに含めた場合を除き、 これがコア インターフェイスにフルサイズで現れます。 ト ランス ミ ッ ター データ ベクターの有効な範囲は 下位ビッ ト を起点に設定されます。 非アクティブなビッ トは Low に接続して ください。

• [Internal data width]: 各シ リアル ト ランシーバー チャネルの内部ト ランス ミ ッ ター データパスのビッ ト幅を選択します。 16、 20、 32、 40、 64、 80 のいずれかを利用できますが、 使用するデバイス、 ト ランシーバー タイプ、 ライン レート、 エンコーディング、 ユーザー データ幅によっては利用可能な選択肢が制限される場合があ り ます。

• [Buffer]: ト ランス ミ ッ ター バッファーを有効にするかバイパスするかを選択します。選択したエンコーディングによっては、 バッファーをバイパスできない場合があ り ます。 バッファーをバイパスする と、 ト ランス ミ ッ ターバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クが生成されます。

• [TXOUTCLK source]: 各シ リ アル ト ランシーバー プリ ミ ティブの TXOUTCLK ポートに対する内部クロ ッ ク ソース を 選択 し ま す。 [TXPLLREFCLK_DIV1]、 [TXPLLREFCLK_DIV2]、 [TXOUTCLKPCS]、 [TXOUTCLKPMA]、[TXPROGDIVCLK] のいずれかを指定できますが、 使用するデバイス、 ライン レート、 基準クロ ッ ク周波数、 エンコーディング、内部データ幅、バッファー使用状況によっては利用可能な選択肢が制限される場合があ り ます。ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはマスター ト ランシーバー チャネルの TXOUTCLK ポートによって駆動されるため、 このクロ ッ ク ソースで駆動されるこ とにな り ます。

[Advanced] セクシ ョ ン (ト ランスミ ッ ター )

このセクシ ョ ンでは、シ リ アル ト ランシーバーのト ランス ミ ッ ターに関する高度な設定をカスタマイズします。このセクシ ョ ンは初期状態ではタイ トルのみが表示されています。 タイ トルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Differential swing and emphasis mode]: ト ランス ミ ッ ター ド ラ イバー モードを選択します。 これによって、 ト ランス ミ ッ ター ド ラ イバーのスイングおよびカーサーを制御するポートが決ま り ます。

[Receiver] セクシ ョ ン

このセクシ ョ ンでは、 シ リ アル ト ランシーバーのレシーバー設定をカスタマイズします。

• [Line rate (Gb/s)]: レシーバーのライン レート を Gb/s で入力します。入力可能な値の範囲はト ランシーバーのタイプおよび使用するデバイスによって異なり ます。

• [PLL type]: 有効化した各シ リ アル ト ランシーバー チャネルのレシーバーにクロ ッ クを供給する PLL のタイプを選択します。 [QPLL0]、 [QPLL1]、 [CPLL] のいずれかを指定できますが、 使用するデバイスおよびレシーバー ライン レートによっては利用可能な選択肢が制限される場合があ り ます。 [QPLL0] または [QPLL1] を選択した場合、1 つまたは複数のト ランシーバー コモン プリ ミ ティブがインスタンシエート されます。レシーバーと ト ランス ミ ッ ターのライン レートが異なる場合、データ方向ごとに異なるタイプの PLL が必要になるこ とがあ り ます。

• [QPLL Fractional-N options]: フラ クシ ョナル N フ ィードバッ ク分周器をサポートするデバイスの QPLL をターゲッ トにするコンフ ィギュレーシ ョ ンでは、 [Requested reference clock (MHz)] に値を入力して [Calc] をク リ ッ クします。 これによ り、 要求した値に基づいて、 サポート される複数のレシーバー基準クロ ッ ク周波数が [ActualReference Clock (MHz)] にリ ス トで示されます。 ほとんどの場合、 要求する周波数はリ ス トから選択可能ですが、ト ランス ミ ッ ターとレシーバーで同じ QPLL を使用する場合、 ト ランス ミ ッ ターの設定値と揃える必要があ り ます。 この [Calc] によ り、 [Resulting fractional part of QPLL feedback divider] に、 レシーバー データパスへのクロ ック供給に使用される QPLL フ ィードバッ ク分周器の小数部も示されます。この値は、高度なユース ケース向けに利用可能な [Actual Reference Clock (MHz)] の選択肢を微調整して手動で調整するこ とができます。 0 ~ 16777215の値を設定できます (0 の場合はフラ クシ ョ ナル N 動作が無効)。 このフ ィールド を変更する と、 利用可能な[Actual Reference Clock (MHz)] の選択肢も更新されます。

• [Actual Reference clock (MHz)]: 選択したレシーバー ライン レート となるよ うに、 選択した PLL タイプに供給される基準クロ ッ クの周波数を互換性のあるすべての周波数の中から選択します。

Page 77: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 77PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• [Decoding]: データ受信時にト ランシーバーが適用するデコーディングまたはデータ フォーマッ ト処理のタイプを選択します。 次に示す項目から選択できますが、 使用するデバイス、 ト ランシーバー タイプ、 ライン レート、ト ランス ミ ッ ター データ エンコーディングによっては利用可能な選択肢が制限される場合があ り ます。

° [Raw (no encoding)]: 受信したデータをそのまま使用します。

° [8B/10B]: 受信したデータを 8B/10B フォーマッ トからデコード します。

° [Sync. gearbox for 64B/66B]: 64B/66B アプリ ケーシ ョ ンで通常モードの RX 同期ギアボッ クスを使用してデータを受信します。

° [Sync. gearbox for 64B/66B (CAUI mode)]: 64B/66B アプリ ケーシ ョ ンで CAUI (デュアル データ ス ト リーム)モードの RX 同期ギアボッ クスを使用してデータを受信します。

° [Async. gearbox for 64B/66B]: 64B/66B アプリ ケーシ ョ ンで通常モードの RX 非同期ギアボッ クスを使用してデータを受信します。

° [Async. gearbox for 64B/66B (CAUI mode)]: 64B/66B アプリ ケーシ ョ ンで CAUI (デュアル データ ス ト リーム)モードの RX 非同期ギアボッ クスを使用してデータを受信します。

° [Sync. gearbox for 64B/67B]: 64B/67B アプリ ケーシ ョ ンで通常モードの RX 同期ギアボッ クスを使用してデータを受信します。

° [Sync. gearbox for 64B/67B gearbox (CAUI mode)]: 64B/67B アプリ ケーシ ョ ンで CAUI (デュアル データ ス トリーム) モードの RX 同期ギアボッ クスを使用してデータを受信します。

• [User data width]: 外部データ幅と も呼びます。 各シ リ アル ト ランシーバー チャネルのレシーバー ユーザー データ インターフェイスのビッ ト幅を選択します。 16、 20、 32、 40、 64、 80、 128、 160 のいずれかを指定できますが、 使用するデバイス、 ト ランシーバー タイプ、 ラ イン レート、 デコーディングによっては利用可能な選択肢が制限される場合があ り ます。 こ こで選択した値によって、 レシーバー データ ベクターの有効な範囲が設定され、 ユーザー データ幅サイズ変更ヘルパー ブロ ッ クをコアに含めた場合を除き、 これがコア インターフェイスにフルサイズで現れます。 レシーバー データ ベクターの有効な範囲は 下位ビッ ト を起点に設定されます。 非アクティブなビッ トは無視してください。

• [Internal data width]: 各シ リアル ト ランシーバー チャネルの内部レシーバー データパスのビッ ト幅を選択します。 16、 20、 32、 40、 64、 80 のいずれかを利用できますが、 使用するデバイス、 ト ランシーバー タイプ、 ラ イン レート、 デコーディング、 ユーザー データ幅によっては利用可能な選択肢が制限される場合があ り ます。

• [Buffer]: レシーバー エラスティ ッ ク バッファーを有効にするかバイパスするかを選択します。 選択したデコーディ ングによっては、 バッファーをバイパスできない場合があ り ます。 バッファーをバイパスする と、 レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ クが生成されます。

• [RXOUTCLK source]: 各シ リ アル ト ランシーバー プリ ミ ティブの RXOUTCLK ポートに対する内部クロ ッ ク ソース を 選択 し ま す。 [RXPLLREFCLK_DIV1]、 [RXPLLREFCLK_DIV2]、 [RXOUTCLKPCS]、 [RXOUTCLKPMA]、[RXPROGDIVCLK] のいずれかを指定できますが、 使用するデバイス、 ライン レート、 基準クロ ッ ク周波数、 デコーディング、 内部データ幅、 バッファー使用状況によっては利用可能な選択肢が制限される場合があ り ます。レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはマスター ト ランシーバー チャネルまたは各ト ランシーバー チャネル (バッファー使用オプシ ョ ンによる ) の RXOUTCLK ポート によって駆動されるため、 このクロ ッ ク ソースで駆動されるこ とにな り ます。

[Advanced] セクシ ョ ン (レシーバー )

このセクシ ョ ンでは、 シ リ アル ト ランシーバーのレシーバーに関する高度な設定をカスタマイズします。 このセクシ ョ ンは初期状態ではタイ トルのみが表示されています。 タイ トルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Insertion loss at Nyquist (dB)]: ナイキス ト周波数における ト ランス ミ ッ ターと レシーバーの間のチャネル挿入損失を dB で指定します。

• [Equalization mode]: レシーバー等化に関して判定帰還等化 (DFE) モード と低電力モード (LPM) のいずれかを選択します。 [Auto] を選択する と、 チャネル挿入損失に基づいて自動的にモードが設定されます。 この場合、 14dBを超える値が設定される と DFE が使用され、 それ以下の値が設定される と LPM が使用されます。 詳細は、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

Page 78: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 78PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• [Link coupling]: [AC] または [DC] から選択します。 アプ リ ケーシ ョ ンで外部 AC カップ リ ングを有効にする場合は [AC]、 それ以外の場合は [DC] を選択します。

• [Termination]: レシーバーの終端電圧を選択します。プロ ト コルおよびその リ ンク カップ リ ングに基づいて設定して ください。

• [Programmable termination voltage (mV)]: [Termination] で [Programmable] を選択した場合、 終端電圧を mV で指定します。

• [PPM offset between receiver and transmitter]: 受信したデータ と ト ランス ミ ッ ター データのオフセッ ト を PPMで指定します。 たとえば使用するプロ ト コルで ±100ppm と指定されている場合、 このフ ィールドに 200 と入力します。 こ こで指定した値は、 レシーバー CDR の設定に影響します。

• [Spread spectrum clocking]: スペク ト ラム拡散ク ロ ッ ク (SSC) 変調量を PPM で指定します。こ こで指定した値は、 レシーバー CDR の設定に影響します。

• [Enable Out of Band signaling (OOB)/Electrical Idle]: OOB (Out of Band) 信号/電気的アイ ドルを有効にする場合、 このチェッ ク ボッ クスをオンにします。 サポート されるレシーバー ライン レート、 データ デコーディング、 基準クロ ッ ク周波数、終端、変更可能な終端の値、 リ ンク結合の選択によっては、チェッ ク ボッ クスを利用できない場合があ り ます。

注記:

1. レートについては、 6G カスタマーは CDR 用にウ ィザードの [PPM offset between receiver and transmitter] に1000PPM と入力する必要があ り ます。 この CDR の設定では、 1000PPM の上方/下方拡散、 または +/- 500PPM の中央拡散に対応可能です。

2. 1.5G、 3G、 および 6G の場合、 カスタマーはウ ィザードの [Spread spectrum clocking] を使用する必要があ り ます。こ こでは、 CDR を 5000PPM (上方拡散または下方拡散または +/- 2500 の中央拡散) に設定します。

X-Ref Target - Figure 4-2

X-Ref Target - Figure 4-3

Page 79: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 79PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

[Physical Resources] タブ

こ こでは、 [Physical Resources] タブに表示される IP のカスタマイズ オプシ ョ ンについて説明します。 このタブのオプシ ョ ンをカスタマイズする と、 生成される HDL および制約に影響するこ とに注意が必要です。 個々のプロジェクトおよびシステムに適したオプシ ョ ンを選択して ください。 ト ランシーバー クワ ッ ド アーキテクチャおよび基準クロ ッ ク オプシ ョ ンの詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照して ください。図 4-4に、 [Physical Resources] タブのレイアウ ト を示します。

[Free-running and DRP clock frequency (MHz)]

コアのブリ ング アップおよび各種ヘルパー ブロ ッ クへのクロ ッ ク供給に必要なフ リーランニング ク ロ ッ クの周波数を指定します。ク ロ ッ ク制約の生成、および一部のデザイン モジュールのパラ メーター指定のために正確な周波数が必要です。エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ ト と した GTH ト ランシーバーの設定で CPLL を使用する場合、 このクロ ッ クを ト ランシーバー チャネルの DRP インターフェイスにも使用する必要があ り ます。 大周波数についての説明は、 9 ページの 「パフォーマンス」 を参照してください。

[TX Master channel] および [RX Master channel]

有効化したすべての ト ランシーバー チャネルからマスター ト ランス ミ ッ ター チャネルとマスター レシーバー チャネルを個別に選択します。 有効化したチャネルは、 ト ランシーバー チャネル グ リ ッ ドで識別されます。 生成されたコア インスタンスでは、 TX マスター チャネルがト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパーブロッ クのソース ク ロ ッ ク入力を駆動し、 RX マスター チャネルがレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロッ クのソース ク ロ ッ ク入力を駆動します。 こ こで指定した TX および RX マスター チャネルは、 トランス ミ ッ ター バッファーまたはレシーバー エラスティ ッ ク バッファーをバイパスする場合、バッファー バイパスマスター レーンの設定にも使用します。

チャネルのテーブル表示とグラフ ィ ッ ク表示

ト ランシーバー チャネルの有効化、 基準クロ ッ ク ソース と リ カバリ ク ロ ッ クの選択は、 チャネルのテーブル表示またはグラフ ィ ッ ク表示を利用してカスタマイズできます。 [Physical Resources] タブのオプシ ョ ンを設定する際は、[Customize IP] ダイアログ ボッ クスの左側の [IP Symbol] が表示される場所にチャネルがグラフ ィ ッ ク表示されます。[Channel table] には、 ユーザー設定可能な [Enable]、 [TX REFCLK source]、 [RX REFCLK source]、 [RXRECCLKOUTbuffer] 列、および情報表示専用の [Location details] 列があ り ます。利用可能なチャネルは、それぞれのカラムと クワ ッドの位置ごとに表示されます。グラフ ィ ッ ク表示でも同じカスタマイズが可能で、さ らに ト ランシーバー プリ ミ ティブと基準クロ ッ クの トポロジが視覚的に表示されます。

X-Ref Target - Figure 4-4

図 4-4: [Physical Resources] タブ

Page 80: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 80PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• チャネルの有効化: 使用する ト ランシーバー チャネルを有効化するには、 [Channel table] でそのチャネルの[Enable] 列のチェ ッ ク ボッ クスをオンにするか、 グラフ ィ ッ ク表示でチャネルを右ク リ ッ ク して [Enable] をクリ ッ ク します。 チャネルを有効にする と、 生成されるコア インスタンスで ト ランシーバー サイ トがインスタンシエートおよび接続され、制約が適切に適用されます。少なく と も 1 つのチャネルを必ず有効にする必要があ ります。デフォルトのチャネルを無効にする場合は、 まず必要なチャネルを有効にしてからデフォルト を無効にします。 [Disable All Channels] ボタンをク リ ッ クする と ト ランシーバー チャネルの有効化がデフォルト状態に戻ります。 ト ランシーバー チャネルはカラムと クワ ッ ドごとに表示され、 ト ランシーバー チャネル グ リ ッ ドの座標に基づいた名前が付けられます。 チャネルは、 [Location details] 列に表示されるシ リアル データ ピンでも識別できます。

• ト ランス ミ ッ ター基準クロ ッ ク ソースの選択: 有効化したチャネルには、 それぞれ有効な ト ランス ミ ッ ター基準ク ロ ッ ク ソースを選択する必要があ り ます。 [Channel table] の [TX REFCLK] 列でソースを選択するか、 グラフ ィ ッ ク表示でチャネルを右ク リ ッ ク して選択します。参照用に、 [Basic] タブのカスタマイズで選択した ト ランス ミ ッ ター PLL タイプが表示されます。 チャネルに対して基準クロ ッ ク ソースを選択する と、 そのバッファー経由の入力がそのチャネルに接続され、適切な制約が生成されます。選択した基準クロ ッ ク ソースの種類の数だけ、 デバイスに差動クロ ッ ク入力が必要です。

• レシーバー基準クロ ッ ク ソースの選択: 有効化したチャネルには、それぞれ有効なレシーバー基準クロ ッ ク ソースを選択する必要があ り ます。 [Channel table] の [RX REFCLK] 列でソースを選択するか、 チャネル グラフ ィ ックでチャネルを右ク リ ッ ク して選択します。 参照用に、 [Basic] タブのカスタマイズで選択したレシーバー PLLタイプが表示されます。チャネルに対して基準クロ ッ ク ソースを選択する と、そのバッファー経由の入力がそのチャネルに接続され、適切な制約が生成されます。選択した基準クロ ッ ク ソースの種類の数だけ、デバイスに差動クロ ッ ク入力が必要です。

注記: MGTREFCLK1 または上下方向の配線が必要な基準ク ロ ッ ク を選択した場合も、 ウ ィザード IP は常にバッファー経由の基準クロ ッ ク信号を適切な PLL ク ロ ッ ク マルチプレクサーの 「GTREFCLK0」 位置に接続します。 これはウ ィザード IP でサポート される簡略化された使用モードの 1 つで、複雑な配線は Vivado デザイン ツールが処理します。

• リ カバリ ク ロ ッ ク ソースおよびバッファーの選択: ト ランシーバー チャネルのリ カバリ ク ロ ッ クはバッファーを経由してデバイス外部へ駆動できます。 有効化した各 ト ランシーバー チャネルに対して、 [Channel table] の[RXRECCLKOUT buffer] 列またはグラフ ィ ッ ク表示でチャネルを右ク リ ッ ク した メ ニューで利用可能な出力バッファーを選択します。同じ リ ソースを基準クロ ッ ク入力バッファーと して使用するのを避けるため、 その トランシーバーのクワッ ド内の利用可能な差動クロ ッ ク バッファーを出力と して使用する必要があ り ます。リ カバリ ク ロ ッ ク ソースおよびバッファーを選択する とチャネルのリ カバリ ク ロ ッ ク出力はインスタンシエート された出力バッファー プリ ミ ティブに接続され、 適切な制約が生成されます。

Page 81: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 81PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

[Optional Features] タブ

こ こでは、 [Optional Features] タブに表示される IP のカスタマイズ オプシ ョ ンについて説明します。 これら機能はいずれも任意で使用できます。 実際のアプリ ケーシ ョ ンでこれらの機能を使用しない場合、 カスタマイズの必要はあり ません。 関連するシ リアル ト ランシーバーの機能の詳細は、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザーガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。図 4-5 に、 [Optional Features] タブのレイアウ ト を示します。 この図では、 [Receiver comma detectionand alignment] セクシ ョ ンを展開しています。

[Receiver Comma Detection and Alignment] セクシ ョ ン

このセクシ ョ ンを展開する と、受信したカンマ文字の検出およびこれらカンマ文字に対するデータ アライ メン トに関する各種カスタマイズ オプシ ョ ンが表示されます。 タイ トルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Valid comma values for 8B/10B decoding]: カンマ文字と してすべての 8B/10B カンマをデコードするか IEEE Std802.3 で定義されたカンマ文字のみをデコードするかを選択します。

• [Plus comma]: [Detect] の下のチェッ ク ボッ クスをオンにする と、供給されるビッ ト パターンを正のカンマと して検出します。 [Value] の下のテキス ト ボッ クスに直接パターンを入力するか、 コンボ ボッ クスからプリセッ ト として定義された標準の正のカンマ パターンを指定します。

• [Minus comma]: [Detect] の下のチェッ ク ボッ クスをオンにする と、供給されるビッ ト パターンを負のカンマと して検出します。 [Value] の下のテキス ト ボッ クスに直接パターンを入力するか、 コンボ ボッ クスからプリセッ トと して定義された標準の負のカンマ パターンを指定します。

• [Mask]: カンマ マスクのビッ ト パターンを入力します。 カンマ検出ブロ ッ クは、 こ こで 「0」 に設定したビッ ト位置を正および負のカンマ検出で 「ドン ト ケア」 と して扱います。

• [Detect combined plus/minus (double length) comma]: このチェッ ク ボッ クスをオンにする と、 ト ランシーバーは連続する 2 つのカンマを検出します。

• [Alignment boundary]: カンマ アライ メン トに使用可能なデータ バイ ト境界を選択します。 [Any byte boundary]、[Two byte boundaries]、 [Four byte boundaries]、 [Eight byte boundaries] のいずれかを指定できますが、 レシーバー内部データ幅によっては利用可能な選択肢が制限される場合があ り ます。

X-Ref Target - Figure 4-5

図 4-5: [Optional Features] タブ

Page 82: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 82PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• [Show realign comma]: このチェッ ク ボッ クスをオンにする と、再アライ メン ト を発生させるカンマがレシーバーインターフェイスに送信されます。

• [Manual alignment (RXSLIDE) mode]: RXSLIDE を使用して手動アライ メン ト を実行する場合、 どちらのモードを有効にするかを選択します。 [Off] (手動アライ メン ト無効)、 [PCS]、 [PMA]、 [Automated PMA] のいずれかを指定できますが、レシーバー データ デコーディングおよびレシーバー エラスティ ッ ク バッファー使用状況によっては利用可能な選択肢が制限される場合があ り ます。

[Receiver channel bonding] セクシ ョ ン

このセクシ ョ ンを展開する と、 レシーバー チャネル ボンディングに関する各種カスタマイズ オプシ ョ ンが表示されます。 タイ トルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Enable and select number of sequences to use]: レシーバー チャネル ボンディングを有効にするかど うか、有効にする場合はいくつのチャネル ボンディング シーケンスを使用するかを選択します。 [No channel bonding]、 [1 sequence]、[2 sequences] のいずれかを指定できますが、 有効チャネル数、 レシーバー データ デコーディング、 レシーバー内部データ幅、レシーバー エラスティ ッ ク バッファー使用状況によっては利用可能な選択肢が制限される場合があり ます。

• [Length of each sequence]: チャネル ボンディングを使用する場合、 各チャネル ボンディング シーケンスの長さを選択します。 1、 2、 4 パターンのいずれかを選択できます。

• [Sequence maximum skew]: チャネル ボンディングを使用する場合、チャネル ボンディングの 大スキュー値を選択します。 この値は、 チャネル ボンディング シーケンス間の 小距離の半分未満とする必要があ り ます。 1、 2、3、 4、 5、 6、 7、 8、 9、 10、 11、 12、 13、 14 文字のいずれかを選択できます。

• [Maximum channel bonding level to be used]: チャネル ボンディングを使用する場合、システムのチャネル ボンディング ト ポロジで使用する 大チャネル ボンディング レベルを選択します。 1、 2、 3、 4、 5、 6、 7 レベルのいずれかを選択できます。

• [Don't care]: 有効化した各チャネル ボンディング シーケンスの各パターンについて、 このチェッ ク ボッ クスをオンにすると 「ドン ト ケア」 と して扱われ、 チャネル ボンディング シーケンス内で常に一致と見なされます。

• [Value]: 有効化した各チャネル ボンディング シーケンスの各パターンについて、 そのビッ ト値を指定します。

• [K character]: 有効化した各チャネル ボンディング シーケンスの各パターンについて、 このチェッ ク ボッ クスをオンにする と K 符号であるこ とが示されます。

• [Inverted disparity]: 有効化した各チャネル ボンディング シーケンスの各パターンについて、このチェッ ク ボッ クスをオンにする と反転したディ スパリ テ ィ を使用して故意にエラーを発生させキャラ ク ター制御を知らせる ことを示します。

[Receiver clock correction] セクシ ョ ン

このセクシ ョ ンを展開する と、 ク ロ ッ ク コレクシ ョ ンに関する各種カスタマイズ オプシ ョ ンが表示されます。 タイトルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Enable and select number of sequences to use]: レシーバー ク ロ ッ ク コレクシ ョ ンを有効にするかど うか、有効にする場合はい く つのク ロ ッ ク コ レ ク シ ョ ン シーケンスを使用するかを選択し ます。 [No clock correction]、 [1sequence]、 [2 sequences] のいずれかを指定できますが、 レシーバー データ デコーディング、 レシーバー内部データ幅、 レシーバー エラスティ ッ ク バッファー使用状況によっては利用可能な選択肢が制限される場合があ り ます。

• [Length of each sequence]: ク ロ ッ ク コレクシ ョ ンを使用する場合、 各クロ ッ ク コレクシ ョ ン シーケンスの長さを選択します。 1、 2、 4 パターンのいずれかを選択できます。

• [Don't care]: 有効化した各クロ ッ ク コレクシ ョ ン シーケンスの各パターンについて、このチェッ ク ボッ クスをオンにする と 「ドン ト ケア」 と して扱われ、 ク ロ ッ ク コレクシ ョ ン シーケンス内で常に一致と見なされます。

• [Value]: 有効化した各クロ ッ ク コレクシ ョ ン シーケンスの各パターンについて、 そのビッ ト値を指定します。

• [K character]: 有効化した各クロ ッ ク コレクシ ョ ン シーケンスの各パターンについて、 このチェッ ク ボッ クスをオンにする と K 符号であるこ とが示されます。

Page 83: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 83PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• [Inverted disparity]: 有効化した各クロ ッ ク コレクシ ョ ン シーケンスの各パターンについて、このチェッ ク ボッ クスをオンにする と反転したディ スパリ テ ィ を使用して故意にエラーを発生させ制御キャラ ク ターを知らせる ことを示します。

• [Periodicity of the sequence (in bytes)]: ク ロ ッ ク コレクシ ョ ン シーケンスの周期をバイ ト単位で指定します。

• [Keep idle]: 受信したクロ ッ ク コレクシ ョ ン シーケンスの各連続ス ト リームに対して、 低 1 ク ロ ッ ク コレクシ ョ ン シーケンスを保持するかど うかを指定します。 [Enable] または [Disable] のいずれかを選択できます。

• [Precedence]: ク ロ ッ ク コレクシ ョ ンとチャネル ボンディングが同時にト リガーされた場合、 クロ ッ ク コレクシ ョ ンを優先するかど うかを指定します。 [Enable] または [Disable] のいずれかを選択できます。

• [Minimum repetition]: ク ロ ッ ク コレクシ ョ ン完了後、エラスティ ッ ク バッファーが次のクロ ッ ク コレクシ ョ ンを開始できるよ うになるまでの RXUSRCLK サイクル数を指定します。0 (制限なし )、または 1 ~ 31 サイクルのいずれかを選択できます。

[Buffer control] セクシ ョ ン

このセクシ ョ ンを展開する と、 ト ランス ミ ッ ターおよびレシーバー エラスティ ッ ク バッファーの制御と動作に関する各種カスタマイズ オプシ ョ ンが表示されます。 タイ トルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Receiver elastic buffer bypass mode]: レシーバー エラスティ ッ ク バッファーをバイパスして 2 つ以上のト ランシーバー チャネルを有効にした場合、 マルチレーン バッファー バイパス モード とシングルレーン バッファーバイパス モードのどちらを使用するかを指定します。マルチレーン モードを選択した場合、指定したレシーバーマスター チャネルがバッファー バイパス マスター レーンと して動作し、マスターおよびすべてのスレーブ レーンにユーザー ク ロ ッ クを供給するレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク インスタンスのクロ ッ ク ソース とな り ます。 シングルレーン モードを選択した場合、 各ト ランシーバー チャネルのレシーバー エラステ ィ ッ ク バッ フ ァーは個別にバイパス され、 各 ト ランシーバー チャネルにレシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク と レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クが 1 つずつインスタンシエート されます。 デフォルト値はマルチレーン モードです。

• [Reset receiver elastic buffer on channel bonding change]: RXCHANBONDMASTER、 RXCHANBONDSLAVE、 またはRXCHANBONDLEVEL の変更時にレシーバー エラステ ィ ッ ク バッファーを リセッ トするかど うかを指定します。レシーバー エラスティ ッ ク バッファーを使用する場合、 [Enable] または [Disable] のいずれかを選択できます。

• [Reset receiver elastic buffer on comma alignment]: カンマ アライ メン ト時にレシーバー エラスティ ッ ク バッファーを リセッ トするかど うかを指定します。レシーバー エラスティ ッ ク バッファーを使用する場合、 [Enable] または[Disable] のいずれかを選択できます。

• [Reset receiver elastic buffer on rate change]: レート変更時にレシーバー エラスティ ッ ク バッファーを リセッ トするかど うかを指定します。 レシーバー エラスティ ッ ク バッファーを使用する場合、 [Enable] または [Disable] のいずれかを選択できます。

• [Reset transmitter buffer on rate change]: レート変更時にト ランス ミ ッ ター バッファーを リセッ トするかど うかを指定します。ト ランス ミ ッ ター バッファーを使用する場合、[Enable] または [Disable] のいずれかを選択できます。

[Advanced clocking] セクシ ョ ン

このセクシ ョ ンを展開する と、 高度なクロ ッキング メ ソ ド ロジと周波数に関する各種カスタマイズ オプシ ョ ンが表示されます。 タイ トルをク リ ッ クする と、 セクシ ョ ンが展開表示されます。

• [Enable secondary QPLL]: QPLL0 または QPLL1 のいずれか一方を使用して ト ランス ミ ッ ター /レシーバーにクロ ッ クを供給する場合、残りの QPLL はコアでは未使用と して構成されます。このチェッ ク ボッ クスをオンにする と ト ランシーバー コモンのセカンダ リ QPLL を別のコアで使用するよ うにカスタマイズできます。ト ランシーバー コモンの共有方法は、 69 ページの 「 ト ランシーバー コモン プリ ミ ティブ」 を参照して ください。

• [Line rate of second core (Gb/s)]: このコアによってインスタンシエート されるセカンダ リ QPLL を使用するコアのト ランス ミ ッ ター /レシーバーのライン レート を Gb/s で入力します。入力可能な値の範囲はト ランシーバーのタイプおよび使用するデバイスによって異なり ます。

Page 84: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 84PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• [QPLL Fractional-N options]: フラ クシ ョナル N フ ィードバッ ク分周器をサポートするデバイスのセカンダ リQPLL をターゲッ トにするコンフ ィギュレーシ ョ ンでは、 [Requested reference clock (MHz)] に値を入力して [Calc]をク リ ッ ク します。 これによ り、 要求した値に基づいて、 サポート される複数の基準クロ ッ ク周波数が [ActualReference Clock (MHz)] にリ ス トで示されます。ほとんどの場合、要求する周波数はリ ス トから選択可能です。 この [Calc] によ り、 [Resulting fractional part of QPLL feedback divider] に、 セカンダ リ QPLL が駆動するレシーバーデータパスへのクロ ッ ク供給に使用される QPLL フ ィードバッ ク分周器の小数部も示されます。 この値は、高度なユース ケース向けに利用可能な [Actual Reference Clock (MHz)] の選択肢を微調整して手動で調整するこ とができます。 0 ~ 16777215 の値を設定できます (0 の場合はフラ クシ ョナル N 動作が無効)。 このフ ィールドを変更する と、 利用可能な [Actual Reference Clock (MHz)] の選択肢も更新されます。

• [Actual Reference clock (MHz)]: 2 番目のコアで使用するよ うに選択した ト ランス ミ ッ ター/ライン レート を達成できるよ うに、セカンダ リ PLL に供給される基準クロ ッ クの周波数を互換性のあるすべての周波数の中から選択します。

• [Enable selectable TXOUTCLK frequency]: TX の設定変更可能な除算器 (TXPROGDIVCLK) を TXOUTCLK ソースと して選択した場合、 そのクロ ッ クのデフォルト以外の周波数を選択するか、 TX の設定変更可能な分周器に別のクロ ッ ク ソースを選択できる場合があ り ます。 このチェッ ク ボッ クスをオンにする と、 コアの設定と互換性のある周波数から選択できます。

• [Programmable divider clock source]: TX の設定変更可能な除算器の PLL ク ロ ッ ク ソースを選択します。 ト ランスミ ッ ターで選択した PLL タイプ、 および周波数が特定の関係にある場合は CPLL を選択できます。

注記: ト ランス ミ ッ ターで選択したのとは異なる PLL タイプを選択した場合、コア全体およびシステム リセッ トシーケンス と協調して TX の設定変更可能な除算器のク ロ ッ ク ソースを適切にリセッ ト してロ ッ クする必要があ り ます。

• [TXOUTCLK frequency (MHz)]: TX の設定変更可能な分周器によって生成可能な TXOUTCLK 周波数のうち、コアの設定および使用するデバイス と互換性のあるものから選択します。 こ こで選択した周波数が、 ト ランス ミ ッターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クによって必要なユーザー ク ロ ッ ク周波数に分周されます。

[SATA] セクシ ョ ン

このセクシ ョ ンを展開する と、 SATA の設定に関する各種オプシ ョ ンが表示されます。 タイ トルをク リ ッ クする と、セクシ ョ ンが展開表示されます。

• [TX COM sequence burst length]: SATA COM シーケンスを構成するバース トの数を選択します。 6、 7、 8、 9、 10、11、 12、 13、 14、 15 のいずれかを選択できます。

Page 85: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 85PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

[Structural Options] タブ

こ こでは、 [Structural Options] タブに表示される IP のカス タマイズ オプシ ョ ンについて説明し ます。 図 4-6 に、[Structural Options] タブのレイアウ ト を示します。

ヘルパー ブロックの位置に関するセクシ ョ ン

[Customize IP] ダイアログ ボッ クスの [Simplify transceiver usage by organizing resources and helper blocks] と書かれたセクシ ョ ンです。 ト ランシーバー コモン プリ ミ ティブとヘルパー ブロ ッ クの位置をこ こで選択します。各ヘルパー ブロ ッ クの使用法、 およびト ランシーバー コモンとヘルパー ブロ ッ クの配置場所に関する ト レードオフについては、第 3 章 「コアを使用するデザイン」 を参照してください。

• [Include the transceiver COMMON in the…]: 有効化した ト ランシーバー コモン プリ ミ ティブをコアとサンプル デザインのどちらにインスタンシエートするかを指定します。デフォルトは [Core] (コアにインスタンシエート ) です。

• [Include simple transmitter user clocking network in the…]: ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワークヘルパー ブロ ッ クをコアとサンプル デザインのどちらにインスタンシエートするかを指定します。 デフォルトは [Example Design] (サンプル デザインにインスタンシエート ) です。

• [Include simple receiver user clocking network in the…]: レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパーブロ ッ ク を コア と サンプル デザイ ンのどちらにイ ンス タ ンシエー ト するかを指定し ます。 デフ ォル ト は[Example Design] (サンプル デザインにインスタンシエート ) です。

• [Include reset controller in the…]: リセッ ト コン ト ローラー ヘルパー ブロ ッ クをコアとサンプル デザインのどちらにインスタンシエートするかを指定します。 デフォルトは [Core] (コアにインスタンシエート ) です。

X-Ref Target - Figure 4-6

図 4-6: [Structural Options] タブ

Page 86: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 86PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

• [Include user data width sizing in the…]: ユーザー データ幅サイズ変更 ヘルパー ブロ ッ クをコアとサンプル デザインのどちらにインスタンシエートするかを指定します。 デフォルトは [Core] (コアにインスタンシエート ) です。

• [Include transmitter buffer bypass controller in the…]: ト ランス ミ ッ ター バッファーをバイパスする場合、 ト ランスミ ッ ター バッファー バイパス コン ト ローラー ヘルパー ブロ ッ クをコアとサンプル デザインのどちらにインスタンシエートするかを指定します。 デフォルトは [Core] (コアにインスタンシエート ) です。

• [Include receiver elastic buffer bypass controller in the…]: レシーバー エラスティ ッ ク バッファーをバイパスする場合、 レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ クをコアとサンプル デザインのどちらにインスタンシエートするかを指定します。 デフォルトは [Core] (コアにインスタンシエート ) です。

• [Include In-System IBERT core]: ウ ィザードのサンプル デザインにオプシ ョ ンで含める In-System IBERT コアを指定します。 デフォルトは [No (do not include)] (含めない) です。

オプシ ョ ン ポート有効化インターフェイス

[Customize IP] ダイアログ ボッ クスの [Expose additional ports by Functionality, for advanced feature usage] と書かれたセクシ ョ ンです。オプシ ョ ン ポート有効化インターフェイスを利用する と、 ト ランシーバー チャネル/コモン プリ ミ ティブのポー ト を追加でコア インターフェイスに引き出すこ とができます。 利用可能な ト ランシーバー プ リ ミ テ ィブポー ト の詳細は、 『UltraScale アーキテ クチャ GTH ト ラ ンシーバー ユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

• [All Ports]: カラー表示されたこのセクシ ョ ンを展開する と、ウ ィザード IP の任意のポート をオプシ ョ ンで有効化できます。 タイ トルをク リ ッ クする とセクシ ョ ンが展開し、 [All Ports] テーブルが表示されます。 ポートは、 入力と出力でそれぞれポート名のアルファベッ ト順に並んでいます。 テーブルの [Name] 列には各ポート のウ ィザード IP コア インターフェイス名が表示され、 [Information] 列にはト ランシーバー プリ ミ ティブのタイプおよびそのポートのマップ (ヘルパー ブロ ッ ク ポート以外) が表示されます。 各ポートの [Enable] 列のチェッ ク ボックスをオンにする とそのポートがコア インターフェイスに引き出されます。ポート を有効にする と、 [IP Symbol]のグラフ ィ ッ ク表示も更新されます。 [Search] フ ィールドでは、 [All Ports] セクシ ョ ン内のテキス ト検索が可能です。 [All Ports] テーブルでは、 ポート有効化に関して次の制限があ り ます。

° ヘルパー ブロ ッ クのポートはこのテーブルでは有効化できません。 ポートが有効かど うかは、 ヘルパー ブロ ッ クの有無とその位置によってのみ決ま り ます。

° コア インスタンス内で駆動される ト ランシーバー プリ ミ ティブ入力ポート (主にヘルパー ブロ ッ クをコア内に含めた場合) は有効化できません。

° コア インスタンスが ト ランシーバー コモン プリ ミ ティブを 1 つもインスタンシエート しない場合、 ト ランシーバー コモン プリ ミ ティブに対応するポートは有効化できません。

° 選択した ト ランシーバー タイプとは異なる ト ランシーバー タイプに固有のポートは有効化できません。

• その他のグループ: [All Ports] の下には、 ト ランシーバー機能ごとに分類したウ ィザード IP のポートが展開可能なグループと して表示されます。 グループのタイ トルをク リ ッ クする と、 そのセクシ ョ ンが展開表示されます。アプ リ ケーシ ョ ンで必要なポート を簡単に識別して有効にできるよ うに、グループは『UltraScale アーキテクチャGTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 1] または『UltraScale アーキテクチャ GTY ト ランシーバーユーザー ガイ ド』 (UG578) [参照 2] の各章に対応した名前で整理されています。このほか、頻繁に使用するデバッグ ポート をま とめた [Transceiver-based IP Debug Ports] グループもあ り ます。 各ポートのチェ ッ ク ボッ クスをオンにする とそのポートがコア インターフェイスに引き出されます。 ポート を有効にする と、 [IP Symbol] のグラフ ィ ッ ク表示も更新されます。 その他のグループでは、 ポート有効化に関して次の制限があ り ます。

° コア インスタンス内で駆動される ト ランシーバー プリ ミ ティブ入力ポート (主にヘルパー ブロ ッ クをコア内に含めた場合) は有効化できません。

° コア インスタンスが ト ランシーバー コモン プリ ミ ティブを 1 つもインスタンシエート しない場合、 ト ランシーバー コモン プリ ミ ティブに対応するポートは有効化できません。

° 選択した ト ランシーバー タイプとは異なる ト ランシーバー タイプに固有のポートは有効化できません。

Page 87: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 87PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

コアへの制約こ こでは、 Vivado® Design Suite でコアに制約を指定する方法について説明します。

必須の制約

コア レベルの制約

UltraScale FPGAs Transceivers Wizard コアの各インスタンスには、 そのインスタンスに 適化されたコア レベルのザイ リ ンクス デザイン制約 (XDC) ファ イルが含まれます。 コア レベルの XDC ファ イルには次の制約が含まれます。

• ト ランシーバー ロケーシ ョ ン制約: [Customize IP] ダイアログ ボッ クスの [Physical Resources] タブでカスタマイズ時に選択した ト ランシーバー プリ ミ ティブ サイ トの位置を反映したものです。

• ケース解析制約: 動作可能な TXOUTCLK 周波数に対する適切な制約を伝搬するよ うに Vivado デザイン ツールに指示する制約で、 必要に応じて使用します。

• フォルス パス制約: シンクロナイザー モジュールまたはフォルス パスがコアに含まれる場合、必要に応じて使用します。

コア レベルの XDC ファ イルに含まれる制約は、 コア インスタンスを正し く動作させるために必要です。 このファイルは Vivado デザイン ツールによって管理され、 コアのカスタマイズ内容を変更またはコアのバージ ョ ンをアップグレードする と自動的に反映されます。 このファイルを直接編集しないでください。高度なユース ケースで GT ロケーシ ョ ンを手動で管理する場合は、IP のカスタマイズ時に DISABLE_LOC_XDC ユーザー パラ メーターを 1 に設定します。

サンプル デザインの制約

ウ ィザード IP コアのインスタンスに対してサンプル デザインを生成する と、 そのサンプル プロジェ ク トに対するXDC ファ イルが生成されます。サンプル デザインの XDC ファ イルには、デザイン全体に対して必要な 上位の制約が含まれます。 これには次のものがあ り ます。

• I/O ロケーシ ョ ン制約: インスタンシエート された各ト ランシーバー差動基準クロ ッ ク バッファーに対する制約です。

• プレースホルダー I/O ロケーシ ョ ン制約: システムに対して適切な 上位 I/O の位置を制約するためのコ メン ト記号付きテンプレート と して使用します。

• システムレベル ク ロ ッ ク周期制約: システムのブリ ングアップに使用するフ リーランニング ク ロ ッ ク、およびトランシーバー基準クロ ッ クの差動入力に対する制約です。

• フォルス パス制約: サンプル デザインに含まれるシンクロナイザー モジュールまたはその他のフォルス パス用の制約です。

サンプル デザインの XDC ファ イルは、 サンプル デザイン内のエレ メン トに適切な制約を適用するために必要です。また、 この XDC ファ イルを元にしてシステムレベル制約を開発するこ と もできます。 サンプル デザインの XDC とコア レベルの XDC には、 重複する制約は含まれません。

アウト オブ コンテキスト (OOC) 制約

アウ ト オブ コンテキス ト (OOC) 合成や階層デザインなど、 上位から独立したデザイン フローを使用する場合、ウ ィ ザード IP はそのインス タンスに対してカス タマイズした専用の OOC XDC フ ァ イルも使用し ます。 OOCXDC ファ イルには、通常サンプル デザインの XDC ファ イルによって制約される ク ロ ッ ク ポー ト に対するデフォル ト の PERIOD 制約が含まれます。 このファ イルは Vivado デザイン ツールによって管理され、 コアのカスタマイズ内容を変更またはコアのバージ ョ ンをアップグレードする と自動的に反映されます。 このフ ァ イルを直接編集しないで ください。

Page 88: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 88PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

デバイス、 パッケージ、 スピード グレードの選択

特定のウ ィザード IP インスタンスに対するコアおよびサンプル デザインの制約は、使用するデバイスに対して IP のカスタマイズ時に指定した設定を反映して生成されます。別のデバイス、パッケージ、 スピード グレードを使用する場合は、 XDC ファ イルを直接編集するのではなく、 Vivado IDE を使用して目的のデバイスを選択し、 コアをカスタマイズし直してください。

クロック周波数

サンプル デザインの XDC ファ イルは専用の差動基準クロ ッ ク バッファーを駆動するクロ ッ ク入力に対する PERIOD制約を生成します。 する と これがコア内の各種 PLL リ ソースを駆動し、 各ト ランシーバー チャネル プリ ミ ティブのTXOUTCLK および RXOUTCLK ピンに伝搬します。 ト ランシーバー チャネルがユーザー ク ロ ッキング ネッ ト ワークヘルパー ブロ ッ クを駆動する場合、 これらの生成された制約はヘルパー ブロ ッ ク リ ソースを伝搬してそのユーザー ク ロ ッ ク ネッ ト ワークの関連する ク ロ ッ ク周波数で同期パスを制約します。各制約に対する適切なク ロ ッ ク周期はウ ィザード IP が自動的に決定します。 たとえばグ リ ッ ド X0Y0 の位置に基準クロ ッ ク バッファーを 1 つ使用する場合、 サンプル デザインの XDC ファ イルには次のよ うなコマンドが含まれます。

create_clock -period 6.400 [get_ports mgtrefclk0_x0y0_p]

重要: サンプル デザインの XDC ファ イルには、差動基準クロ ッ ク バッファーの入力に適用される create_clock コマンドがあ り ます。 このコマンドはそのまま残しておいてください。 この制約は、ユーザークロ ッキング ネッ ト ワークの制約を生成するために使用します。

エンジニア リ ング サンプル (ES1 または ES2) UltraScale デバイスをターゲッ トにした GTH ト ランシーバーの設定で、いずれかのデータ方向の PLL タイプと して、または選択可能な TXOUTCLK 周波数のソースと して CPLL を使用する場合、 コア レベルの XDC ファ イルに set_case_analysis コマンドが含まれます。 次に例を示します。

set_case_analysis 0 [get_pins -hierarchical -filter {NAME =~ *gen_channel_container[0].*gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST/TXOUTCLKSEL[2]}]

set_case_analysis 1 [get_pins -hierarchical -filter {NAME =~ *gen_channel_container[0].*gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST/TXOUTCLKSEL[1]}]

set_case_analysis 0 [get_pins -hierarchical -filter {NAME =~ *gen_channel_container[0].*gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST/TXOUTCLKSEL[0]}]

システム ブリ ングアップに使用するフ リーランニング ク ロ ッ クの PERIOD 制約は、 コアのカスタマイズ時に指定した周波数に基づいてサンプル デザインの XDC ファ イルによって生成されます。 次に例を示します。

create_clock -period 10 [get_ports hb_gtwiz_reset_clk_freerun_in]

ウ ィザード IP コアをシステムに統合する場合はこれ以外のクロ ッ クの PERIOD 制約が必要です。次に例を示します。

• DRP ク ロ ッ クなどオプシ ョ ンのクロ ッ ク ポート をウ ィザード IP のコア インターフェイスで有効化した場合、これらのクロ ッ クに適切な制約を適用する必要があ り ます。

注記: 第 4 章 「コアのカスタマイズおよび生成」 で説明したよ うに、エンジニア リ ング サンプル (ES1 または ES2)UltraScale デバイスをターゲッ ト と した GTH ト ランシーバーの設定で CPLL を使用する場合は、 ト ランシーバーチャネルの DRP インターフェイス ク ロ ッ クにもフ リーランニング ク ロ ッ クを使用する必要があ り ます。

支給されたユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クを使用せず、 TXOUTCLK または RXOUTCLK から適切なユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クを経て適切な ト ランシーバー ユーザー ク ロ ッ クに至るまでのパスを構成しない場合、 ト ランシーバー ユーザー ク ロ ッ クの新しいソースに適切な制約を適用する必要があ り ます。

Page 89: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 89PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

クロック管理

このセクシ ョ ンは、 この IP コアには適用されません。

クロック配置

サンプル デザインの XDC ファ イルはインスタンシエート された各ト ランシーバー差動基準クロ ッ ク バッファー プリ ミ ティブに対してパッケージ ピン制約を生成します。使用する場合は、インスタンシエート された各差動リ カバリク ロ ッ ク出力バッファー プリ ミ ティブに対してもパッケージ ピン制約を生成します。 この制約は、 [Customize IP] ダイアログ ボッ クスの [Physical Resources] タブでカスタマイズ時に選択した ト ランシーバー プリ ミ ティブ サイ トの位置を反映したものです。別の位置を使用する場合は、サンプル デザインの XDC ファ イルを直接編集するのではなく、コアをカスタマイズし直して別のク ロ ッ ク バッ フ ァーの位置を選択してロケーシ ョ ン制約およびク ロ ッ ク バッファーと ト ランシーバー チャネル/コモン プリ ミ ティブ間の接続の両方を正し く変更する必要があ り ます。

サンプル デザインの XDC には、 1 つのト ランシーバー差動基準クロ ッ ク バッファーに対し次に示すフォーマッ トのset_property package_pin コマンドが 2 つあ り ます。 ロケーシ ョ ンとポートの値は単なる参考例です。

set_property package_pin Y5 [get_ports mgtrefclk0_x0y0_n]set_property package_pin Y6 [get_ports mgtrefclk0_x0y0_p]

サンプル デザインの XDC には、 1 つのト ランシーバー差動リ カバリ ク ロ ッ ク出力バッファーに対し次に示すフォーマッ トの set_property package_pin コマンドが 2 つあり ます。ロケーシ ョ ンとポートの値は単なる参考例です。

set_property package_pin T5 [get_ports rxrecclkout_chx0y4_n]set_property package_pin T6 [get_ports rxrecclkout_chx0y4_p]

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。 ト ランシーバー チャネル プリ ミ ティブのロケーシ ョ ン制約については、 「 ト ランシーバーの配置」 を参照してください。

ト ランシーバーの配置

コア レベルの XDC ファ イルは、 有効化された各ト ランシーバー チャネル プ リ ミ ティブに対するロケーシ ョ ン制約を生成します。 この制約は、 [Customize IP] ダイアログ ボッ クスの [Physical Resources] タブでカスタマイズ時に選択した ト ランシーバー プ リ ミ テ ィブ サイ ト の位置を反映したものです。 別の位置を使用する場合は、 コア レベルのXDC ファ イルを直接編集するのではなく、 コアをカスタマイズし直して別の ト ランシーバー チャネル プリ ミ ティブの位置を選択してください。

コア レベルの XDC ファ イルには、 各ト ランシーバー チャネルに対して次に示すフォーマッ トの set_property LOC コマンドが 1 つあり ます。 階層パスと ロケーシ ョ ンの値は単なる参考例です。

set_property LOC GTHE3_CHANNEL_X0Y0 [get_cells -hierarchical -filter {NAME =~ *gen_channel_container[0].*gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST}]

I/O 規格と配置

サンプル デザインの XDC ファ イルは、一般的なサンプル デザインの 上位 I/O に対して次に示すプレースホルダー制約を生成します。 set_property package_pin 制約および set_property iostandard 制約のコ メン ト を削除し、 実際のシステムに合わせて 「<>」 の部分にパッケージ ピンまたは I/O 規格をそれぞれ割り当てる必要があ り ます。

Page 90: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 90PG182 2017 年 10 月 4 日

第 4 章: デザイン フローの手順

#set_property package_pin <> [get_ports hb_gtwiz_reset_clk_freerun_in]#set_property iostandard <> [get_ports hb_gtwiz_reset_clk_freerun_in]

#set_property package_pin <> [get_ports hb_gtwiz_reset_all_in]#set_property iostandard <> [get_ports hb_gtwiz_reset_all_in]

#set_property package_pin <> [get_ports link_down_latched_reset_in]#set_property iostandard <> [get_ports link_down_latched_reset_in]

#set_property package_pin <> [get_ports link_status_out]#set_property iostandard <> [get_ports link_status_out]

その他の制約

ヘルパー ブロ ッ クの位置など、 IP のカスタマイズ内容によっては個々の信号のクロ ッ ク乗せ換えを容易にするためにコアまたはサンプル ブロ ッ クにシンクロナイザーをインスタンシエートできます。シンクロナイザーまたはその他の無視可能な非同期パスが存在する場合、必要に応じてコア レベルの XDC ファ イルまたはサンプル デザインの XDCファ イルにこれら任意レイテンシのパスに対するフォルス パス制約が含まれます。 各 XDC ファ イルには次のよ うなコマンドが記述されます。

set_false_path -to [get_cells -hierarchical -filter {NAME =~ *bit_synchronizer*inst/i_in_meta_reg}] set_false_path -to [get_cells -hierarchical -filter {NAME =~ *reset_synchronizer*inst/rst_in_*_reg}

set_false_path -to [get_cells -hierarchical -filter {NAME =~ *gtwiz_userclk_tx_inst/*gtwiz_userclk_tx_active_*_reg}]

set_false_path -to [get_cells -hierarchical -filter {NAME =~ *gtwiz_userclk_rx_inst/*gtwiz_userclk_rx_active_*_reg}]

シミ ュレーシ ョ ンウ ィザード IP のサンプル デザインをテス トベンチでシ ミ ュレーシ ョ ンする と、 コアと ト ランシーバーの機能を簡単に確認できます。 詳細は、 第 6 章 「テス トベンチ」 を参照してください。

Vivado シ ミ ュレーシ ョ ン コンポーネン ト について、 またサポー ト されているサードパーテ ィ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10] を参照してください。

合成およびインプリ メンテーシ ョ ンウ ィザード IP のサンプル デザインを合成およびインプリ メン トする と、 コアと ト ランシーバーの機能をハード ウェアで簡単に確認できます。 詳細は、 第 5 章 「サンプル デザイン」 を参照して ください。

合成およびインプ リ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] を参照して ください。

Page 91: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 91PG182 2017 年 10 月 4 日

第 5 章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

サンプル デザインの目的UltraScale™ FPGAs Transceivers Wizard IP コアをどのよ うにカスタマイズした場合でも、 ウ ィザード IP コアのサンプル デザインを生成できます。コア インスタンスをカスタマイズして生成した後、Vivado 統合設計環境 (IDE) で [OpenIP Example Design] をク リ ッ ク してそのインスタンスを選択します。 する と新しい Vivado プロジェク トが開き、 ウ ィザード IP のサンプル デザインが 上位モジュールと して表示されます。 サンプル デザインは、 カスタマイズしたコアをインスタンシエート します。

ウ ィザード IP のサンプル デザインの目的は次のとおりです。

• シンプルなデモンス ト レーシ ョ ン: PRBS ジェネレーターおよびチェッカーに基づく リ ンク ステータス インジケーターを使用して、カスタマイズしたコア インスタンスの動作をシ ミ ュレーシ ョ ンまたはハード ウェア環境で確認できます。

• システムに統合の開始点: 基準クロ ッ ク バッファーやサンプルのシステム レベル制約が含まれ、カスタマイズしたコアをシステムに統合できます。

• ハードウェア ブリ ングアップおよびデバッグの簡略化: 主要なデバッグ信号をプローブする VIO (Virtual Input/Output) コア インスタンスを使用します。 このインスタンスは必要に応じてさ らにカスタマイズが可能です。

• 各種の便利な機能を提供: コアに含まれないヘルパー ブロ ッ クのインスタンシエーシ ョ ンおよび使用、 チャネル単位のベクター スライシングなど。

このサンプル デザインには、 設定設定可能な PRBS ジェネレーターおよびチェッカー モジュールが ト ランシーバーチャネルごとにあ り、データ完全性の簡単なテス ト を実行し、 リ ンク ステータスの結果を出力できます。第 6 章 「テス トベンチ」 で説明するよ うに、 付属のセルフチェッ ク テス トベンチがループバッ ク構成でサンプル デザインをシミ ュレーシ ョ ンし、 リ ンクが維持されているかど うかを確認します。 このサンプル デザインは合成も可能なため、ループバッ ク構成または適切な リ ンク パートナーに接続してハード ウェア環境でデータ完全性と リ ンク ステータスを確認できます。VIO コア インスタンスは主要なステータス信号をプローブし、基本的な制御信号を駆動します。 このため、 ハード ウェア I/O をインタラ クティブに操作する必要が軽減します。

推奨: サンプル デザインはカスタマイズしたコアのデモンス ト レーシ ョ ンを行う主要な手段と して提供しています。このため、ウ ィザード IP コアの基本的な使用法と動作の習得にこのサンプル デザインを使用するこ とを推奨します。

Page 92: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 92PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

階層および構造図 5-1 に、 ウ ィザード IP のサンプル デザインの階層、 および簡略化した構造図を示します。

サンプル デザインは、 カスタマイズしたコア インスタンスをインスタンシエート します。 コア インスタンスには 1つまたは複数のト ランシーバー チャネル プリ ミ ティブが含まれ、 カスタマイズの内容によっては 1 つまたは複数のト ランシーバー コモン プリ ミ ティブと 1 つまたは複数のヘルパー ブロ ッ クがインスタンシエート されるこ と もあ ります。 図の A で示した部分は、 コア インスタンスに含めたヘルパー ブロッ クを示しています。

サンプル デザインの も低い階層は、サンプル デザイン ラ ッパーです。サンプル デザイン ラ ッパーの目的は、カスタマイズしたコアとサンプル デザインに含めるよ うに指定したヘルパー ブロ ッ クのみをインスタンシエートすることにあ り ます。 サンプル デザイン ラ ッパーに含まれるのはこれら リ ソースのみで、 余分なデモンス ト レーシ ョ ン ロジッ クはインスタンシエート されないため、 小限の変更で (または変更なしに) ユーザー プロジェク トに統合できます。 図の B で示した部分は、 サンプル デザイン ラ ッパーにインスタンシエート されたヘルパー ブロ ッ クを示しています。 コア インスタンスで有効化したポートのうち、 ヘルパー ブロ ッ ク B に直接接続されないものはサンプル デザインの次の階層へ接続されます。

X-Ref Target - Figure 5-1

図 5-1: ウィザード IP のサンプル デザインのブロック図

IP Example Design Wrapper

TransceiverCHANNEL Wrapper

CHANNELPrimitive

Helper Block in IP Core Transceiver

COMMON Wrapper

COMMONPrimitive

X14550

IP Core Instance

A

Helper Block in Example

Design

B

Initialization

PRBS Generator

PRBS Checker

Logic

Link Status

IP Example Design Top-Level Module

D

C

E

VIO

Page 93: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 93PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

サンプル デザインの 上位モジュールはサンプル デザイン ラ ッパーをインスタンシエート します。 この 上位モジュールが、 Vivado IP サンプル プロジェク トの 上位モジュールとな り ます。 上位モジュールは多くの役割を果たします。 図の C で示した部分は、 コアで有効化したポートがサンプル デザイン ラ ッパーの外部に引き出され、 サンプル デザインの 上位モジュールでいくつかの異なる方法で処理されているよ うすを示しています。オプシ ョ ンで有効化したポート、またはその他の方法で有効化したポートのうち、サンプル デザインで直接使用しないポートはコアのカスタマイズ内容に応じて適切な固定値に接続されます。 サンプル デザインの動作のためにサンプル デザイン内部で駆動する必要のある少数のポートは、必要な値が生成されるよ うにロジッ ク ファンクシ ョ ンによって駆動されます。 上位のサンプル デザイン I/O に接続されるポート もわずかに存在します。

サンプル スティ ミ ュ ラス モジュールとサンプル チェッキング モジュールは各ト ランシーバー チャネル インスタンスに 1 つずつインスタンシエート されます。図の D の部分で示すよ うに、 これらのモジュールにはデータ生成またはチェッキング用にカスタマイズされた PRBS ブロ ッ クが含まれます。また、 ト ランシーバー チャネルの選択した ト ランス ミ ッ ター データ エンコーディングおよびレシーバー データ デコーディング フォーマッ トに接続するために必要 小限のロジッ ク も含まれます。

注記: サンプル スティ ミ ュ ラスおよびサンプル チェッキング モジュールは基本的に生の PRBS データを送信し、 生データをカプセル化する高次プロ ト コルは実装していません。

サンプル スティ ミ ュラスおよびサンプル チェッキング モジュールはチャネルごとに独立して存在するため、 すべてのモジュールを総合したパターン一致ステータスが必要です。サンプル デザインの 上位モジュールには、すべてのサンプル チェッキング モジュールからの一致信号を 1 つの 「全体一致」 信号に集約する小規模なロジッ クがあ り ます。 シンプルな リ ンク ステータス ステート マシンはこの信号を使用して、 散発的なビッ ト エラーに影響されないPRBS チェッカーに基づく リ ンク状態を表します。 リ ンク ステータス信号がディアサート される と ラ ッチ付き リ ンクダウン インジケーターがセッ ト されます。 この値は、 リセッ ト信号を入力するまでセッ ト されたままです。 これらの3 つの信号を組み合わせるだけで、すべての ト ランシーバー チャネルのデータ完全性に基づく リ ンク ステータスを抽象的な形で十分に監視できます。 3 つの信号は、 上位 I/O で PCB 上の 2 つの LED と 1 つのプッシュボタンに接続します。ハード ウェア I/O との連携への依存を軽減し、サンプル デザインのブリ ングアップとデバッグを簡略化するために、 VIO コア インスタンスも これら 上位信号およびその他の主要な制御/ステータス信号に接続します。 VIOコアは、 必要に応じてさ らにカスタマイズし、 別の信号に接続するこ と もできます。

さ らに、 リセッ ト コン ト ローラー ヘルパー ブロ ッ ク と連携してその機能を強化し、 システム ブリ ングアップを容易にするデモンス ト レーシ ョ ン ロジッ ク も初期化ステート マシンと して提供されます。 これら機能の詳細は、 95 ページの 「リ ンク ステータスおよび初期化」 を参照してください。

[Customize IP] ダイアログ ボッ クスの [Physical Resources] タブで指定した各基準クロ ッ ク ソースには、 図の E で示した専用のト ランシーバー基準クロ ッ ク差動バッファー (IBUFDS_GTE3 または IBUFDS_GTE4 プリ ミ ティブ) がインスタンシエート されます。 差動クロ ッ ク入力ポートが各バッファー インスタンスを駆動し、 このバッファー インスタンスは駆動先に指定したすべての ト ランシーバー チャネルまたはト ランシーバー コモン プリ ミ ティブに接続されます。システムでの接続を変更する場合は、配線およびト ランシーバー プリ ミ ティブのロケーシ ョ ン制約の両方を変更する必要があるため、 ク ロ ッ ク接続を直接編集するのではなく、 [Customize IP] ダイアログ ボッ クスでコアをカスタマイズし直し、 別の ト ランシーバー基準クロ ッ クの位置を選択してください。

表 5-1 に示すポートはサンプル デザインの 上位モジュールに存在するため、 サンプル プロジェク トのパッケージピンでもあ り ます。

表 5-1 : サンプル デザインの最上位ポート

名前 方向 幅クロック ド メイン

説明

mgtrefclk<i>_<j>_p 入力 1 差動基準クロ ッ クの正および負入力です。

<i>: 0 (MGTREFCLK0 ソースに対応) または 1 (MGTREFCLK1ソースに対応)<j>: IBUFDS_GTE3 または IBUFDS_GTE4 インスタンスが存在する ト ランシーバー コモン プリ ミ ティブの座標。

たとえば入力 「mgtrefclk0_x0y0_p」 は、 X0Y0 の座標位置にトランシーバー コモンが存在するクワ ッ ド内の MGTREFCLK0の正クロッ ク入力です。

mgtrefclk<i>_<j>_n 入力 1

Page 94: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 94PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

rxrecclkout_ch<j>_p 出力 1 差動リ カバリ ク ロ ッ クの正および負出力です。

<j>: 差動出力を生成する OBUFDS_GTE3 または OBUFDS_GTE4 プリ ミティブを駆動する ト ランシーバー チャネル プリ ミティブの座標。

たとえば出力 「rxrecclkout_chx0y4_n」 は X0Y4 の座標位置にトランシーバー チャネル プ リ ミ テ ィブが存在する クワ ッ ド内の OBUFDS_GTE3 または OBUFDS_GTE4 の負ク ロ ッ ク出力です。

rxrecclkout_ch<j>_n 出力 1

ch<i>_gt[h|y]rxn_in 入力 1 シ リ アル ト ランシーバー チャネルの差動シ リ アル データ レシーバーの正および負入力です。

<i>: コア内で有効化したすべての ト ランシーバー チャネル内のインデッ クスに対応します。

ch<i>_gt[h|y]rxp_in 入力 1 シ リ アル

ch<i>_gt[h|y]txn_out 出力 1 シ リ アル ト ランシーバー チャネルの差動シ リ アル データ ト ランスミ ッ ターの正および負出力です。

<i>: コア内で有効化したすべての ト ランシーバー チャネル内のインデッ クスに対応します。

ch<i>_gt[h|y]txp_out 出力 1 シ リ アル

hb_gtwiz_reset_clk_freerun_in

入力 1 サンプル デザインおよびリセッ ト コン ト ローラー ヘルパーブロ ッ クがシステム ブ リ ングアップに関する各種タス クを実行するために使用するフ リーランニング ク ロ ッ クです。サンプル デザインの 上位モジュールはこのシングルエン ドク ロ ッ ク入力をグローバルにバッファーします。

注記: オプシ ョ ンで差動ク ロ ッ ク入力を使用する方法を次に示しま

す。 - 入力ポート をも う 1 つ追加する。 - 既存の hb_gtwiz_reset_clk_freerun_in と追加した新しいポートの両方

で駆動される IBUFDS プリ ミ ティブをインスタンシエートする。 - hb_gtwiz_reset_clk_freerun_in ポートではな く、 インスタンシエート

した IBUFDS の出力で既存の BUFG プ リ ミ テ ィブの入力を駆動す

る。

hb_gtwiz_reset_all_in 入力 1 非同期 システム全体の リ セ ッ ト シーケンスを開始するために リセッ ト コン ト ローラー ヘルパー ブロ ッ クが使用する、 立ち下がりエッジ ト リ ガーのアクティブ High の「全リセッ ト 」入力です。デバイス外部でデバウンスしておく必要があ り ます。

link_down_latched_reset_in

入力 1 非同期 ラ ッチ付き リ ンク ダウン インジケーターを リ セッ トするためのアクティブ High の信号です。デバイス外部でデバウンスしておく必要があ り ます。

link_status_out 出力 1 hb_gtwiz_reset_clk_freerun_in

すべてのサンプル チェッキング モジュールの間で集約したPRBS 一致ステータスに基づいて現在のリ ンク ステータスを示すアクティブ High の信号です。

link_down_latched_out 出力 1 hb_gtwiz_reset_clk_freerun_in

アクティブ High のラ ッチ付き リ ンク ダウン インジケーターです。 link_status_out が Low になる とセッ ト され、link_down_latched_reset_in を High にする と ク リ アされます。

表 5-1 : サンプル デザインの最上位ポート (続き)

名前 方向 幅クロック ド メイン

説明

Page 95: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 95PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

リンク ステータスおよび初期化ウ ィザード IP のサンプル デザインには、 散発的なビッ ト エラーなど一過性の不一致を無視し、 すべての ト ランシーバー チャネルの PRBS チェッカーの現在の状態を正し く表すリ ンク ステータス ロジッ クが含まれます。サンプル デザインには、 リセッ ト コン ト ローラー ヘルパー ブロ ッ ク と連携してその機能を強化し、 システム ブリ ングアップを容易にするロジッ クの構成方法をデモと して示した初期化モジュールも含まれます。 リ ンク ステータス ロジッ ク と初期化モジュールを組み合わせる と、 サンプル デザインのシステム ブリ ングアップに関する強力なデモを実行できます。 また、 リ ンク ステータス ロジッ ク と初期化モジュールは連携して動作し、 リ ンク ステータスを示すと同時にリ ンクが失われた場合にはリ ンクの再確立を試みます。

リンク ステータス ロジック

ウ ィザード IP のサンプル デザインは、有効化した各ト ランシーバー チャネルに対して独立した PRBS データ チェッカー モジュールを 1 つずつインスタンシエート します。 リ ンク ステータス ロジッ クは、 これらの一致信号を 1 つに集約および同期した信号を使用し、 ウ ィザード IP のサンプル デザインに含まれるシンプルなステート マシンに基づいて リ ンク ステータス インジケーターを生成します。サンプル デザイン システムのリ ンク状態をなるべく正し く反映するため、 リ ンク ステータス インジケーターは散発的なビッ ト エラーなど一過性の不一致を無視し、 集約後のPRBS 一致信号の値に従います。

リ ンク ステータス ステート マシンはリーキー バケッ ト アルゴ リズムを採用しており、集約後の PRBS 一致信号が連続する限り、 そのク ロ ッ ク サイ クルを リ ンク カウンターでカウン ト します。 このカウンターが所定の 大値に達する と、 リ ンク アップと報告されます (link_status_out = 1)。 リ ンクアップの後、 PRBS 不一致が発生する と リ ンク カウンターの値は急落します。このため、不一致状態が継続的に発生するか短い間隔で繰り返し発生した場合はリンク カウンターの値が所定の 小値に達し、 リ ンク ダウンと して報告されます (link_status_out = 0)。 このロジッ クは常に動作しており、一過性の不一致からの回復を自動的に試みる と共に、 リ ンクが失われた場合はリ ンクの再確立も試みます。図 5-2 に、 PRBS チェッカーの各種状態に対する リ ンク カウンターの動作と リ ンク ステータスを示します。

動作開始時を含め、 リ ンクがダウンしている状態ではラ ッチ付き リ ンク ダウン インジケーター link_down_latched_out は常に 1 にセッ ト されます。 この信号は link_down_latched_reset_in 入力をアサート した場合のみリセッ ト されます。

X-Ref Target - Figure 5-2

図 5-2: PRBS チェ ッカーの各種状態に対するリンク カウンターと リンク ステータスの応答

Start of Operation Time

Link counter increments on each subsequent clock cycle when PRBS checker matches

MAX

Link

Cou

nter

Val

ue

MIN

PRBS checker beginsto indicate matches

Link counter reachesterminal count; link is declared to be UP

Single PRBS mismatch (e.g., due to bit error) reduces link count by just over half its value, but link remains UP

Link counter againreaches terminal count

PRBS checker again begins to indicate matches; link remains DOWNas link counter increments

Link Status

* *DOWN UP DOWN

PRBS mismatches in close proximity reduce link count to its floor; link is declared to be DOWN

X14551

Page 96: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 96PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

link_status_out と link_down_latched_out をアクティブ High の LED に接続し、 link_down_latched_reset_in をアクティブ High のプッシュボタンに接続する と、 リ ンク ステータス インターフェイスをハード ウェアで簡単に使用できます。 現在のおおよその リ ンク動作状態は、 link_status_out LED で知るこ とができます。 一度でも リ ンクがダウンする と、 ラ ッチ付き リ ンク ダウン インジケーターの link_down_latched_out に接続したLED が点灯します。link_down_latched_reset_in プッシュボタンを押すと link_down_latched_out がク リアされ、 リ ンクが確立していれば LED が消灯します。 これらのリ ンク ステータス インターフェイス信号はデフォルトで VIO コア インスタンスにも接続されています。

初期化モジュール

ウ ィザード IP のサンプル デザインには、 リセッ ト コン ト ローラー ヘルパー ブロ ッ ク と連携してその機能を強化し、システム ブリ ングアップを容易にする初期化ロジッ クの構成方法をデモと して示した初期化モジュールも含まれます。サンプルと して提供されるこの初期化ロジッ クはト ランシーバー リ ソースのリセッ トが所定の時間内に完了するかを監視し、 必要に応じて適切な リセッ ト を再試行するこ とによ り、 ク ロ ッ クまたはデータ接続の異常など、 システム ブリ ングアップの問題を緩和します。 また、システムの動作開始後にデータ品質を監視して、品質が低下した場合はレシーバーを リセッ トするオプシ ョ ン機能もあ り ます。 この初期化モジュールはサンプルであ り、個々の要件に合わせて変更できます。

サンプルの初期化モジュールは有限ステート マシンと して実装されており、 デバイス コンフ ィギュレーシ ョ ン直後にユーザーが 「全リセッ ト 」 パルスを供給する と動作が開始します。 このモジュールはまずト ランス ミ ッ ター PLL およびデータパス ト ランシーバー リ ソースの リセッ トが所定の時間内に完了するかを監視します。 ト ランス ミ ッ ターリセッ トが所定の時間内に完了しない場合はリセッ ト コン ト ローラー ヘルパー ブロ ッ クに内部 「全リセッ ト 」 信号をパルスします。同様に、 ト ランス ミ ッ ターのリセッ トが完了する と この初期化モジュールはレシーバー PLL およびデータパス ト ランシーバー リ ソースのリセッ トが所定の時間内に完了するかを監視します。 レシーバー リセッ トが所定の時間内に完了しない場合はリセッ ト コン ト ローラー ヘルパー ブロ ッ クに内部レシーバー PLL およびデータパス リセッ ト (または両方のデータ方向に 1 つの PLL を使用している場合はレシーバー データパス リセッ ト ) をパルスします。デバッグ用と して、 リセッ ト をアサートするたびに再試行カウンターが指定した飽和点に達するまでインクリ メン ト します。 この再試行カウンターは、デバイス コンフ ィギュレーシ ョ ンによってのみク リ アされます。初期化完了および再試行カウンターの信号は、 デフォルトで VIO コア インスタンスに接続されます。

サンプル初期化モジュールには受信データ グッ ド入力もあ り ます。 このデータ グッ ド入力ポート をアクティブ High信号で駆動する場合、 デザインの初期化に成功した後に受信データ グッ ド入力が Low になる と初期化モジュールはリセッ ト コン ト ローラー ヘルパー ブロ ッ クに対して適切なレシーバー リセッ ト を自動的にパルスします。 このよ うにして、 レシーバー側でケーブルが抜かれるなど リ ンクが失われた場合、初期化モジュールは良好なデータ受信を再確立しよ う と繰り返し試みます。 図 5-3 に、 初期化モジュールのステート マシンを示します。

Page 97: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 97PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

初期状態のサンプル デザインでは、 リ ンク ステータス インジケーター信号が初期化モジュールの受信データ グッ ド入力ポート を直接駆動します。したがって、 リ ンクが失われる と リ ンクが再び確立されるまでレシーバー リセッ トが繰り返し実行されます。 このアプローチは、 ケーブル引き抜きテス ト などのシステム障害発生時のリ ンクのロバス ト性を実証するのに有用です。 その必要がない場合は、 初期化モジュールの受信データ グッ ド入力ポート を High に接続する と このオプシ ョ ン動作を無効にできます。

X-Ref Target - Figure 5-3

図 5-3: サンプル初期化モジュールの有限ステート マシン

Device Configuration or “Reset All” User Input

ST_START

“Reset All” Not UsedSince Configuration

ST_TX_WAIT

“Reset All” Has Been Used Since Configuration

Timer ResetRest all ‘0’Reset RX ‘0’

ST_RX_WAIT

RX_MONITOR

Tx Initialization Not Done and Timer Not Expired

Timer Count

Timer Not Expired

Timer Count

Tx Initialization Not Done and Timer Expired

Timer ResetReset all ‘1’Reset counter Increment

Timer Expired and (RX Initialization Not Done or RX Data Not “Good”)

Timer ResetReset RX ‘1’Reset counter Increment

TX Initialization Done

Timer Reset

RX Initialization Not Done or RX Data Not “Good”

Initialization Done ‘0’Timer ResetReset RX ‘1’Retry Counter Increment

RX Initialization Done and RX Data “Good”

TImer Expired and (RX Initialization Done and RX Data “Good”)

Initialization Done ‘1’

X14552

Page 98: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 98PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

VIO コア インスタンスサンプル デザインのハード ウェア ブ リ ングアップとデバッグを簡略化するため、 サンプル デザインの 上位モジュールには VIO コア インスタンスが含まれます。 デフォルトでは、 この VIO コアはカスタマイズしたウ ィザードIP コアに適合するよ うにインスタンシエート されており、主要なステータスおよびデバッグ信号をプローブし、主要な制御信号を駆動します。 必要に応じて VIO コア インスタンスをさ らにカスタマイズし、 別の信号に接続するこ ともできます。

デフォルトでは、 VIO コア インスタンスは常に次の信号をプローブします。

• リ ンク ステータス インジケーター信号の link_status_out と link_down_latched_out

• 初期化モジュールの再試行カウンター信号の init_done_int と init_retry_ctr_int

• リセッ ト コン ト ローラー ヘルパー ブロ ッ クの信号 gtwiz_reset_tx_done_out と gtwiz_reset_rx_done_out の同期後の信号

VIO コアは常に次の信号を駆動します。

• リ ンク ステータス インジケーター信号 link_down_latched_reset_in の内部バージ ョ ン (必要に応じて論理和を使用)

• リセッ ト コン ト ローラー ヘルパー ブロ ッ クの信号 gtwiz_reset_all_in、gtwiz_reset_tx_pll_and_datapath_in、 gtwiz_reset_tx_datapath_in、gtwiz_reset_rx_pll_and_datapath_in、 gtwiz_reset_rx_datapath_in

これらの主要な信号とのやり取り を伴う VIO コアを使用するこ とによ り、ハード ウェア I/O をインタラ クティブに操作する必要性が軽減され、 基本的なシステム動作をすばやく把握するこ とが可能になり ます。

次に示す信号がカスタマイズしたウ ィザード IP コアのサンプル デザイン 上位モジュールで利用できる場合、 デフォルトの VIO コア インスタンスはこれら信号の同期後の信号をプローブします。

ヒン ト : これらの信号をプローブするには、 IP のカスタマイズ時にオプシ ョ ン ポート有効化インターフェイスを使用して関連するポート を IP コア境界から外部へ引き出します。

1 つの信号につき 1 つの VIO プローブ ポート を使用します。 各信号は、 有効化したすべての ト ランシーバー プリ ミティブの間でベクター化されます。

gtpowergood_out cplllock_out

qpll0lock_out qpll1lock_out

txprgdivresetdone_out rxprgdivresetdone_out

txpmaresetdone_out rxpmaresetdone_out

gtwiz_buffbypass_tx_done_out gtwiz_buffbypass_rx_done_out

gtwiz_buffbypass_tx_error_out gtwiz_buffbypass_rx_error_out

rxelecidle_out rxstatus_out

rxbufstatus_out rxprbserr_out

rxprbslocked_out

Page 99: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 99PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

次に示す信号がカスタマイズしたウ ィザード IP コアのサンプル デザイン 上位モジュールで利用できる場合、 デフォルトの VIO コア インスタンスはこれら信号を駆動します。 必要に応じてシンクロナイザーが使用されます。

ヒン ト : これらの信号をインタラ クティブに駆動するには、 IP のカスタマイズ時にオプシ ョ ン ポート有効化インターフェイスを使用して関連するポート を IP コア境界から外部へ引き出します。

1 つの信号につき 1 つの VIO プローブ ポート を使用します。 各信号は、 有効化したすべての ト ランシーバー プリ ミティブの間でベクター化されます。

サンプル デザインの 上位モジュールで利用可能なウ ィザード IP のその他の信号をプローブするには、 VIO コア インスタンスをカスタマイズし直してプローブ ポート を追加します。VIO コアおよびその他 Vivado Design Suite のデバッグ機能の使用に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 12] を参照してください。

In-System IBERT コアのインスタンス[Structural Options] タブでの GUI カスタマイズで In-System IBERT コアを含めるよ うに設定した場合、このコアのインスタンスがサンプル デザインの 上位モジュールにオプシ ョ ンで含まれます。 このインスタンスはサンプル デザインのハード ウェア ブリ ングアップを簡単にするために含まれており、GT の調整に有用で、シ リ アル I/O アナライザーツールをランタイムで使用してアイ スキャンを得るこ とを目的と しています。 このインスタンスの使用方法の詳細は、 『In-System IBERT v1.0 LogiCORE IP 製品ガイ ド』 (PG246) [参照 13] を参照してください。

txpmareset_in rxpmareset_in

txpcsreset_in rxpcsreset_in

rxcdrreset_in rxdfelpmreset_in

txelecidle_in txpd_in

rxpd_in txprecursor_in

txpostcursor_in loopback_in

txprbssel_in rxprbssel_in

txprbsforceerr_in rxprbscntreset_in

Page 100: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 100PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

便利な機能ウ ィザード IP のサンプル デザインには、 コア インスタンスをユーザーのシステムに統合するのに役立つ便利な機能がいくつかあ り ます。

• サンプル デザインに含めるよ うに指定したヘルパー ブロ ッ クは、 サンプル デザインのラ ッパー階層にインスタンシエート されます。サンプル デザイン ラ ッパーに含まれるのはこれら リ ソースのみで、余分なデモンス ト レーシ ョ ン ロジッ クはインスタンシエート されないため、 小限の変更で (または変更なしに) ユーザー プロジェクトに統合できます。

• ト ランシーバー コモンをサンプル デザインに含めるよ うに指定した場合、 有効化した共通のト ランシーバー インスタンスもすべてサンプル デザインのラ ッパー階層に含まれます。

• 第 2 章 「製品仕様」 で説明したよ うに、 このコアには有効化した ト ランシーバー プリ ミ ティブの対応するポートを連結してベクター化したポートがあ り ます。これによってコンパク トで予測可能なユーザー インターフェイスを実現していますが、 ト ランシーバー プリ ミ ティブごとに個別の信号と して扱いたい場合もあ り ます。サンプルデザインの 上位モジュールには有効化した各ポートに対するベクター スライシングの機能があ り、信号タイプに合わせて各スライスが適切に割り当てられます。 この機能はリ ファレンス と して使用するこ と も、 システムに統合するこ と もできます。 次に 3 つの例を示します。

a. コア インスタンスに 4 つの有効化した GTH ト ランシーバー チャネルが含まれる場合、これらの GTHTXP シリアル データ出力ピンはコア インターフェイスで gthtxp_out[3:0] と してベクター化され、サンプル デザインの 上位モジュール内で gthtxp_int[3:0] にマッピングされます。 これら 4 ビッ トのベクターを4 つのチャネル単位の割り当てにスライスします。 これらは 上位出力にもマッピングされます。 各信号の接頭辞 「ch」 は ト ランシーバー チャネル信号タイプを示し、その後の数字は有効化したすべての ト ランシーバー チャネル プリ ミ ティブ内でのインデッ クスを示します。

wire [3:0] gthtxp_int;assign ch0_gthtxp_out = gthtxp_int[0:0];assign ch1_gthtxp_out = gthtxp_int[1:1];assign ch2_gthtxp_out = gthtxp_int[2:2];assign ch3_gthtxp_out = gthtxp_int[3:3];

X-Ref Target - Figure 5-4

図 5-4: GT ウィザードのサンプル デザインに In-System IBERT コアを含めるオプシ ョ ン

Page 101: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 101PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

b. コア インスタンスに 3 つの有効化した ト ランシーバー チャネルが含まれ、 オプシ ョ ン ポート と してdrpaddr_in を有効にした場合、9 ビッ トの DRPADDR ト ランシーバー チャネル ポートはコア インターフェイ スで drpaddr_in[26:0] と し てベク ター化され、 サンプル デザイ ンの 上位モジ ュール内でdrpaddr_int[26:0] にマッピングされます。 これら 27 ビッ トのベクターを 3 つのチャネル単位の割り当てにスライスします。これらはそれぞれ、ポート をコア インターフェイスに引き出していなければ対応するト ランシーバー プリ ミ テ ィブ ポートが本来内部で代入されていたはずのデフォルトのド ラ イバー値に設定されます。ベクター スライシングのコードをプロジェク トに統合する場合は、システムに合わせて適切な信号を代入してください。

wire [26:0] drpaddr_int;wire [8:0] ch0_drpaddr_int = 9'b000000000;wire [8:0] ch1_drpaddr_int = 9'b000000000;wire [8:0] ch2_drpaddr_int = 9'b000000000;assign drpaddr_int[8:0] = ch0_drpaddr_int;assign drpaddr_int[17:9] = ch1_drpaddr_int;assign drpaddr_int[26:18] = ch2_drpaddr_int;

c. コア インスタンスに 1 つの有効化した ト ランシーバー コモンが含まれ、 オプシ ョ ン ポート と してqpll0lock_out を有効にした場合、1 ビッ トの QPLL0LOCK ト ランシーバー コモン ポートはコア インターフ ェ イ スで qpll0lock_out[0:0] と し て提供され、 サンプル デザイ ンの 上位モジ ュール内でqpll0lock_int[0:0] にマッピングされます。 このよ うにプ リ ミ テ ィブが 1 つの場合、 ベク ター スラ イシングは信号のリネームと等価です。各信号の接頭辞 「cm」 は ト ランシーバー コモン信号タイプを示し、その後の数字は有効化したすべての ト ランシーバー コモン プリ ミ ティブ内のインデッ クスを示します。

wire [0:0] qpll0lock_int;wire [0:0] cm0_qpll0lock_int;assign cm0_qpll0lock_int = qpll0lock_int [0:0];

d. ヘルパー ブロ ッ クのインスタンスが複数ある場合も同様に割り当てられます。各信号の接頭辞 「hb」 はヘルパー ブロ ッ ク信号タイプを示し、 その後の数字はそのタイプと して含まれるすべてのヘルパー ブロ ッ ク内でのインデッ クスを示します。

注記: ほとんど使用されるこ とのないご く少数の ト ランシーバー プリ ミ ティブ ポートは、コア内で安全な値に接続されます。 オプシ ョ ン ポート有効化インターフェイスを使用してこれらのポートにコア インターフェイスからアクセスできるよ うにした場合、 サンプル デザインの 上位モジュールでのベクター スライシングの割り当てコードのコ メン ト と して警告メ ッセージと使用上の注意が記載されます。

• サンプル デザインの 上位モジュールを使用する と、ユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのリセッ ト入力に簡単にアクセスできます。 デフォル ト では、 サンプル デザインの 上位モジュールはク ロ ッ クソースの安定を示す適切な信号でこれらのリセッ ト入力を駆動します。

サンプル デザインの変更サンプル デザインはウ ィザード IP コアのデモンス ト レーシ ョ ン用と して提供されていますが、 カスタマイズしてユーザー システムに統合するこ と もできます。 カスタマイズする際は、 コア ファ イル自体を変更するのではなくサンプル デザインを変更します。

重要: サンプル デザインを初期状態から変更した場合、 ザイ リ ンクスのサポートは保証されません。 変更が及ぼす影響を十分に理解した上で、 このユーザー ガイ ドおよびサンプル デザインのコードに記載された推奨事項に従ってください。

サンプル デザイン階層のサンプル ラ ッパー レベルにはコアがインスタンシエート されます。 また、 IP のカスタマイズ時にサンプル デザインに含まれるよ う指定した場合はサンプル ヘルパー ブロ ッ ク と共通の ト ランシーバー インスタンスも含まれるため、 ユーザー システムではこの階層レベルを使用する と便利です。 サンプル ラ ッパー内に生成されるヘルパー ブロ ッ ク と共通の ト ランシーバー インスタンスはサンプルと して提供されており、 個々のシステム要件に合わせて変更できます。

Page 102: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 102PG182 2017 年 10 月 4 日

第 5 章: サンプル デザイン

注記: カスタマイズでサンプル デザインに含まれるよ うに指定したか否かにかかわらず、共通のト ランシーバー インスタンスには同じパラ メーターが上書きされます。

サンプル デザインの 上位モジュールには、 個々のコア インスタンスにおいて ト ランシーバー PLL を適切に駆動するための IBUFDS_GTE3 または IBUFDS_GTE4 ト ランシーバー差動基準クロ ッ ク バッファー プリ ミ ティブが 1 つまたは複数インスタンシエート されます。 これらのバッファーおよび OBUFDS_GTE3 または OBUFDS_GTE4 差動リ カバリ ク ロ ッ ク出力バッファーは、共有の利便性および全体的なクロ ッキングの柔軟性を考慮してコアではなくサンプル デザインに含まれます。 ただしこれらはウ ィザード IP ソ リ ューシ ョ ンに必要なコンポーネン トであるため、 バッファー プリ ミ ティブとそれらが接続するネッ ト をユーザーのシステムに含める必要があ り ます。システムで別の接続を使用する場合は、 配線およびト ランシーバー プリ ミ ティブのロケーシ ョ ン制約の両方を変更する必要があるため、ク ロ ッ ク接続を直接編集するのではな く、 コアをカスタマイズし直して ト ランシーバー基準ク ロ ッ ク / リ カバ リ クロ ッ ク バッファーに別の位置を選択してください。

「コアへの制約」 で説明したよ うに、 サンプル デザインの XDC ファ イルには 上位のデザイン制約が含まれ、 ウ ィザード IP コアをユーザーのシステムに統合する際はこれら制約の一部をシステムに含める必要があ り ます。 たとえば差動基準クロ ッ クの PERIOD 制約とバッファー ロケーシ ョ ン制約はユーザー プロジェク トの XDC ファ イルに含める必要があ り ます。個々の ト ランシーバー チャネルの位置を制約するコア レベルの XDC ファ イルと コアの各インスタンシエーシ ョ ンの関連付けは自動的に維持されます。

サンプル デザインの制限事項サンプル デザインは、実際のシステム環境から切り離してウ ィザード IP コア インスタンスのシ ミ ュレーシ ョ ンまたはインプ リ メンテーシ ョ ンを実行する手段と して推奨されます。また、サンプル デザインのコアをカスタマイズして実際のシステムに統合するこ と もできます。ただしサンプル デザインは非常に簡略化されているため、次の制限事項を理解した上での利用してください。

• サンプル デザインは、 特定のプロ ト コルによるデータの生成またはチェッ クを行いません。 たとえばサンプルスティ ミ ュラス モジュールは TX ギアボッ クスのデータ エンコーディング設定をサポート し、 サンプル チェッキング モジュールは RX ギアボッ クスのデータ デコーディング設定をサポート しており、 ト ランシーバー チャネル プリ ミ ティブへの接続が可能ですが、 真の 64B/66B または 64B/67B データ コーディングをインプ リ メン トするわけではあ り ません。 基本的に、 PRBS ロー データを生成してチェッ ク します。

• 付属のテス トベンチでサンプル デザインにスティ ミ ュラスを与える と、 各ト ランシーバー チャネルはシ リアルデータ ト ランス ミ ッ ターからレシーバーへループバッ ク されます。このため、データの完全性を正し くチェッ クできるのはト ランス ミ ッ ターとレシーバーのライン レート とデータ コーディングが同じに設定されている場合に限られます。 ト ランスコードまたはレート調整は行われません。 システム内の ト ランス ミ ッ ターとレシーバーが異なるライン レート またはデータ コーディングに設定されている場合は、 2 つのコア インスタンスを相互に結合し、ハード ウェアまたはユーザーのテス トベンチでデータの完全性をチェッ クできます。 この場合、 コア インスタンス A のト ランス ミ ッ ターと コア インスタンス B のレシーバー、およびコア インスタンス B のト ランスミ ッ ターと コア インスタンス A のレシーバーのラ イン レートおよびデータ コーディングが同じになるよ うにカスタマイズします。

Page 103: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 103PG182 2017 年 10 月 4 日

第 6 章

テストベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

UltraScale™ FPGAs Transceivers Wizard にはセルフチェッ ク用のシンプルなテス トベンチ モジュールが付属します。このモジュールは、 サンプル デザインに基本的なスティ ミ ュラスを与えて リ ンク ステータス インターフェイス との間でやり と り し、 有効化したすべての ト ランシーバー チャネルにおけるデータの完全性をチェッ ク します。

サンプル デザインのシミ ュレーシ ョ ンウ ィザード IP コアのインスタンスをシ ミ ュレーシ ョ ンするには、 第 5 章 「サンプル デザイン」 で説明した方法でサンプル デザインを開きます。 サンプル プロジェ ク ト を開いたら、 Vivado 統合設計環境 (IDE) で [Run Simulation] →[Run Behavioral Simulation] をク リ ッ ク し、 ビヘイビアー シ ミ ュレーシ ョ ンを開始します。 [Simulation Settings] をクリ ッ ク して、 使用するシ ミ ュレータを一覧から選択します。

サンプル デザインは、 ト ランス ミ ッ ター ユーザー インターフェイスを駆動するサンプル スティ ミ ュ ラス モジュール、および各ト ランシーバー チャネルのレシーバー ユーザー インターフェイスによって駆動されるサンプル チェッキング モジュールをインスタンシエート します。 このサンプル デザインは、 各チャネルからの個々の PRBS 一致ステータス信号を 1 つの一致ステータス信号へ集約します。 リ ンク ステータス インジケーター、 ラ ッチ付き リ ンク ダウン インジケーター、 専用リセッ ト入力は、 この集約された一致ステータス信号に基づいて動作します。 サンプルデザインのデータ スティ ミ ュ ラス、 チェ ッキング、 およびリ ンク ステータス信号の機能の詳細は、 第 5 章 「サンプル デザイン」 を参照してください。

提供されるテス トベンチはサンプル デザインの 上位モジュールをインスタンシエート し、 コア インスタンスで有効化した各ト ランシーバー チャネルをシ リアル データ ト ランス ミ ッ ターからレシーバーへループバッ ク します。 これによ り、 シ ミ ュレーシ ョ ン テス トベンチのスティ ミ ュラスを与える とサンプル デザイン内のサンプル スティ ミ ュラス、チェッキング モジュール、 リ ンク ステータス ロジッ クがセルフチェッ ク システムと して動作します。詳細は、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 10] を参照してください。

Page 104: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 104PG182 2017 年 10 月 4 日

第 6 章: テストベンチ

シ ミ ュレーシ ョ ンの動作サンプル デザインのシ ミ ュレーシ ョ ン テス トベンチは、 必須のフ リーランニング ク ロ ッ クおよびト ランシーバー基準クロ ッ ク信号に加え、サンプル デザイン ロジッ クおよびリセッ ト コン ト ローラー ヘルパー ブロ ッ クの入力ポートに対する 「全リセッ ト 」 パルスを供給します。このスティ ミ ュラスによ り、 リセッ ト コン ト ローラー ヘルパー ブロ ックによるシステム全体のブ リ ングアップが十分に可能です。 しばら くする と、 ト ランシーバー PLL がロ ッ ク して リセッ ト コン ト ローラー ヘルパー ブロ ッ クの有限ステート マシンがリセッ ト シーケンス全体を完了します。リセッ トシーケンスが完了する と、 サンプル スティ ミ ュ ラス モジュールがデータを送信するのを観察できます。 その後、 サンプル チェッキング モジュールがデータ アライ メン トの検索を開始し、 データの完全性をチェッ ク します。 この結果に基づき、 リ ンク ステータス ロジッ クが リ ンク ステータス インジケーターを駆動します。

注記: サンプル デザイン全体の動作を手早く確認できるよ うにするため、シ ミ ュレーシ ョ ン テス トベンチは動作を開始する と直ちに 「全リセッ ト 」 をアサート します。 ハード ウェアでは、 ト ランシーバーのパワーグッ ド信号を確認してから リセッ ト入力を供給して ください。 関連するガイ ド ラ インは、 59 ページの 「リセッ ト シーケンスおよびその他のサービス」 を参照してください。

サンプル デザインの出力ポート link_status_out は、 PRBS 一致に基づいてすべてのチャネルのリ ンク ステータスを示します。テス トベンチはカウンターを使用してレベル link_status_out がアサート されるのを検出します。この信号がディアサート される と、 カウンターはリセッ ト されます。 カウンターが飽和する と、 テス トベンチは次のメ ッセージを表示します。

Initial link achieved across all transceiver channels.

次にテス トベンチは link_down_latched_reset_in をパルスしてサンプル デザインのラ ッチ付き リ ンク ダウンインジケーターを リセッ ト し、所定の時間だけシ ミ ュレーシ ョ ンを実行した後、 リ ンクが維持されているかを確認します。 このと き、 次のメ ッセージが表示されます。

Resetting latched link down indicator.

Continuing simulation for 50us to check for maintenance of link.

所定の時間が経過したら、 テス トベンチはリ ンクが維持されているかど うかを確認します。 リ ンクが維持されている場合、 次のメ ッセージが表示されテス トは成功と見なされます。 これでシ ミ ュレーシ ョ ンが完了します。

PASS: simulation completed with maintained link.

** Test completed successfully

図 6-1 に、 テス ト成功時の特徴的な波形を示します。 こ こには、 初の リ ンク、 リ ンク アップ カウンターの飽和による リ ンク安定、 ラ ッチ付き リ ンク ダウン インジケーターを リセッ トするパルス、 ラ ッチ付き リ ンク ダウン インジケーターがディアサート されたままテス トベンチが動作する待機期間の開始が見てとれます。 この図には、 テス トベンチ レベルの階層の信号のみを表示しています。Vivado デザイン ツールからシ ミ ュレーシ ョ ンを読み込むと、デフォルトではこれらの信号が表示されます。 サンプル デザインまたはコア インスタンスの動作をよ り詳細に観察する場合は、 波形ウ ィンド ウに表示する信号を追加できます。

Page 105: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 105PG182 2017 年 10 月 4 日

第 6 章: テストベンチ

いったん確立した リ ンクが失われている場合、 次のメ ッセージが表示されテス トは失敗と見なされます。 これでシミ ュレーシ ョ ンが完了します。

FAIL: simulation completed with subsequent link loss after initial link.

** Error: Test did not complete successfully

シ ミ ュレータの [Run All] 機能を使用する と、 シ ミ ュレーシ ョ ンを無期限に実行できます。 付属のテス トベンチにはタイムアウ ト プロセスが含まれており、リ ンクが安定する前にタイムアウ トになる と下記のメ ッセージを表示してシミ ュレーシ ョ ンを終了します。 これは予想外の動作であ り、 テス ト失敗と見なされます。

FAIL: simulation timeout.Link never achieved.

** Error: Test did not complete successfully

X-Ref Target - Figure 6-1

図 6-1: テストに成功した場合のテストベンチのシミ ュレーシ ョ ン波形

Page 106: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 106PG182 2017 年 10 月 4 日

付録 A

移行およびアップグレードこの付録では、 新版 IP コアへのアップグレードについて説明します。 Vivado® Design Suite でアップグレードする場合のポート変更およびユーザーロジッ クへの影響といった重要な情報もこ こに記載されています。

Vivado Design Suite への移行Vivado Design Suite への移行方法については、『ISE から Vivado Design Suite への移行ガイ ド』 (UG911) [参照 11] を参照してください。

旧バージ ョ ンからのアップグレードUltraScale™ FPGAs Transceivers Wizard IP の 新バージ ョ ンは旧バージ ョ ンと互換性があ り、機能改良とバグ修正も行われているため、旧バージ ョ ンをご利用の場合は 新バージ ョ ンへのアップグレードを推奨します。各バージ ョ ンでの変更点はウ ィザード IP の変更履歴を参照してください。 また、 IP アップグレード中に表示される メ ッセージにも注意してください。

デバイス間の移行

IP をデバイス間で移行する場合、 ト ランシーバー ウ ィザード IP コアから直接アップグレードする方法は保証されていません。 ザイ リ ンクスでは、 デバイスが変更されて IP アップグレードが実施された場合、 GT ロケーシ ョ ンおよびその他の設定内容を見直すこ とを推奨しています。 GT ウ ィザード IP のアップグレードは、 PACKAGE_PIN の割り当てではなくチャネルのロケーシ ョ ンに基づいてます。 したがって、 ターゲッ ト となるデバイスに GT ロケーシ ョ ンがあるこ とを確認する必要があ り ます。

Page 107: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 107PG182 2017 年 10 月 4 日

付録 A: 移行およびアップグレード

従来のデバイス ファ ミ リからの移行従来のザイ リ ンクス デバイス ファ ミ リの ト ランシーバー ウ ィザード IP コアから、 UltraScale および UltraScale+™ デバイス ファ ミ リのみをサポートする UltraScale FPGAs Transceivers Wizard IP コアへ直接アップグレードする方法はあり ません。 初に UltraScale または UltraScale+ をターゲッ トにする際に、新しいコア インスタンスをカスタマイズして生成する必要があ り ます。

UltraScale FPGAs Transceivers Wizard IP は、従来のザイ リ ンクス デバイス ファ ミ リの ト ランシーバー ウ ィザード IP コアのオプシ ョ ンの多く を引き継いでおり、 機能と柔軟性も大き く向上しています。

Page 108: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 108PG182 2017 年 10 月 4 日

付録 B

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ザイリンクス ウェブサイ トUltraScale™ FPGAs Transceivers Wizard を使用した設計およびデバッグでヘルプが必要な場合は、ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、アンサーなどを参照するか、テクニカル サポートでサービス リクエス ト を作成してください。

資料

この製品ガイ ドは UltraScale™ FPGAs Transceivers Wizard に関する主要資料です。 このガイ ド、 並びに設計プロセスで使用する各製品の関連資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (https://japan.xilinx.com/support) またはXilinx Documentation Navigator から入手できます。

Xilinx Documentation Navigator は、ダウンロード ページからダウンロードできます。 このツールの詳細および機能は、インス トール後にオンライン ヘルプを参照してください。

アンサー

アンサーには、 よ く発生する問題についてその解決方法、およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。アンサーは、ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

UltraScale FPGAs Transceivers Wizard に関するマスター アンサー

AR: 57487

Page 109: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 109PG182 2017 年 10 月 4 日

付録 B: デバッグ

テクニカル サポート

ザイ リ ンクスは、製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート をザイ リ ンクス サポート ウェブ ページで提供しています。 ただし、 次に該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合。

• 資料で定義されている許容範囲を超えてカスタマイズした場合。

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合。

ザイ リ ンクス テクニカル サポートへのお問い合わせは、 ザイ リ ンクス サポート ウェブ ページを参照してください。

Vivado Design Suite のデバッグ機能Vivado® Design Suite のデバッグ機能は、Logic Analyzer および Virtual I/O コアをユーザー デザインに直接挿入します。デバッグ機能を使用する と、 ト リ ガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロ ッ クのポート信号をハードウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 この機能は Vivado IDE で使用でき、ハード ウェア上のザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよびバリデーシ ョ ンに使用されます。

Vivado ロジッ ク解析は、 次の LogiCORE IP ロジッ ク デバッグ コアと共に使用されます。

• ILA 6.2 (およびそれ以降のバージ ョ ン)

• VIO 3.0 (およびそれ以降のバージ ョ ン)

詳細は、 『Vivado Design Suite ユーザー ガイ ド :プログラムおよびデバッグ』 (UG908) [参照 12] を参照してください。

Page 110: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 110PG182 2017 年 10 月 4 日

付録 C

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576: 英語版、 日本語版)

2. 『UltraScale アーキテクチャ GTY ト ランシーバー ユーザー ガイ ド』 (UG578: 英語版、 日本語版)

3. 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892: 英語版、 日本語版)

4. 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893: 英語版、 日本語版)

5. 『Zynq UltraScale+ MPSoC データシート : DC 特性および AC スイ ッチ特性』 (DS925: 英語版、 日本語版)

6. 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922: 英語版、 日本語版)

7. 『Virtex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS923: 英語版、 日本語版)

8. 『『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896: 英語版、 日本語版)

9. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910: 英語版、 日本語版)

10. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

11. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911: 英語版、 日本語版)

12. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908: 英語版、 日本語版)

13. 『In-System IBERT v1.0 LogiCORE 製品ガイ ド』 (PG246)

Page 111: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 111PG182 2017 年 10 月 4 日

付録 C: その他のリソースおよび法的通知

改訂履歴次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2017 年 10 月 4 日 1.7 • SIM_CPLL_CAL_BYPASS の使用に関する説明およびガイダンスを更新。

2017 年 6 月 7 日 1.7 • コアを v1.7 に更新。

• GTHE4 および GTYE4 の CPLL コンフ ィギュレーシ ョ ンでは、 CPLL キャ リブレーシ ョ ン ブロ ッ クはデフォルトで有効。

• gtpowergood_out を必須ポート と して追加。

2017 年 4 月 5 日 1.6 • CPLL キャ リブレーシ ョ ン ブロッ クのシ ミ ュレーシ ョ ン ガイ ド ラ インを更新。 • スペク ト ラム拡散に関するガイ ド ラインを更新。

2016 年 11 月 30 日 1.6 • UltraScale+ デバイスの CPLL キャ リブレーシ ョ ン ブロ ッ クを更新。

2016 年 10 月 5 日 1.6 • 2016.3 リ リース用に内容を更新。

• 2016.3 で In-System IBERT コアのサンプル デザインへのインスタンシエーシ ョ ンを追加。

• 『In-System IBERT LogiCORE IP 製品ガイ ド』 (PG246) への参照を追加。

2015 年 11 月 18 日 1.6 • UltraScale+™ ファ ミ リのサポート を追加。

2015 年 9 月 30 日 1.6 • 表 「フ リーランニング ク ロ ッ クの 大周波数」 の 大周波数を更新。

• リ ソース使用量に関するデータを更新。

• リセッ ト コン ト ローラー ヘルパー ブロ ッ クの全リセッ ト入力は立ち下がりエッジ ト リ ガーである という説明を追加。

• ウ ィザードのオプシ ョ ン:

° QPLL フラ クシ ョナル N を追加。

° [Fractional part of QPLL feedback divider] ([Advanced] セクシ ョ ン) を削除。

° 「[Physical Resources] タブ」 の 「チャネルの有効化」 の説明を更新。

2015 年 2 月 23 日 1.5 • コア v1.5 用に内容を更新。

• GTH ト ランシーバー設定で CPLL を使用する場合のすべての説明に、 エンジニア リ ング サンプル (ES1 または ES2) デバイスの文言を追加。

• サンプル デザインに VIO (Virtual Input/Output) コア インスタンスを追加。

• 第 4 章でこのコアが IP インテグレーターで使用できないこ とを明記。 制約サポートの詳細も明記。

Page 112: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 112PG182 2017 年 10 月 4 日

付録 C: その他のリソースおよび法的通知

2014 年 10 月 1 日 1.4 • 2014.3 リ リース用に内容を更新。

• IP の概要: 箇条書きの第 8 項目を更新。

• 第 1 章の 「機能概要」 の第 9 項目を更新。

• 第 2 章:

° 「 リ ソース使用状況」 の LUT およびフ リ ップフロ ップ数を更新 (表 2-2 を含む)。

° 表 2-3 の gtwiz_reset_rx_data_good_in を削除し、 gtwiz_reset_rx_cdr_stable_outの説明を更新。

° 表 2-6 に gtpowergood_in を追加。

• 第 3 章: 「 リ セッ ト シーケンスおよびその他のサービス」 の第 2 段落を更新。

• 第 4 章:

° 「チャネルのテーブル表示とグラフ ィ ッ ク表示」 の 「チャネルの有効化」 を更新。

° 図 4-1 ~図 4-4 を更新。

• 第 5 章:

° 「コア レベルの制約」 の箇条書きに第 2 項目を追加。

° 「ク ロ ッ ク周波数」 で create_clock コマンドを set_case_analysis コマンドに変更。

° 「I/O 規格と配置」 でプレースホルダー パッケージ ピン制約を更新。

° 「その他の制約」 で XDC ファ イル コマンドを更新。

° 「サンプル デザインの目的」 の 初の箇条書き項目と 後の段落を更新。

° 「階層および構造」 を更新。

° 図 5-1 に初期化ブロッ クを追加し、 「PRBS ロ ッ ク I/O」 ブロ ッ クの名称を「リ ンク ステータス」 に変更。

° 表 5-1 に rxrecclkout_ch<j>_p/n を追加し、 link_down_latched_reset_in、link_status_out、 link_down_latched_out ポート を更新。

° 「 リ ンク ステータスおよび初期化」 を追加。

° 「サンプル デザインの制限事項」 の箇条書きの第 3 項目を削除。

• 第 6 章:

° 「サンプル デザインのシ ミ ュレーシ ョ ン」の第 2 段落および第 3 段落を更新。

° 「シ ミ ュレーシ ョ ンの動作」 (図 6-1 を含む) を更新。

• 付録 B: Vivado Lab Edition の ILA と VIO のバージ ョ ンを更新。

日付 バージョ ン 内容

Page 113: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 113PG182 2017 年 10 月 4 日

付録 C: その他のリソースおよび法的通知

2014 年 6 月 4 日 1.3 2014.2 リ リース用に内容を更新。

• 文書全体で 『UltraScale FPGA GTH ト ランシーバー ユーザーガイ ド』 (UG576)と 『UltraScale FPGA GTY ト ランシーバー ユーザー ガイ ド』 (UG578) のタイ トルを訂正。

• 第 2 章:

° 表 2-2 でリセッ ト コン ト ローラー、 ト ランス ミ ッ ター バッファー バイパスコン ト ローラー、レシーバー バッファー バイパス コン ト ローラーのリ ソース使用量を更新し、

° ユーザー データ幅サイズ変更の行を追加。

° 表 2-3 で gtwiz_reset_rx_data_good_in の説明を更新。

• 第 3 章:

° 「サンプル デザインを使用するデザイン」 に リ カバリ ク ロ ッ ク バッファーを追加。

° 「 リ セッ ト コン ト ローラー ヘルパー ブロ ッ ク」 の 初の段落を更新。

° 図 3-1 のレシーバー リセッ ト ステート マシンを更新。

° 「 リ セッ ト シーケンスおよびその他のサービス」 の第 3 段落を更新。

• 第 4 章:

° 「[System] セクシ ョ ン」 の箇条書きを更新。

° 「[Transmitter] セクシ ョ ン」 の 「[Encoding]」 を更新。

° 「[Receiver] セクシ ョ ン」 の 「[Decoding]」 を更新。

° 「チャネルのテーブル表示とグラフ ィ ッ ク表示」 の 初の段落を更新。

° 「ヘルパー ブロ ッ クの位置に関するセクシ ョ ン」 の第 4 段落を更新。

° 図 4-1 ~図 4-4 を更新。

• 第 5 章:

° 「コア レベルの制約」の箇条書きからコアレベルのクロ ッ ク PERIOD 制約の項目を削除。

° 「アウ ト オブ コンテキス ト制約」 を更新。

° 「ク ロ ッ ク周波数」 を更新。

° 第 5 章: 「サンプル デザインの変更」 の 後の段落を更新。

• 第 6 章: 図 6-1 を更新。

日付 バージョ ン 内容

Page 114: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 114PG182 2017 年 10 月 4 日

付録 C: その他のリソースおよび法的通知

2014 年 4 月 2 日 1.2 2014.1 リ リース用に内容を更新。

• 第 1 章: 「機能概要」 の第 5 項目を更新。

• 第 2 章:

° 「 大周波数」 の注記を更新。

° 表 2-3 に gtwiz_reset_qpll0lock_in、 gtwiz_reset_qpll1lock_in、gtwiz_reset_qpll0reset_out、 gtwiz_reset_qpll1reset_out ポート を追加し、

° gtwiz_reset_rx_cdr_stable_out ポートの説明を更新。

° 表 2-9 に gtwiz_userclk_tx_reset_in ポート を追加。

° 表 2-25 で rxckokreset_in と rxckokdone_out をそれぞれ rxckcalreset_in と rxckcaldone_out に訂正。

• 第 3 章:

° 図 3-1 の後の段落を更新。

° 「エンジニア リ ング サンプル (ES1 または ES2) デバイスの場合の GTH ト ランシーバーの CPLL リセッ トに関する特別な要件」 を追加。

° 「 リ セッ ト シーケンスおよびその他のサービス」 の第 2 段落で CDR の安定に関する説明を更新。

• 第 4 章:

° すべての図を更新。

° 「[Advanced] セクシ ョ ン ( ト ランス ミ ッ ター )」 に [Fractional part of QPLLfeedback divider] を追加。

° 「[Advanced] セクシ ョ ン (レシーバー )」 に [Fractional part of QPLL feedbackdivider] と [Enable Out of Band signaling (OOB)/Electrical Idle] を追加し、 [Jittertolerance mask: Mask corner frequency (MHz)] と [Jitter tolerance mask: Mask lowfrequency slope (dB/decade)] を削除。

° [Free-running and DRP clock frequency (MHz)] を追加。

° 「[Receiver Comma Detection and Alignment] セクシ ョ ン」 の [Manual alignment(RXSLIDE) mode] の項目を更新。

° 「[Receiver channel bonding] セクシ ョ ン」 の [Enable and select number ofsequences to use] の項目を更新。

° 「[Receiver clock correction] セクシ ョ ン」 の [Enable and select number ofsequences to use] の項目を更新。

° 「[Advanced clocking] セクシ ョ ン」 および 「[SATA] セクシ ョ ン」 を追加。

° 「ヘルパー ブロ ッ クの位置に関するセクシ ョ ン」 の [Include reset controller inthe...] の項目から注記を削除。

• 第 5 章:

° 「コア レベルの制約」 の箇条書きの第 1 項目を更新。

° 「ク ロ ッ ク周波数」 を更新。

• 付録 C: 『UltraScale FPGA GTY ト ランシーバー ユーザー ガイ ド』 (UG578) および 『Virtex UltraScale アーキテクチャ データ シート : DC 特性および AC スイ ッチ特性』 (DS893) を 「参考資料」 に追加。

2013 年 12 月 18 日 1.1 初版

日付 バージョ ン 内容

Page 115: UltraScale FPGAs Transceivers Wizard v1 - Xilinx · 2020. 10. 2. · UltraScale FPGAs Transceivers Wizard v1.7 LogiCORE IP 製品ガイド Vivado Design Suite PG182 2017 年 10 月

UltraScale FPGAs Transceivers Wizard v1.7 japan.xilinx.com 115PG182 2017 年 10 月 4 日

付録 C: その他のリソースおよび法的通知

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」 、 法人その他の団体の場合には 「貴社」 。 以下同じ )に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれら

に限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または貴

社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害には、

直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の損失、

その他あらゆる種類の損失や損害を含みます) が含まれるものと し、それは、たとえ当該損害や損失が合理的に予見可能であったり、

ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。ザイ リ ンクスは、本情報に含まれるいか

なる誤り も訂正する義務を負わず、本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いません。事前の書

面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一定の製品は、 ザイ

リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参

照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件に従う こ とにな り

ます。ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケーシ ョ ンに使用するため

に、設計されたり意図されたり していません。そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を使用する場合のリ スク と

責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照して

ください。

自動車用のアプリ ケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セーフ

ティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用前ま

たは提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品を使

用する リ スクはすべて顧客が負い、 製品責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2013-2017 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 UltraScale、 Vivado、 Zynq、 およびこ

の文書に含まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、

それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。