understanding euv resist stochastic effects through...

29
Greg Denbeaux [email protected] 1 Understanding EUV resist stochastic effects through surface roughness measurements SUNY Polytechnic Institute Colleges of Nanoscale Science and Engineering 257 Fuller Rd. Albany, NY, USA 12203 February 23, 2020 IEUVI Resist TWG meeting Greg Denbeaux, Eric Liu, Amir Hegazy, Hyeonseon Choi, Cole Gregory, Belle Antonovich, Steven Grzeskowiak, Mueen Mattoo, Robert Brainard This work is funded by SRC

Upload: others

Post on 07-Aug-2020

7 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 1

Understanding EUV resist stochastic effects

through surface roughness measurements

SUNY Polytechnic Institute – Colleges of Nanoscale Science and Engineering

257 Fuller Rd. Albany, NY, USA 12203

February 23, 2020

IEUVI Resist TWG meeting

Greg Denbeaux, Eric Liu, Amir Hegazy, Hyeonseon Choi, Cole

Gregory, Belle Antonovich, Steven Grzeskowiak, Mueen

Mattoo, Robert Brainard

This work is funded by SRC

Page 2: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 2

Chemically amplified resists have multiple components

Multiple components

- Current issues with distribution of components

- Upcoming issues with limited number of photoactive (PAG) molecules that can

fit within smaller features

Page 3: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 3

Secondary electron interactions in EUV lithography

λ

Incident photon

hν ≈ 92 eV

e-

p+

p+

p+

e-

p+

e-

e-

p+ = hole

e- = electron

References1S. Grzeskowiak, et al., J. Micro/Nanolith. MEMS MOEMS 17 (3), 033501 (2018).2T. Kozawa and S. Tagawa, Jpn. J. Appl.Phys., 49 (3) (2010) 030001.3A. Narasimhan, S. Grzeskowiak, et al., Proc. SPIE, 9779 (2016) 97790F.4J. Torok, et al., J. Photopolym. Sci. and Technol., 26 (5) (2013) 625–634.5P. de Schepper, et al., Proc. SPIE, 9425 (2015) 942507.6T. H. Fedynyshyn, et al., Proc. SPIE, 5039 (2003) 310.

• Photons liberate electrons in the resist and

possibly cause chemistry to occur in the process.

• Further chemistry due to electron-resist

interactions.

• These electron travel away from the EUV

absorption site resulting in blur.

Page 4: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 4

Photoresist Exposure Tools

Substrate

Photoresist

Spin Coat

EUV (~ 92 eV) light

Expose

5-80 eV Electrons

or

Expose

ERIC (Electron Resist Interaction Chamber)ROX (Resist Outgassing Exposure Chamber)

Page 5: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 5

Outline

1. Resist stochastics

2. Homogeneity measurements for PMMA and a chemically

amplified open source resist

3. Intentionally segregated resists

Page 6: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 6

Failure rate for contacts (and other features)

is too large!

Peter De Bisschop,

“stochastic printing failures in

EUV lithography”, JM3 vol 17,

no 4 2018

For this resist and process,

41 nm pitch contacts with

dose 30-40 mJ/cm2

The failure rate is ~ 10-6 !!!

Page 7: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 7

How to measure local chemical inhomogeneity on

the ~ 10-50 nm scale?

• We can’t find any suitable metrology technique to measure local

chemical variations

• Would need spatial resolution of 10’s of nm

• Would need speed to make millions or billions of

measurements

• Our goal is to measure the effect of the chemical inhomogeneity

Page 8: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 8

Prior work (SPIE 2019) has shown the electron range – for

PMMA at 80 eV to be about 2 nm

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

0 2 4 6 8 10 12

PMMA – 80 eV

Depth [nm]

Rea

cti

on

Pro

ba

bilit

y

Blur = 1.8 [nm]

where Blur represents the

depth reactions have a 67%

probability of being induced

within this value.

Dose [µC/cm2]

Th

ick

ne

ss

Lo

ss

[n

m]

y = 1.4565ln(x) - 0.3716R² = 0.9966

0.0

2.0

4.0

6.0

8.0

10.0

12.0

0.01 0.1 1 10 100 1000

PMMA – 80 eV

Use fit of data to generate

probability distribution of reactions.

Page 9: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 9

exposed unexposed

Partial exposure

Conventional aerial imageOur top-down “aerial image”

Using low energy electrons

exposed

unexposed

Can tune the range of

partial exposure – MUCH

sharper than available

conventional exposures

Resulting line edge roughness

(LER) is a combination of aerial

image contrast, photon

stochastics, with fundamental

material inhomogeneities and

deprotection stochastics

With a dramatically sharper exposure

contrast, and better metrology across

large areas, we can measure the resist

non-uniform response over large areas

for statistics far beyond 3s

Resist measurements

Page 10: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 10

Top down exposures provide much sharper contrast

to separate exposure contrast and statistics from material

response

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

0 5 10 15 20

Re

acti

on

s/vo

lum

e (

no

rmal

ize

d)

distance (nm)

0.3 NA EUV imaging(lateral aerial image)

0.5 NA EUV imaging(lateral aerial image)

80 eV electrons(top down)

Slope can be

adjusted by varying

electron energy

Page 11: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 11

Cartoon of exposure and sample for measurement

Low energy electron exposure to limit range,

increase vertical contrast and to provide the

same chemical response as EUV photons

Pits and bumps should occur due to

local resist sensitivity or solubility

variations

Page 12: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 12

After exposure and development there are

multiple sources of roughness

A. Original roughness after spin coating

B. Added roughness due to development – local dissolution rate variations

C.Added roughness due to exposure (electron) statistics

D.Added roughness due to acid statistics and range (for chemically amplified

resists)

E. Final result of local variations in sensitivity due to local resist chemical

inhomogeneity

Page 13: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 13

Outline

1. Resist stochastics

2. Homogeneity measurements for PMMA and a chemically

amplified open source resist

3. Intentionally segregated resists

Page 14: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 14

0

0.2

0.4

0.6

0.8

1

1.2

0 10 20 30 40 50 60 70

RM

S (n

m)

Position

Measurement Position vs RMS

2.60μC/cm2 7.79μC/cm2

Avg. RMS = 0.63nm Avg. RMS = 0.84nmNX

Avg. RMS = 0.54nm

Each data point is the result

of an AFM scan

Electron exposure spots

causing roughness

change are about 1 cm

wide

Roughness variations across the exposure spot

(PMMA resist – not chemically amplified)

• Exposure times are a few

seconds with 80 eV

electrons

• Typical electron spacing at

these doses is about 2 nm

apart (~ 1013 electrons/cm2

incident)

Page 15: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 15

Post PAB

Post PAB +

DevelopedPost PAB + Exposure + Developed

Si Substrate

Photoresist

Dose (2.60μC/cm2) Dose (7.79μC/cm2)

PMMA Resist AFM scans

Page 16: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 16

0

0.5

1

1.5

2

2.5

0 10 20 30 40 50 60

RM

S (n

m)

Position

Measurement Position vs Nor. RMS3.46μC/cm2 7.79μC/cm2

Avg. RMS = 1.75 nm Avg. RMS = 1.80nm

NX

Avg. RMS = 1.07nm

Roughness variations across the exposure spot (OS2 resist – chemically amplified)

Page 17: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 17

Post PAB

Post PAB +

Developed

Post PAB + Exposure + Developed

Si Substrate

Photoresist

Dose (3.46μC/cm2) Dose (7.79μC/cm2)

OS2 Resist AFM scans

Page 18: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 18

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

PAB No dose Low dose High dose

RM

S r

oughness (

nm

)

PMMA

A. Original roughness after spin coating

B. Added roughness due to development – local dissolution rate variations

C. Added roughness due to exposure (electron) statistics

D. Added roughness due to acid statistics and range (for chemically amplified

resists)

E. Final result of local variations in sensitivity due to local resist chemical

inhomogeneity

A

A+B

A+B+C+E

Roughness increases through development and exposurePMMA results

Page 19: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 19

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

2

PAB No dose Low dose High dose

RM

S r

oughness (

nm

)

PMMA

CAR

A. Original roughness after spin coating

B. Added roughness due to development – local dissolution rate variations

C. Added roughness due to exposure (electron) statistics

D. Added roughness due to acid statistics and range (for chemically amplified

resists)

E. Final result of local variations in sensitivity due to local resist chemical

inhomogeneity

A

A+B

A+B+C+D+E

Roughness increases through development and exposureComparing CAR to PMMA

Page 20: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 20

Outline

1. Resist stochastics

2. Homogeneity measurements for PMMA and a chemically

amplified open source resist

3. Intentionally segregated resists

Page 21: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 21

Separating the multiple effects is a challenge

A. Original roughness after spin coating

B. Added roughness due to development – local dissolution rate variations

C. Added roughness due to exposure (electron) statistics

D. Added roughness due to acid statistics and range (for chemically amplified

resists)

E. Final result of local variations in sensitivity due to local resist chemical

inhomogeneity

So, we started formulating resists to intentionally segregate to see the effects

Copolymer (PHS/TBA)

Standard Intentionally mix in

homopolymers

Polyhydroxystyrene

(PHS)

Polystyrene

(PS)

Page 22: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 22

First test – varying the polystyrene

homopolymer content

- A-4 sample (4% PS)

29% PHS/TBA (60/40)

15% PAG

4% PS

52% PHS

- A-8 sample (8% PS)

29% PHS/TBA(60/40)

15% PAG

8% PS

48% PHS

- A-12 sample (12% PS)

29% PHS/TBA (60/40)

15% PAG

12% PS

44% PHS

Large segregation effects,

possibly related to the

polystyrene content

~ 100-200 nm features!

Much bigger than the desired

rate of segregation effects

Page 23: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 23

Why does segregation occur –

vary thermal processingHigher dose -> -> -> -> -> -> -> -> -> -> lower dose No dose

100C PAB

100C PEB

120C PAB

120C PEB

140C PAB

140C PEB

No PAB

120C PEB

Similar results regardless of

bake temperature

Segregation does not appear to

be a thermal process

Possibly occurring during

coat step as solvent

evaporates and local

concentrations increase

Page 24: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 24

Simpler approach to segregated samples

1. 92% PHS/TBA, 8% PAG

2. 96% PHS/TBA, 4% PAG

3. 98% PHS/TBA, 2% PAG

We removed the intentionally segregating

components of the PS and PHS and used

only the copolymer and PAG

Three new samples

After development but no exposure, there were already surface profile

variations due to local dissolution rates

Page 25: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 25

Analysis approaches

We tried looking at variations in the power spectral density (PSD)

We tried identifying features based on their height above the average

We tried Fourier Transform approaches to filter for selected feature spatial

frequencies (their size)

The best approach we found was simply the histogram of the height measurements

across the sample, directly from the AFM

Page 26: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 26

Histogram results

0

10

20

30

40

50

60

70

80

90

100

-3 -1 1 3

Pro

bab

ilit

y (

AU

)

surface height (nm)

8% PAG sample, outside of exposure spot

0.0001

0.001

0.01

0.1

1

10

100

-7 -5 -3 -1 1 3 5 7P

rob

ab

ilit

y (

AU

)

surface height (nm)

8% PAG sample outside of exposure spotcompared to Gaussian

Resist data is higher

than the Gaussian

due to pits in the

surface

Resist data is higher

than the Gaussian

due to bumps on the

surface

The result looks reasonable…

on a linear scale

On a log scale, you can see the

deviations from the expected

Gaussian profile, even for low rates

of occurrences across the surface

Page 27: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 27

What about in the exposed regions

The images look similar by eye, but

the histogram shows the increased

number of bumps in the surface in

the higher PAG concentration

samples

Page 28: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 28

Conclusions

• Multi-component resists have signatures of local chemical inhomogeneity

• This shows up as local resist solubility rates (unrelated to exposure)

• This shows up as local resist sensitivity variations (related to exposure)

• All exposure processes also have exposure stochastics

• Since the EUV exposure process is based primarily on electron and hole

chemistry, electrons are a suitable proxy for the exposure process

• Top down electron exposures followed by surface topography measurements

can detect local chemical segregation effects

Page 29: Understanding EUV resist stochastic effects through ...ieuvi.org/TWG/Resist/2020/10_cnse_Denbeaux.pdf · Secondary electron interactions in EUV lithography λ Incident photon hν≈

Greg Denbeaux [email protected] 29

Acknowledgements

Students at SUNY Polytechnic

Institute who have work on this

project:

Grad students

Eric Liu

Amir Hegazy

Steven Grzeskowiak

Amrit Narasimhan

Undergrad students

Hyeonseon (Sunny) Choi

Cole Gregory

Belle Antonovich

Professor Robert Brainard

Thanks to SRC for funding this

research project