what your dram power models are not telling you...1. real dram power varies widely from idd values...

45
What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study Saugata Ghose, A. Giray Yağlıkçı, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang, Niladrish Chatterjee, Aditya Agrawal, Mike O’Connor, Onur Mutlu June 21, 2018

Upload: others

Post on 16-Mar-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

What Your DRAM Power ModelsAre Not Telling You:

Lessons from a Detailed Experimental Study

Saugata Ghose, A. Giray Yağlıkçı, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang,

Niladrish Chatterjee, Aditya Agrawal, Mike O’Connor, Onur Mutlu

June 21, 2018

Page 2: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

DRAM Power Is Becoming a Major Design Concern

Main memory in computersconsists of DRAM modules

DRAM consumes up to halfof total system power

State-of-the-art DRAM power models are not adequate• Based on IDD values: standard current measurements provided by vendors• Often have a high mean absolute percentage error

» 32% for DRAMPower» 161% for Micron power model

Page 2 of 20

0.00.10.20.30.40.5

War

e+HP

CA '1

0Da

vid+

ICAC

'11

Mall

adi+

ISCA

'12Yo

onISC

A '12

Paul+

ISCA

'15Elm

ore+

Repo

rt '16

OUR GOAL

Measure and analyze the power used by real DRAM, and build an accurate DRAM power model

Frac

tion

ofTo

tal S

yste

m E

nerg

y

Page 3: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Background: DRAM Organization & Operation

Characterization Methodology

New Findings on DRAM Power Consumption

VAMPIRE: A Variation-Aware DRAM Power Model

Conclusion

Page 3 of 20

Outline

Page 4: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Simplified DRAM Organization and Operation

Fundamental DRAM commands: activate, read, write, precharge

Page 4 of 20

DRAM ChipBank 0 . . .

Processor ChipDRAM

Cell Array

Bank 7

Core . . . Core

Shared Last-Level Cache

Page 5: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Simplified DRAM Organization and Operation

Fundamental DRAM commands: activate, read, write, prechargeOne row of DRAM: 8 kBOne cache line of data: 64 B

Page 4 of 20

DRAM ChipBank 0 . . .

. . .

Processor Chip

Memory Controller

Column Select

Bank Select

I/O DriversI/O Drivers

memory channel

DRAMCell Array

Row Buffer

Bank 7

activationCore . . . Core

Shared Last-Level Cache

Page 6: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Simplified DRAM Organization and Operation

Fundamental DRAM commands: activate, read, write, prechargeOne row of DRAM: 8 kBOne cache line of data: 64 B

Page 4 of 20

DRAM ChipBank 0 . . .

. . .

Processor Chip

Memory Controller

Column Select

Bank Select

I/O DriversI/O Drivers

memory channel

DRAMCell Array

Row Buffer

Bank 7

Core . . . Core

Shared Last-Level Cache

Page 7: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Background: DRAM Organization & Operation

Characterization Methodology

New Findings on DRAM Power Consumption

VAMPIRE: A Variation-Aware DRAM Power Model

Conclusion

Page 5 of 20

Outline

Page 8: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Keysight 34134A DC Current Probe

DDR3L SO-DIMM

JET-5467A Riser Board

Virtex 6FPGA

Power Measurement Platform

Page 6 of 20

Page 9: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Methodology Details

SoftMC: an FPGA-based memory controller [Hassan+ HPCA ’17]

• Modified to repeatedly loop commands• Open-source: https://github.com/CMU-SAFARI/SoftMC

Measure current consumed by a module during a SoftMC test

Tested 50 DDR3L DRAM modules (200 DRAM chips)• Supply voltage: 1.35 V• Three major vendors: A, B, C• Manufactured between 2014 and 2016

For each experimental test that we perform• 10 runs of each test per module• At least 10 current samples per run

Page 7 of 20

Page 10: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Background: DRAM Organization & Operation

Characterization Methodology

New Findings on DRAM Power Consumption

VAMPIRE: A Variation-Aware DRAM Power Model

Conclusion

Page 8 of 20

Outline

Page 11: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

1. Real DRAM Power Varies Widely from IDD Values

Different vendors have very different margins (i.e., guardbands)Low variance among different modules from same vendor

Page 9 of 20

0

20

40

60

80

100

Curr

ent (

mA)

DatasheetMeasured

A B C0

50

100

150

200

Curr

ent(

mA)

A B C 0

200

400

600

800

Curr

ent(

mA)

DatasheetCorrected

A B C

IDD2NIdle

IDD0Activate–Precharge

IDD4RRead

Current consumed by real DRAM modulesvaries significantly for all IDD values that we measure

Page 12: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

2. DRAM Power is Dependent on Data Values

Some variation due to infrastructure – can be subtractedWithout infrastructure variation: up to 230 mA of changeToggle affects power consumption, but < 0.15 mA per bit

Page 10 of 20

0

200

400

600

800

0 128 256 384 512

Read

Cur

rent

(mA)

Number of Ones in a Cache Line

Vendor AVendor BVendor C

0

200

400

600

800

0 128 256 384 512

Writ

e Cu

rren

t(m

A)

Number of Ones in a Cache Line

Vendor AVendor BVendor C

DRAM power consumption depends stronglyon the data value, but not on bit toggling

Page 13: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

3. Structural Variation Affects DRAM Power Usage

Vendor C: variation in idle current across banks

All vendors: variation in read current across banks

All vendors: variation in activation based onrow address

Page 11 of 20

0.81.01.21.4

Vendor A Vendor B Vendor C0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7No

rmal

ized

Idle

Cur

rent

0.80.91.01.1

Vendor A Vendor B Vendor C0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7No

rmal

ized

Read

Cur

rent

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

Norm

alize

d M

easu

red

Curr

ent

Number of Ones in Row Address

Vendor A Vendor B Vendor C1.201.151.101.051.000.95

Significant structural variation: DRAM power varies systematically by bank and row

Page 14: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

4. Generational Savings Are Smaller Than Expected

Similar trends for idle and read currents

Page 12 of 20

IDD0Activate–Precharge

IDD4WWrite

Actual power savings of newer DRAM is much lowerthan the savings indicated in the datasheets

Page 15: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Summary of New Observations on DRAM Power

1. Real DRAM modules often consume less powerthan vendor-provided IDD values state

2. DRAM power consumption is dependent on the data value that is read/written

3. Across banks and rows, structural variation affects powerconsumption of DRAM

4. Newer DRAM modules save less power than indicated in datasheets by vendors

Detailed observations and analyses in the paper

Page 13 of 20

Page 16: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Background: DRAM Organization & Operation

Characterization Methodology

New Findings on DRAM Power Consumption

VAMPIRE: A Variation-Aware DRAM Power Model

Conclusion

Page 14 of 20

Outline

Page 17: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

A New Variation-Aware DRAM Power Model

VAMPIRE: Variation-Aware model of Memory PowerInformed by Real Experiments

VAMPIRE and raw characterization data will be open-source:https://github.com/CMU-SAFARI/VAMPIRE (August 2018)

Page 15 of 20

VAMPIRERead/Write andData-DependentPower Modeling

Idle/Activate/PrechargePower Modeling

Structural Variation AwarePower Modeling

Inputs(from memory system

simulator)

Trace of DRAM commands, timing

Data that isbeing written

Outputs

Per-vendorpower

consumption

Range foreach vendor

(optional)

Page 18: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

VAMPIRE Has Lower Error Than Existing Models

Validated using new power measurements: details in the paper

Page 16 of 20

VAMPIRE has very low error for all vendors: 6.8%Much more accurate than prior models

160.6%

32.4%6.8%

0%

50%

100%

150%

200%

250%

Vendor A Vendor B Vendor C GMean

Mea

n Ab

solu

tePe

rcen

tage

Err

or Micron Model DRAMPower VAMPIRE

(8 modules) (7 modules) (7 modules)

Page 19: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

VAMPIRE Enables Several New Studies

Taking advantage of structural variation to perform variation-aware physical page allocation to reduce power

Smarter DRAM power-down scheduling

Reducing DRAM energy with data-dependency-awarecache line encodings• 23 applications from

the SPEC 2006 benchmark suite

• Traces collected usingPin and Ramulator

We expect there to be many other new studies in the futurePage 17 of 20

0.70.80.91.01.11.2

Vendor A Vendor B Vendor C GMean

Norm

alize

dDR

AM E

nerg

y Baseline BDI Optimized OWI-12.2%

Page 20: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Background: DRAM Organization & Operation

Characterization Methodology

New Findings on DRAM Power Consumption

VAMPIRE: A Variation-Aware DRAM Power Model

Conclusion

Page 18 of 20

Outline

Page 21: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Conclusion

DRAM consumes up to half of total system power:need to develop new low-power solutions

State-of-the-art DRAM power models are based only onIDD values, and have a high error

We make four new observations on DRAM power consumption using 50 real DRAM modules from three major vendors• Real DRAM modules often consume less power than IDD values state• Power consumption is dependent on the data value being read/written• Across banks and rows, structural variation affects power consumption• Newer DRAM modules save less power than indicated in datasheets

VAMPIRE: a new DRAM power model built on our observations• Mean absolute percentage error of only 6.8%• Case study: dependency-aware data encoding reduces DRAM power by 12%

Page 19 of 20

More information: https://github.com/CMU-SAFARI/VAMPIRE

Page 22: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

What Your DRAM Power ModelsAre Not Telling You:

Lessons from a Detailed Experimental Study

Saugata Ghose, A. Giray Yağlıkçı, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang,

Niladrish Chatterjee, Aditya Agrawal, Mike O’Connor, Onur Mutlu

More information: https://github.com/CMU-SAFARI/VAMPIRE

Page 23: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Backup Slides

Page 21 of 20

Page 24: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

More Information in the Paper…

Full characterization analysis

Application-level comparison to existing power models

Case study: dependency-aware data encoding

Paper available at https://github.com/CMU-SAFARI/VAMPIRE

Page 22 of 20

0.8

0.9

1.0

1.1

Vendor A Vendor B Vendor C

Norm

alize

dEn

ergy

Baseline BDI Optimized OWI

Page 25: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Today’s Models Leave a Lot to Be Desired

Most models reliant on JEDEC-based IDD values• Micron power calculator• DRAMPower• gem5/GPGPU-Sim

Some rely on circuit-level models• Vogelsang model for memory scaling• CACTI

None are all that accurate• One value for each DRAM• Does not capture any inherent variation (e.g., data, structure)

Page 23 of 20

Page 26: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

VDD

FPGA

SoftMC [1]

PCI-e IF

HostPC

PCI-e

How Do We Measure Current?

Page 24 of 20

DRAM Module

Rank. . .

Chip Chipmemorychannel

USB Probe

Cmd Buffer

Hassan et al. “SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies,” HPCA, 2017.

[1]

Page 27: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Foundation of Current Power Models

Just how bad are current models?

JEDEC defines a set of IDD values

Page 25 of 20

IDD0 Activation and Precharge

IDD1 Activation – 1 Column Read – Precharge

IDD2N Precharge Standby (all banks are precharged/closed) ✔ clk enabled

IDD3N Active Standby (all banks are active/opened) ✔ clk enabled

IDD2P Precharge Power-Down (all banks are precharged/closed) ✘clk disabled

IDD3P Active Power-Down (all banks are active/opened) ✘clk disabled

IDD4R/W Burst mode Read/Write

IDD5B Burst mode Refresh

IDD7 Activate – Column Read w/ Auto Precharge

Page 28: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

What’s So Bad About That?

JEDEC defined IDD measurement loops cover:• Average power consumption of all banks

» missing variation across banks• Average power consumption of only two rows: 00 and F0

» missing variation across rows in a subarray» missing variation across subarrays

• Average power consumption of only two data patterns: 00 and 33» missing effect of number of ones/zeros in data» missing effect of toggling bits

Page 26 of 20

Page 29: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD0: Activation and Precharge Energy

Page 27 of 20

A B C

Norm

alize

dM

easu

red

Curr

ent 0.50

0.45

0.40

0.35

0.300

50

100

150

200

Curr

ent(

mA)

DatasheetMeasured

Vendor A VendorB VendorC

time

ACT PRE ACTtRAS tRP PREtRASDRAM Array

Row Buffer0x00

0xF0

Page 30: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD1: Activation, Read, and Precharge Energy

Page 28 of 20

A B C

Norm

alize

dM

easu

red

Curr

ent

0.70

0.60

0.50

0.40

0.20

0.300

50100150200250300350

Curr

ent(

mA)

DatasheetMeasured

Vendor A Vendor B Vendor C

Row Buffer

DRAM Array

0x00

0xF0

time

ACT PRE ACTtRCD tRP

RDtRAS

Page 31: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD2N: Precharged Standby

Page 29 of 20

A B C

Norm

alize

dM

easu

red

Curr

ent

1.00

0.80

0.60

0.40

0.200

20

40

60

80

100

Curr

ent(

mA)

DatasheetMeasured

Vendor A Vendor B Vendor C

Row Buffer

DRAM ArrayBank 0 Bank 1 Bank 7

(1) Precharge All Banks(Close Row Buffers)

(2) WaitRow Buffer

DRAM Array

Row Buffer

DRAM Array

Page 32: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD3N: Active Standby

Page 30 of 20A B C

Norm

alize

dM

easu

red

Curr

ent

0.70

0.500.40

0.200.10

0.60

0.30

0

50

100

150

200

Curr

ent(

mA)

DatasheetMeasured

Vendor A Vendor B Vendor C

Row Buffer

DRAM Array

Row Buffer

DRAM Array

Row Buffer

DRAM ArrayBank 0 Bank 1 Bank 7

(1) Activate All Banks(Open Row Buffers)

(2) Wait

Page 33: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD2P: Precharged Power Down

Page 31 of 20

A B C

Norm

alize

dM

easu

red

Curr

ent

1.20

0.800.60

0.200.00

1.00

0.40

0

20

40

60

80

Curr

ent(

mA)

DatasheetMeasured

Vendor A Vendor B Vendor C

Row Buffer

DRAM ArrayBank 0 Bank 1 Bank 7

(1) Precharge All Banks(Close Row Buffers)

(2) WaitRow Buffer

DRAM Array

Row Buffer

DRAM Array

Page 34: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD4R: Burst Read Current

Page 32 of 20

0

200

400

600

800

Curr

ent(

mA)

Datasheet Measured Corrected

Vendor A Vendor B Vendor C

Row Buffer

DRAM Array

Row Buffer

DRAM Array

Row Buffer

DRAM ArrayBank 0 Bank 1 Bank 7

(1) Activate All Banks(Open Row Buffers)

(2) Read one column at a time

(3) Interleave across banks after each read

0 1 78 9 150x00 0x33 0x00 0x33 0x00 0x33

Page 35: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD4W: Burst Write Current

Page 33 of 20

0

200

400

600

Curr

ent(

mA)

DatasheetMeasured

Vendor A Vendor B Vendor C

Row Buffer

DRAM Array

Row Buffer

DRAM Array

Row Buffer

DRAM ArrayBank 0 Bank 1 Bank 7

(1) Activate All Banks(Open Row Buffers)

(2) Write one column at a time

(3) Interleave across banks after each read

0 1 78 9 150x00 0x33 0x00 0x33 0x00 0x33

Page 36: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

IDD5B: Refresh in Burst Mode

Page 34 of 20

tREFI(64ms)

tRFC

time

REF REFtRFC

Burst Mode:

0

200

400

600

800

1000

Curr

ent(

mA)

DatasheetMeasured

Vendor A Vendor B Vendor C A B C

Norm

alize

dM

easu

red

Curr

ent

1.00

0.90

0.80

0.70

0.50

0.60

REFtRFC

Page 37: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

ACT-RDA

IDD7: Read, Auto-Precharge

Page 35 of 20

time

ACT-RDA tRRD tRRD ACT-RDA tRRD

0

200

400

600

800

Curr

ent(

mA)

DatasheetMeasured

Vendor A VendorB VendorC A B C

Norm

alize

dM

easu

red

Curr

ent

0.650.60

0.500.45

0.350.40

0.55

Page 38: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Impact of Bit Toggling on DRAM Power

Page 36 of 20

0000 1010 1111 … 0011

Bank 0 Row Buffer0 1

columnnumber 2 c – 1

1011 0010 1011 … 0110

Bank 1 Row Buffer0 1 2 c – 1

1011 0010 1011 … 0110

Bank 7 Row Buffer0 1 2 c – 1

1

2

. . .

. . .

. . .

. . .

. . . . . . . . .

Column Select Column Select Column Select

Bank Selectglobal bitlines

peripheral busto I/O drivers

global bitlines

Page 39: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Data Dependency Model

Page 37 of 20

Read WriteF (mA) G (mA) H (mA) F (mA) G (mA) H (mA)

Vendor A 246.44 0.433 0.0515 531.18 -0.246 0.0461

Vendor B 217.42 0.157 0.0947 466.84 -0.215 0.0166

Vendor C 234.42 0.154 0.0856 368.29 -0.116 0.0229

Additional current per bit toggleAdditional current per logic-1

0

200

400

600

800

0 128 256 384 512

Read

Cur

rent

(mA)

Number of Ones in a Cache Line

0

200

400

600

800

0 128 256 384 512

Writ

e Cu

rren

t(m

A)

Number of Ones in a Cache Line

Vendor AVendor BVendor C

Page 40: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Models

Page 38 of 20https://github.com/CMU-SAFARI/VAMPIRE

Page 41: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Structural Variation

Page 39 of 20

Normalized Read Burst Energy across Banks

0.80.91.01.1

Vendor A Vendor B Vendor C

Norm

alize

d Cu

rren

t

0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7

0.81.01.21.4

Vendor A Vendor B Vendor C

Norm

alize

d Cu

rren

t

0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7

Normalized Active Standby Energyacross Banks

0.80.91.01.1

Vendor A Vendor B Vendor C0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7

Norm

alize

dW

rite

Curr

ent

Normalized Write Burst Energy across Banks

Page 42: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Evaluated System Configuration

Application traces collected using Pin

DRAM command timings generated using Ramulator:https://github.com/CMU-SAFARI/ramulator

Page 40 of 20

Processor x86-64 ISA, one core 3.2 GHz, 128-entry instruction window

Cache L1: 64 kB, 4-way associative; L2: 2 MB, 16-way associative

Memory Controller

64/64-entry read/write request queues, FR-FCFS [119, 149]

DRAM DDR3L-800 [57], 1 channel, 1 rank/8 banks per channel

Page 43: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

0

100

200

300

400

2010 2011 2012 2013 2014 2015

Curr

ent(

mA)

Year Manufactured

Datasheet Measured

-192.1mA

-64.0mA0

50

100

150

200

2010 2011 2012 2013 2014 2015Cu

rren

t(m

A)Year Manufactured

Datasheet Measured

-112.1mA

-53.7mA

0100200300400500600700

2010 2011 2012 2013 2014 2015

Curr

ent(

mA)

Year Manufactured

Datasheet Measured

-212.2mA-140.6mA

0100200300400500600700

2010 2011 2012 2013 2014 2015

Curr

ent(

mA)

Year Manufactured

Datasheet Measured

-200.2mA

-147.4mA

Trends Across Generations

Basically, if you’re building a system, you aren’t getting the kinds of savings you were promised

Page 41 of 20

Activation Energy Precharge Standby Energy

Read Energy Write Energy

Page 44: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Data Encoding

Baseline: No codingBDI: Base Delta ImmediateOptimized: Minimize the number of ones OWI: Minimize ones for reads, maximize ones for writes

Page 42 of 20

0.6

0.8

1.0

1.2

Vendor A Vendor B Vendor C

Norm

alize

d En

ergy

Baseline BDI Optimized OWI

12.5% Energy Reduction

Page 45: What Your DRAM Power Models Are Not Telling You...1. Real DRAM Power Varies Widely from IDD Values Different vendors have very different margins (i.e., guardbands)Low variance among

Validating Our DRAM Power Models

New tests run on 22 of our DDR3L DRAM SO-DIMMsValidation command sequence

• Activate• n reads

» Sweep n from 0 to 764»All reads contain data value 0xAA»All reads to Bank 0, Row 128»Column interleaved

• Precharge

Error metric: mean absolute percentage error (MAPE)

Best prior model (DRAMPower): 32.4% MAPEVAMPIRE: 6.8% MAPE

Page 43 of 20