wp4 dissemination, cost calculation and exploitation mid-term review – m30 29 april 2015,...

28
WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

Upload: jack-greer

Post on 17-Jan-2016

234 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

WP4Dissemination, cost calculation and exploitation

Mid-term review – M30

29 April 2015, Gothenburg (@Chalmers)

Page 2: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

Outline

confidential

1. Introduction

2. Cost of patterning : Review

3. Next steps

Page 3: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

Introduction

confidential

Page 4: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

4

WP goals

confidential

o Pattern with various techniques o of litho and etcho periodic and non-periodic

o Characterise the patternso Find how to passivate them

WP2

o Fabricate thin c-Si filmso Integrate nanopatterns into solar cells

and reach record Jsc valueso Demonstrate upscalability

WP3o Evaluate industrialiability of

nanopatterningo Evaluate their costs and life cycleo Disseminate results and protect IP

WP4

o Model various nanopatterned structures and extract their Jsc

o Give guidelines of optimal structures

o Gather reliable data for modelso Define benchmark structures

WP1

Page 5: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

5confidential

o Task 4.1 Dissemination, supervisor Imeco Task 4.2 Cost calculations, supervisor Totalo Task 4.3 Exploitation, supervisor Total

WP4

WP tasks and people to achieve themImec Ounsi El Daif, Christos Trompoukis

INL Christian Seassal

LPICM Pere Roca I Cabarrocas

UNamur

Obducat Jiawook Rizgar, Ki-Dong Lee

Chalmers Ines Massiot

Total Patricia Prod’hommeLoic Francke, Alan Ristow

Page 6: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

6

All partners

WP4- Dissemination, cost calculation and exploitation.

confidential

Task 4.1 : Dissemination

(Imec)

Scientific conferences and publications to disseminate project results

via both academic and industrial channels

Large-media communication to provide international

visibility, including in a non-specialised audience.

Task 4.2 : Cost calculation

(Total)

Cost calculation To evaluate both the saving and the supplementary costs

induced.

Life cycle assessment To evaluate the environmental footprint of implementing the

project.

Task 4.3 : Exploitation

(Total)

Identification of routes of exploitation Evaluation of industrialization

option and development results obtained.

IP protection after careful assessment of

the merits of inventions created during the project

Page 7: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

7

WP4- Dissemination, cost calculation and exploitation.

confidential

Deliverables

Done

On track

Trend

Delay

Task 4.1

• D4.1 Public website (T+3)

Task 4.2

• D4.2 Cost of patterning (T+24) • D4.3 Complete cost calculation of patterned cells (T+33) • D4.4 Module life cycle assessment (T+36)

Task 4.3

• D4.5 Dissemination and Use Plan (T+36) • D4.6 Final Press release (T+36) • D4.7 Dissemination kit release (T+36)

Done

Done

Page 8: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

Technico-economical study

confidential

Page 9: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

9

Task 4.2: Cost calculati on and life cycle assesment

confidential

Objectives: Evaluate the impact of new process(es)

Process modification• Thin layer processing• Patterning • Supplier equipment maturity• Module integration

Lower Si consomption• no wafer (ingot, cutting,…)

?

• Objectives : Evaluate the impact of new process(es) at the cell fabrication

scale

Decrease the cost

Increase the cost ?

Efficiency

Page 10: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

10

Highlight process fl ow descripti on

confidential

Si Layer processes

Nanopatterning

Etching

NIL HCL LHL

Dry Etch

Dry Etch

Wet Etch

Thin Si Layer deposition

Dry Etch

c-Si wafer

Wet Etch

Dry Etch

Wet Etch

Electrochem

Task 4.2: Cost calculation and life cycle assesment

Wet Etch

Plasma

• At that point, no technical deadlock• First Focus on patterning• Then Thin layer process and solar cell

Thin Si Layer Transfer

Page 11: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

11

Task 4.2: Cost calculation and life cycle assesment

confidential

What we need at first ?o A process flow description !o A review benchmark thin cristalline silicon solar cell and standard wafer

thechnology in order to select the reference for cost evaluation.

Describe the process steps

Collect Data on capex and opex

Cost calculation

and life cycle assesment

Fabrication line model / Equipement

dvp requested

?

Page 12: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

12

confidential

Task 4.2: Cost calculation.

Context/Assumption (based on a standard PV production line) :

• Profitable/unprofitable range, €/cell, €/kWh• Environmental footprint

Substrate size : 243.4 cm² (15.6×15.6 cm²) Throughput : 3 600 wafers/hour (wph). Productivity : the OEE (Overall Equipment Effectiveness) is a measurement of the equipment performance over its operation time. It takes into account availability, efficiency and quality delivered. The OEE is set at 0.974 which assume high quality equipment. Depreciation : a depreciation of 1% per year is calculated for 10 years. Floor space : the floor space is estimated from the process equipment data sheet. Materials/Consumables : all the materials and consumables needed for the whole process. Utilities : the amount of electricity, compressed air, cooling water relevant for the proper functioning of the process equipments. Labour: cost of Operator, Supervision, Engineering and Maintenance. Cost of yield loss : it gathers mechanical, optical or electrical loss during the process. The yield is set at an optimistic value of 99.9% for each process step. The cost of the wafer is set at 1 €. The cell efficiency is set at 20%, a realistic efficiency obtained by Solexel with 35 µm thick silicon layer.

Cost of Ownership (CoO) : financial estimate intended to determine the cost associated with the acquisition, use and maintenance of goods and services.

Page 13: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

13

implicati ons of R&D process on the CoO accuracy :

confidential

Task 4.2: Cost calculation and life cycle assesment

Lab-scale Industrial scale CommentsEquipments Not suitable for

high throughput in production

Automated equipment to achieve some steps of the process is not existing

Available mass production equipment with specifications as close as possible to the requirements of the process is proposed.

External equipment suppliers have been contacted to find alternative equipments needed to meet the process requirements and to get the price of these equipments.It is not possible to know the real OEE for new equipments in mass production so the same OEE is set for all of them.

Low throughput For reasons of inline productivity, the throughput of each step of the process has to be 3 600 Wph. Then several equipments are required with an impact mainly on the cost of investment and floor space.

Chemical products

The amount of chemical products at lab-scale is known for small wafer area (5×5 cm²)

At first glance, the amount of consumables is assumed to be proportional to the area of the wafer

A better assumption will be possible since a prototype on wider area is planned later in the project

Labs purchase chemical products at a higher price since lower amount is ordered.

When available, the price for higher available quantity is used.

Today, it is not possible to take into account the frequency of the supply of provisions. Consequently, it is not possible to set the quantity of product at each replenishment.

Page 14: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

14

Nanopatt erning HCL process fl ow

confidential

Task 4.2: Cost calculation and life cycle assesment

Process stepsEquipments

Laboratory IndustrialWafer cleaning Cleaning Beaker Cleaning station

Mask deposition Triple layer deposition Pipette + Beaker Spray coating + Cleaning stationMask patterning Beads deposition Ultrasonic bath + Pipette Spray coating

Beaker + Hot plate Cleaning stationMetal deposition Electron beam assisted

evaporationThermal evaporation

Beads removal Beaker Cleaning station

Nanopatt erning LIL process fl ow

EquipmentsProcess steps Laboratory Industrial

Mask deposition SiO2 deposition PECVD PECVD

Mask patterning Resist deposition Spin coating Spray coatingDevelopment Beaker Wet bench

Key pieces equipment with high throughput not yet appeared Already existing tools with close functionalities have been considered with a fixed OEE for all equipments.

Page 15: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

15confidential

Task 4.2: Cost calculation: HCL process

Materia

ls/ co

nsu...

Deprec

iation

Labor c

ost

Floor s

pace

Utilities

Yield lo

ss co

st01234567

Cost drivers for HCL

Cost

of o

wne

rshi

p (€

/waf

er)

Two parameters that impact the cost of this technique: The rule used to scale up the amount of the chemical products required for industrial wafer size :

• For cleaning steps, assuming a reasonable required volume three times the volume of a standard wafer instead of using a quantity proportional to the area brings down the cost decreases by 42 % down to 4 €/wafer (0.8 €/Wp).

• For the other steps of the process (triple layer, beads deposition, …), assuming saving 40% of products, lowers the cost further by 33% down to 2,7 €/wafer (or 0.6€/Wp).

Purchasing higher volumes of products cuts prices :• Available data : the ethylene glycol cost decreases from 50€/L at the lab-scale down to 3€/L when purchased by 200L unit.

cost of HCL drastically decreases from 34 €/wafer to 7 €/wafer (equivalent to 1.4€/Wp). • Not available data : depending on the chemical product and the purchased amount, the selling price may be divided by

a factor of 2 to 15. Assuming a conservative factor of 5, especially for bead solution (1830 €/L) and PDDA (45€/L), lowers the HCL cost by 27% down to 5 €/wafer (1€/Wp).

The cost driver of HCL is chemical products used for the mask deposition and patterning.

The cost ranges between 7€/wafer down to 1,5 €/wafer. Further potential decrease of chemical products cost

Page 16: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

16confidential

Task 4.2: Cost calculation : LIL process

Mat/co

nsum

Deprec

iation

Labor c

ost

Floor s

pace

Utilities

Yield lo

ss0

0.020.040.060.08

0.10.120.140.160.18

a) Cost drivers

Cost

of o

wne

rshi

p (€

/waf

er)

0

100

200

300

400

500

600

700

800

900

1000

0.30.40.50.60.70.80.9

11.11.2

b) Impact of floor space and person/shift for laser tool(s) on CoO

Floor Space (m²)

Cost

of o

wne

rshi

p (€

/Waf

er) 80 p/shift

40 p/shift

20 p/shift

10 p/shift

1 p/shift

Assumptions on laser equipement :

Still at a lab-scale and manually operated : a very low throughput (4 wafers/hour) 900 tools to meet the throughput of a standard production line.

Specific environment such as vibration free space, highly accurate automation for optical alignment ,… Half of the initial investment cost has been added to get the final price of all laser tools.

The impact of floor space and person/shift to operate this/these tool(s) : The impact of the floor space is negligible since it is not higher than 10 % of the whole cost of the technique. The sensitivity of the CoO to manpower is higher but remains negligble : 40 × person per shift 2 × CoO.

CoO has been calculated assuming a laser exposure automation of several substrates simultaneously (batch or roll to roll line) and a manpower of 5 persons per shift and a floor space of 500 m².

The cost of LIL nanopatterning is about 0,4 €/wafer (0,09 €/Wp).

The cost driver is equipment investment.

Page 17: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

17confidential

Task 4.2: Cost calculation : NIL process

Cost Drivers Cost(€/wafer)

Consumables 0,6Capex 0,025Labour 0,008Other reoccurring cost 0,008Yield loss 0,008

Total cost 0,65 €/wafer

240 wph

3 600 wph

USD

/w

afer

Strong impact of scale-up on CoO The cost driver are consumables Some consumable material (resist & IPS) can be change to decrease cost, depending on

technical requirement

Page 18: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

18confidential

Task 4.2: Cost calculation : Etching

Materia

ls/ co

ns...

Deprec

iation

Labor c

ost

Floor s

pace

Utilities

Yield lo

ss co

st0

0.001

0.002

0.003

0.004

0.005

0.006 Cost drivers for Wet etching

Cost

of o

wne

rshi

p (€

/waf

er)

00.05

0.10.15

0.20.25

0.30.35

Cost drivers for RIE etching

0

0.5

1

1.5

2

2.5 Cost drivers for ICP-RIE etching

Dry etching techniques are more expensive : RIE : 0.5 €/wafer (0.10 €/Wp) ICP-RIE : 2.1 €/wafer (0.40 €/Wp)

Main cost drivers of Dry etching : Equipments : Despite the use of RIE in microelectronics, there is currently no high-throughput equipment suitable for

the PV industry. As a consequence, the investment part represents almost 50 % of the RIE etching process. Consumables are the second cost driver, especially because of the use of SF6, an expensive gas. In case of ICP-RIE, the

cost driver is clearly gas and consumables because of the use of CHF3 which is twice more expensive than SF6.

The wet etching is based on TMAH. It is the less expensive technique, about 0,016 €/wafer (0.003 €/Wp).

The cost driver of dry etching techniques are equipment investment and materials Environmental considerations by using dry etching because of the use of SF6, strong greenhouse

gas

Page 19: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

19confidential

Task 4.2: Cost calculation

HCL_Max HCL_Min LIL NIL0

1

2

3

4

5

6

7

Cos

t of o

wne

rshi

p (€

/ w

afer

)

Yield loss Utilities Floor space costs labor Cost Depreciation Materials/Consumables

HCL_Max HCL_Min LIL NIL0,0

0,1

0,2

0,3

0,4

0,5

0,6

2

3

4

5

6

7

Cos

t of o

wne

rshi

p (€

/ w

afer

)

Yield loss Utilities Floor space costs labor Cost Depreciation Materials/Consumables

HIGHLIGHTS : All nanopatterning techniques are much more expensive than standard random wet etching (about 0,025 €/wafer). Wet etching is cost effective when compared with dry etching wet etching may be favored. As mature technique, the cost of NIL (0,65 €/wafer) remains higher than the standard wet etching for random patterning

but, especially with some potential reduction, it makes this mature technique still relevant with a short time to market. Since LIL seems a promising technique , it may be used to reduce NIL CoO by producing the master stamp HCL has a cost reduction potential by reducing the chemical products consumption.LOWLIGHTS : Development of new tools is needed for HCL and LIL as mass production techniques. High uncertainty when comparing HCL and LIL with more mature techniques such as NIL. fair comparison between HCL

and LIL on one side and NIL on the other side is then tricky

HCL_Max HCL_Min LIL NIL0,0

0,1

0,2

0,3

0,4

0,5

0,6

2

3

4

5

6

7

Cost

of o

wner

ship

(€ /

wafe

r)

Yield loss Utilities Floor space costs labor Cost Depreciation Materials/Consumables

HCL_Max HCL_Min LIL NIL0,0

0,1

0,2

0,3

0,4

0,5

0,6

2

3

4

5

6

7

Cost of ownership

(€ / wafer)

Yield loss Utilities Floor space costs labor Cost Depreciation Materials/Consumables

Better understanding of the cost drivers and the availability of production-ready equipments. The cost reduction thanks to a lower consumption of silicon: around at least 1 €/wafer to 2 €/wafer.

Page 20: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

20

On going and Future work

confidential

• Complete/Modifie the process flow for each process steps All partners input

• Process for thin cristalline film : Thin layer deposition process Thin layer transfer process Which technology ?

• Complete cells / modules

Which cells stack ? Not at the module

Describe the process steps

Collect Data on capex and opex

Cost calculation

and life cycle assesment

Fabrication line model / Equipement

dvp requested

Page 21: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

nanophotonics for ultra-thin crystalline silicon photovoltaics

This project has received funding from the European Union’s Seventh Programme for research, technological development and demonstration under grant agreement No 309127

Page 22: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

22

Nanopatt erning HCL process fl ow

confidential

Task 4.2: Cost calculation and life cycle assesment

Process steps Equipments Consumables Unit price (€/unit)

Quantity(5× 5 cm²)

Unit

Cleaning Cleaning Beaker Acetone 6 800 5.10-6 m3

Isopropanol 6 800 5.10-6 m3

Water 3 2.10-5 m3

Mask deposition Triple layer deposition

Pipette + Beaker PDDA 45 000 1.10-8 m3

PSS 670 2.10-5 kgACH 1 5.10-5 kgWater 11.103 3.10-6 m3

Water (rinsing) 11.103 90.10-6 m3

Mask Patterning Beads deposition

Ultrasonic-bath + Pipette

Beads solution 18.3.106 1.3.10-8 m3

Beaker + Hot plate

Ethylene glycol 49 800 5.10-5 m3

Water (rinsing) 11.103 100.10-6 m3

Mask deposition on Beads

Evaporator Aluminum 2.6 3,4.10-8 kg

Beads removal Beaker Acetone 6 800 1.10-4 m3

Water 3 2.10-5 m3

Table 3: Materials and equipments used for HCL process (confidential data).

Process steps Equipments Consumables Unit price (€/unit)

Quantity(15.6× 15.6 cm²)

Unit

Mask deposition

SiO2 deposition

PECVD-SiO2 SiH4 100 0,8.10-5 m3

N2 15,3 5.10-4 m3

O2 7,3 2.10-3 m3

Patterning Mask

Resist deposition

Spin coating Negative Photoresist

127 3,8.10-10 L

Exposure UV Laser

Development

Resin developer

Microposit Developer

144 10-5 L

Table 5: Materials and equipments used for LIL process.

Page 23: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

23

Nanopatt erning HCL process fl ow

confidential

Cleaning step (AIP)

Polymer deposition pipette

Beads deposition by self-assembly

Ultrasonic

bath

Hot ethylene glycol rinsing

Hot PlateBeaker

Water rinse

Mask depositionElectron beam assisted

evaporation

Beads removal by Lift-off

Ultrasonic bath or Tap

Not expensive but no equipement at industrial scale

Process Item Price/unit* Unit Nb of unit*

Total price (Euros)

Cleaning Acetone 0.0068 mL 5 0.034

IPA 0.0068 mL 5 0.034

Water 0.011 mL 20 0.22

Self-assembly

PDDA 0.045 mL 0.01 0.00045

PSS 0.67 g 0.02 0.0134

ACH mL 0.1

Water 0.011 mL 3 0.033

Water (rinsing)

0.011 mL 90 0.99

Bead solution

18.31 mL 0.013 0.238

Ethylen Glycol

0.05 mL 50 2.49

Water (rinsing)

0.011 mL 100 1.14

Mask Aluminiumor SiO2

nm 50

Acetone 0.68 mL 100

Water 0.22 mL 20

Tape

Water rinse

* Given for a 5*5 cm cell, R&D partners

Task 4.2: Cost calculation and life cycle assesment

Process flow Equipments requested Consummables/fluids requested

Page 24: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

24

confidential

Task 4.2: Cost calculati on and life cycle assesment

Context/Assumption :o Substrate size : 243.4 cm² (156×156 mm)o Throughput : 3 600 wafers/hourso Efficiencyo Yield, Average up time

Life cycle assessment

o Building/Facilities (per year)o Human ressources (per year)o Equipement/Investissment (nb per fab)

o Components (per wafer)o Consummables/Fluids (per wafer)o Energie (per wafer)

Cost item

• Profitable/unprofitable range, €/cell, €/kWh• Environmental footprint

Page 25: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

25

confidential

Benchmark

Task 4.2: Cost calculati on and life cycle assesment

Wafer Ultra-thin c-Si Thin layer PhotoN voltaic

Panasonic 1366 Technologies

Panasonic Solexel Crystal Solar

First Solar

(CdTe)

EPFL (µSi)

Efficiency 25.6 % 17% 24.7% 20.62% 16% 20,4% 10,7% 15% - 21.3%

Surface (cm²) 143.7 243.4 101.8 243.4Thickness (µm) 200 98 35 50 1.8 1-40Throughput 3600*

Wafers/h.3.2MW/y. > 300

Wafer/h.Cost $0.4/W

$1.4 (Cell 2011)

$0.08/W (Cell Target)$0.50/W

(Module Target)

$0.42/W (Module)

$ 0.52/W (Cell

achieved)$0.40/W (Module Target)

* Fairly standard throughput

• Competitive field with some relevant results not any more at a lab research stage• PhotoNvoltaic on track

Page 26: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

26confidential

Task 4.2: Cost calculation

00.010.020.030.040.050.060.070.080.09

0.1

Cost drivers for HCL

HCL_Max HCL_Min LIL NIL0,0

0,1

0,2

0,3

0,4

0,5

0,6

2

3

4

5

6

7

Cos

t of o

wne

rshi

p (€

/ w

afer

)

Yield loss Utilities Floor space costs labor Cost Depreciation Materials/Consumables

Page 27: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

27confidential

Task 4.1 Disseminati onLarge media communication http://www.photonvoltaics.org/

Page 28: WP4 Dissemination, cost calculation and exploitation Mid-term review – M30 29 April 2015, Gothenburg (@Chalmers)

28confidential

Task 4.1 Disseminati onLarge media communication http://www.photonvoltaics.org/