yms sm07 final cht

46
yms yield management solutions www.kla-tencor.com/ymsmagazine 2007 年夏季刊 | 2 45 奈米的創新挑戰 本期的 YMS 雜誌介紹一系列有關 45 nm 檢測與度量的文章,從最新的 光罩檢測技術到包含特殊化度量晶圓的應用的獨特情況。 文章主題 缺陷管理 度量 晶圓廠經濟情況 光罩 資料儲存 產品新聞

Upload: kla-tencor

Post on 03-Apr-2016

270 views

Category:

Documents


23 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Yms sm07 final cht

yms yieldmanagementsolutions

www.kla-tencor.com/ymsmagazine2007 年夏季刊 | 第 2 期

45 奈米的創新挑戰本期的 YMS 雜誌介紹一系列有關 45 nm 檢測與度量的文章,從最新的

光罩檢測技術到包含特殊化度量晶圓的應用的獨特情況。

文章主題缺陷管理

度量

晶圓廠經濟情況

光罩

資料儲存

產品新聞

Page 2: Yms sm07 final cht

專題文章

識別拋光矽晶圓上大型、影響良率的缺陷 Hynix Semiconductor Corporation 和 KLA-Tencor Corporation

電子束晶圓檢測的蝕刻製程監控 Powerchip Semiconductor 和 KLA-Tencor Corporation

實現製造生產力改善以及測試晶圓成本降低 KLA-Tencor Corporation

晶圓級的度量擴展了 45 奈米的製程應用 KLA-Tencor Corporation

橢圓偏光薄膜度量加強了 45 奈米及以上的製程 KLA-Tencor Corporation

減少週期時間有許多好處 KLA-Tencor Corporation

45 奈米晶圓對資料庫光罩檢測的視場結果 Toppan Printing Co., Ltd, Advanced Mask Technology Center GmbH & Co 和 KLA-Tencor Corporation

鐳射輔助缺陷檢測系統應用於硬碟片拋光中的 CMP 漿料開發 KLA-Tencor Corporation

產品新聞

目錄

3

Yield Management Solutions(良率管理解決方案)是由 KLA-Tencor Corporation 所發行。 如果要獲得 Yield Management Solutions(良率管理解決方案),請造訪:www.kla-tencor.com/ymsmagazine

如果是需要印刷資料,請造訪:www.kla-tencor.com/products

©2007 KLA-Tencor Corporation. 保留所有權利。未經 KLA-Tencor Corporation 許可,不得重製任何資料。 本文件中的產品商標分別屬於其各自公司或組織。

主編Charles Lewis

特約作者Becky PintoReeti PunjaLisa Garcia

製作編輯Robert DellaCamera

藝術總監與生產經理Inga Talmantiene

生產顧問Jovita Rinkunaite

發行編輯Cathy Silva

8

11

14

19

24

27

34

42

缺陷管理

度量

晶圓廠經濟情況

光罩

資料儲存

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 3: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

識別拋光矽晶圓上大型、影響良率的缺陷 的新方法Kerem Kapkin、KeunSu Kim、Jason Saito、Hyosik Suh – KLA-Tencor CorporationChung Geun Koh、Dae Jong Kim、Byeong Sam Moon、Seung Ho Pyi – Hynix Semiconductor Corporation

晶圓製造商需要能夠檢測及準確識別這些缺陷,並且將

它們與大型微粒的背景缺陷群作區分(後者缺陷可以透

過清潔或重製晶圓的方式解決),同時避免不必要的晶

圓捨棄。同時,由於 LLPD 是多種晶圓製造程序問題導

致的結果,因此晶圓製造商必須要快速地找出 LLPD 根

源,執行解決措施以避免不必要的晶圓廢棄。

在本篇文章中,我們所示範的方法是利用新的無圖樣

晶圓檢測系統 Surfscan SP2XP 將這些重要的 LLPD 加以分

類。此系統最新的 GC(全域複合)與 RBB(規則基礎

分類)技術已經證實在晶圓製造商的最終檢測步驟與 IC

元件製造 IQC(進料品質控制)應用方面皆有顯著成效。

對於 45 奈米世代的晶圓而言,裸晶圓檢測技術的創新使得大而短淺之缺陷的捕獲與分類能力有所提昇。新的分類

技術是結合多管道處理之後,使得晶圓製造商與 IC 元件製造業者能夠找出這些缺陷,並且根據缺陷是否能夠清除將它

們歸類,或是要求廢棄晶圓。在製造過程中儘早識別這些缺陷將能夠改善產品品質及提昇良率。

隨著元件體積的持續縮小,晶圓表面狀況、缺陷大小、

形狀及類型也逐漸成為影響元件產量、效能與可靠性的

重要因素。ITRS(半導體國際技術藍圖,International

Technology Roadmap for Semiconductors)原則規定裸晶圓

表面上重要缺陷的靈敏度等於設計線寬的一半。

在同一時間,IC 製造商一直在降低允許驗收進廠晶圓的

缺陷總數的規範,現在也會指定在大型光點缺陷 (LLPD)

上的限制。這些 LLPD 體積很大,但是也非常淺:它們可

能有數個微米寬,但是高度卻只有幾奈米而已。LLPD 是

在單晶矽錠成長以及在後續的晶圓製作與表面預處理製

程期間所產生。這些 LLPD 會在進料的芯片矽晶圓上以平

面凹洞或凸塊、氣囊和拋光刮痕等形式出現,同時有非

常高的可能性會成為扼殺良率的缺陷。因此,IC 製造商

必須在元件製程開始之前識別並挑出帶有 LLPD 的晶圓。

Particle COP Residue Scratch

0.1µm 0.1µm 0.1µm 0.1µm

圖 1:在檢測與分類時需要更高靈敏度的傳統缺陷或 LPD(亮點缺陷)。

專題報導

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 4: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

晶圓缺陷類型及其來源

會影響元件良率的傳統小型(次微米)缺陷包括微粒、

COP(晶體原生的空坑或微粒)、殘餘物和刮痕將會被

清楚描述。這些缺陷類型的範例示圖在圖 1 中。晶圓上

的大型微粒缺陷可能來自污染物處理、製程設備,或是

來自無塵室的週遭環境。以上許多缺陷都可以透過各種

清潔程序來移除。

LLPD 的識別與描述將更加困難。典型的簡化矽晶圓生產

方案已顯示在圖 2 中。刻面 LLPD 缺陷的來源可以分成

以下兩個主要群組:晶體生長製程與晶圓加工製程。

在先前,所有 LLPD 缺陷無法完全依照類型或來源來區

分,而只能根據其暗視野散射特徵分類成一個群組。

然而,依據個別類型的識別與分類是非常重要的。晶

圓製造商可以此資訊來隔離各種不同的製程相關問題

以及晶體生長問題,然後執行糾正措施。IC 元件製造商

可以使用分類資訊,根據特定的 LLPD 類型、缺陷大小

與數目去建立其進廠晶圓品質驗收規範。IC 製造商目

前用於測試進廠晶圓品質的傳統技術與方法如下:

第 1 步 — 無圖樣檢測工具 — 第 1 取樣

第 2 步 — 以手動視覺檢測確認

第 3 步 — SEM 確認

直到現在,晶圓製造商一直都無法有效地識別與分類

LLPD,尤其是裸矽晶圓上的重要缺陷類型的分隔 —刻

面 LLPD(圖 3)。最重要的刻面 LLPD 為氣囊缺陷,此

缺陷在長晶製程期間形成,並且會散佈在矽基板內的晶

圓上。曝露在表面上的氣囊大小是以其位置所在及於晶

圓切割與拋光期間露出的多寡所決定。曝出的氣囊以各

種不同大小的空坑被測出,但是矽塊中埋層的氣囊仍然

以空隙型式維持。

其他刻面缺陷類型是由在蝕刻或拋光步驟期間的操作或化學損

害所造成。雖然這些缺陷僅限出現在晶圓表面,而不會出現在

基板內部,但它們仍然可能影響植入輪廓、元件結構以及電子

性能,也是導致晶圓損毀的因素。有些在線上製程監控期間捕

獲的刻面LLPD 可以透過進一步的拋光與蝕刻重新更正。

檢測與分類 LLPD 以避免影響良率

晶圓製造商需要具有產品價值的檢測技術,能夠檢測所

有出廠晶圓中的所有重要缺陷 (DOI),同時擁有高生產能

力與符合經濟的操作成本。晶圓製造商有必要捕獲完整

的 DOI 類型範圍,並且以最高準確度與純度自動地將它

們分類。如此將可避免超過規格以外的晶圓送達 IC 元

件製造商,並且免除由於假陽性率所產生不必要的晶圓

廢棄與捨去。

專題報導

Crystal

LLPD (Crystal) LLPD (Wafering process)

Wire Saw Lapping Etching Polishing Inspection

圖 2:簡化的矽晶圓製造程序及平面 LLPD。

Large defects (~16µm)

圖 3:新出現的(平面)LLPD 缺陷(分別為拋光相關缺陷、

氣囊和蝕刻相關缺陷)。

Surfscan SP2XP 檢測系統能夠依

照類型、大小和數目來識別大

型的亮點缺陷。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 5: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

第一項檢測步驟中最重要的目標是要儘可能以最高的靈

敏度和具有產品價值的生產能力,擷取完整的表面光

學資訊。如圖 4 所示,新系統 是以垂直與傾斜的入射

角 355 nm UV 鐳射光束照射矽晶圓,以提供暗視野 (DF)

的重要缺陷檢測。從各種不同的缺陷類型所散射的光源

會被寬/窄檢測器所收集,以便進行進一步的分析與

分類。此結構會產生四個明顯的光源收集管道(傾斜-

窄、傾斜-寬、垂直-窄和垂直-寬)。

除了多管道暗視野收集之外,系統也會利用新的明視野

(BF) 照明管道來捕獲其他缺陷類型或表面特性。此 BF 技

術利用干涉差對比 (DIC) 來捕獲相位差,其顯示高度或坡

度的資訊(如圖 5 所示)。此 DIC 技術能夠檢測大型、平

或淺的缺陷,或是檢測暗視野管道偵測不到的缺陷。

在被捕獲的晶圓表面的完整光學資訊之後,會使用新的

演算法(稱為規則基礎分類,簡稱 RBB)進行分析。

RBB 讓使用者能夠比較五個缺陷管道之間數學或邏輯條

件(這五個通道包括 BF DIC、DF 垂直-寬、DF 垂直-

窄、DF 傾斜-寬、DF 傾斜-窄),如圖 6 所示。

這些邏輯比較的結果可以用於分類重要缺陷。所有暗

視野管道可以合併成為暗視野複合,而所有五個管道

(包括明視野),則可以合併成為總複合。總複合及明

視野管道可供識別 LLPD 缺陷,而 RBB 可用於進一步

Wide

BrightFieldDIC

Narrow

ObliqueIllumination

ScanRotatingWafer

Normal and BFIllumination

Collector

圖 4:Surfscan SP2XP 照明與光學技術。

Time

Signal

Beam motion Arbitrary surface

A0

B C D E

Convex Step Concave

BA C D E

圖 5:明視野 (BF) 照明以及微分干涉差對比 (DIC) 技術。

NormalOblique BF DIC

Wid

eN

arro

w

圖 6:Surfscan SP2XP 為每個缺陷建立了五 (5)個資訊通道。

專題報導

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 6: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

將 LLPD 缺陷區分成為微粒、氣囊、拋光空坑和蝕刻缺

陷。類目系統分析如圖 7 所示。

在圖 8 中所使用的資料是透過七次的 300mm 晶圓檢測

所獲得的。DF 管道資訊(傾斜-窄和傾斜-寬、垂直-窄

和垂直-寬)已經合併成一個 DF 複合加上 BF。當 DF 複

合與 BF 缺陷重疊時,共見的缺陷顯示為 LLPD 缺陷。

SEM 分析證實此自動分類有 100% 的純度。

一旦識別出 LLPD 缺陷,就有可能使用透過 RBB 與 DF

管道資料合併的 BF (DIC) 資訊,進一步準確地識別與區

分大型微粒、氣囊、拋光空坑和蝕刻缺陷。

使用傳統方法以及 RBB 新技術所獲得的 LLPD 結果的比較

案例研究 1:20 片 300mm 晶圓

從各晶圓製造商獲得的 20 片 300mm 晶圓接受檢測,同

時將會合併掃描結果,將傳統方法與新方法進行比較。

SEM 檢查顯示總計有 29 個 LLPD 缺陷。

傳統方法識別出總共有 28 個 LLPD

正確識別出 16 個 LLPD

12 個微粒缺陷被錯誤分類為 LLPD

13 個 LLPD 缺陷遺失

此案例研究的結果顯示於圖 9。

傳統的方法會將微粒描述為 LLPD 缺陷,因而在晶圓製

造商的最終檢測步驟中造成錯誤晶圓捨棄率增加 43%。

此外,LLPD 總數將會遺失 45%,為 IC 製造商的客戶帶

來風險。

新的 RBB 基礎方法能夠檢測出所有 29 個 LLPD;只有一

個微粒被錯誤分類成 LLPD。

-

-

-

案例研究 2:23 片 200mm 晶圓

在此案例研究中,從各晶圓製造商獲得的 23 片 200mm

晶圓以類似的方式掃描,同時將結果合併以便將傳統方

法與新的 RBB 方法進行比較。SEM 檢查確認在這 23 個

晶圓上總計有 28 個 LLPD 缺陷。

傳統方法報告總共 65 個 LLPD

正確分類出 20 個 LLPD

45 個微粒被錯誤分類成 LLPD

有 8 個 LLPD 完全沒有被檢測到

此案例研究的結果顯示於圖 10。

傳統方法因為會將微粒缺陷錯誤分類成 LLPD 缺陷,造

成錯誤晶圓捨棄率增加 69%,同時在 LLPD 缺陷中有 29%

的遺誤率,對於 IC 元件製造商造成未預期的元件良率

影響。

新的方法能夠能夠成功檢測所有 28 個 LLPD,如同在 SEM

檢查中所證實。

-

-

-

專題報導

Darkfieldcomposite

An overlay of inspection data from seven 300mm wafers

8950 DFC Defects 56 BF Defects 17 LLPD Defects

oblique + normal common defects

Grand compositeLLPD : DF & BF

Brightfield

LLPDCluster

LLPD

LLPD LPD Purity

LLPD 17 0 100%

LPD 0 8950 100%

Accuracy 100% 100%

圖 8:DF 與 BF(DIC) 的總複合以了解 LLPD 類目。

True LLPD

Missed LLPD

Particles classified as LLPD

Missed LLPD

LLPD

Conventionalmethod

Newapproach

Identified LLPDby review

12ea.

16ea.

1ea.

29ea. 29ea.

13ea.

圖 9:目前在 300mm 晶圓製造中面臨的平面 LLPD 挑戰。

Grandcomposite

Defect classification

Classification with rules-based binning

LPD

LLPDcrystal

LLPDwafering

LLPDwafering

Grand compositewith RBB

Grandcomposite

Darkfieldcomposite

Brightfield RBB

圖 7:規則基礎組合類目結果。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 7: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

LLPD 缺陷類型的電性分析:大型微粒 (LPD) 與大型粒子 (LLPD)

為了分析各種 LLPD 缺陷類型的良率影響,進廠的原晶圓

需要檢測是否有 LLPD 缺陷。在此晶圓上捕獲了兩個 LLPD

缺陷。SEM 分析會將它們識別為大型微粒以及拋光空坑。

此原晶圓被允許可繼續完成 80nm DRAM 記憶體元件的製

程。在 LLPD 缺陷位置上的單元進行的電子測試結果顯示

即使嚴重程度有所不同,但兩者皆有良率相關的問題。雖

然大型微粒產生了一些不佳的記憶體單元,但是拋光空

坑完全損壞了記憶體元件,如圖 11 所示。

對於因拋光空坑所造成的故障結構所進行的進一步分析顯示

在 CMP 製程之後,在 Si3N4 蝕刻停止層之上 SiO2 STI(淺溝槽

隔離)薄膜並未完全拋光及移除。因此,後續的濕化學 Si3N4

去除程序在空坑的內部與周圍則無法成功,無法形成記憶體

單元所需的工作電晶體結構。

結論

雖然元件線寬的緊縮能夠帶動靈敏度需求的增加,以捕

獲更小型的關鍵尺寸缺陷,然而大型、影響良率的缺陷

的重要性也持續在增加當中。

晶圓製造商需要有更好的方法以捕獲並且準確地分類

LLPD,避免不必要的錯誤晶圓捨棄或是將有缺陷不符合

IQC 規格的晶圓運送至的 IC 元件製造業者。在晶圓製造

的早期過程中捕獲並且正確地分類這些缺陷將可擁有快

速根源識別的額外優點,能夠讓晶圓製造商在正確的製

程步驟中快速地執行糾正措施,以確保一致的產品品質。

新的晶圓檢測技術已經充分展現其能力,足以解決晶圓

製造商以及 IC 元件製造業者所面對的挑戰,並且已經

提供一套解決方案以改善產品品質、成本與生產能力。

新的規則基礎分類技術在與多管道製程結合之後,對於

晶圓製造商及 IC 元件製造業者而言,不論是傳統的缺

陷類型或扼殺良率的 LLPD,其缺陷捕獲的能力以及分類

的準確度上都有大幅增加。如此將可達成改善晶圓品質

的目標(也是整體產量的重要因素),最終改善晶圓廠

的財務狀況。

致謝

作者在此要感謝 Hynix Semiconductor Wafer Engineering

Group 的工程及應用人員,以及 KLA-Tencor Surfscan 部

門對於此原著工作的卓越貢獻以及團隊的努力。

倘若沒有獲得全球晶圓製造商的指導、資訊及強力支

持,這項工作也無法如此成功。

參考資料

1. International Technology Roadmap for Semiconductors 2005 Edition,

Yield Enchancement, pp. 7–10.

2. C.G. Koh, D.J. Kim, Hynix Technical Report, A06041883, Evaluation

Result of SP2 SSIS - 200mm Wafers, UNPUBLISHED.

3. C.G. Koh, B.S. Moon, D.J. Kim, Hynix Technical Report, A06095565,

Evaluation Result of SP2 SSIS - 300mm Wafers, UNPUBLISHED.

Before CMP

After CMP

STI

Si

Device failure area

圖 12:由於平面 LLPD 的圖樣化以及 CMP 問題所產生的剩餘氧化物

和非條狀氮化薄膜,所造成的元件故障。

90

778M

1A1A 1A

778793

2104

K

1A46

1C 1C

LLPD Wafering

Killer Device Yielding Die

300mm prime wafer inspection before device processing

Large Particles

s

圖 11:DRAM 元件記憶體單元點陣圖;在 IC 製造時平面 LLPD 與大

型微粒在 80nm DRAM 上的良率影響。

專題報導

Missed LLPD

LLPD

Conventionalmethod

Newapproach

Identified LLPDby review

45ea.

20ea. 28ea. 28ea.

8ea.

True LLPD

Missed LLPD

Particles classified as LLPD

圖 10:目前在 200mm 晶圓製造中面臨的平面 LLPD 挑戰。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 8: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

電子束晶圓檢測的蝕刻製程監控Luke Lin、Jia-Yun Chen 和 Wen-Yi Wong – Powerchip SemiconductorMark McCord、Alex Tsai、Steven Oestreich、Indranil De、Jan Lauber 和 Andrew Kang – KLA-Tencor Corporation

方法有幾個缺點。舉例來說,不受控制的變數可能會增

加資料的不確定性,尤其是如果缺陷特徵是用於判定最

佳化製程設定是否精密的話。這些變數包括優先層製程

中的變化、顯影中的變化、蝕刻製程中的變化(或系

統/腔體),以及檢測工具中的穩定性變化。在電子束

檢測中,殘餘表面電荷中的差異或是晶圓之間的大氣分

子污染物 (AMC) 也可能會影響檢測結果。

基於這些原因,最好只使用單片晶圓以便能夠判定最佳

的蝕刻製程狀況。在此研究中,我們發展出此種技術並

且成功地將它用於最佳化蝕刻製程狀況。

實驗方法

在本次研究中使用的是三片全流量 DRAM 晶圓,線寬

為 0.11µm。所有晶圓會以正常方式處理至觸孔蝕刻的步

驟。在每個晶圓的晶體管觸孔蝕刻層上,不同的晶圓會

收到標稱蝕刻製程情況或數種不同的蝕刻情況之一,如

同表 1 所示。晶圓方塊的排列方式可促進自動晶圓檢測

工具中標稱與測試晶圓方塊的晶圓對晶圓比較。測試晶

圓方塊欄會替換成為使用標稱情況處理的晶圓方塊欄。

利用這種方式,每個測試晶圓方塊都可以和兩個相鄰的

標稱晶圓方塊比較。此外,各種不同的測試晶圓方塊會

使用電子束檢測以便從觸孔蝕刻建立缺陷層級,蝕刻製程視窗認證 (Etch-PWQ) 可提供準確的產量資料,協助使用者

將製程產量視窗中的蝕刻製程置中,然後監控蝕刻製程情況。

製程視窗認證 (Process Window Qualification) 是經常用於

光學及電子束晶圓檢測的一項技術,可將顯影製程維持

在製程視窗內部的中心。不同的晶圓會以不同的焦點與

劑量參數曝露。檢測是用於判定在不同曝光條件下晶圓

的缺陷,同時會使用特殊軟體來分析結果。

在蝕刻製程中,將製程置於製程視窗中心是非常重要

的。舉例來說,如果在接觸層中出現蝕刻不足的情況,

可能會導致蝕刻鎖住或是抗拒接觸的情況,而過度蝕刻

則會造成源極、汲極和/或晶體管上的閘極短路。在傳

統上,晶圓分割是用於判定最佳的蝕刻製程狀況。使用

兩片或更多的晶圓,而每個晶圓都以不同的蝕刻狀況處

理。接著可以使用光學或電子束檢測來比較整體晶圓的

缺陷。在製程結束時的電子測試可提供確認。然而這個

缺陷管理

Test 1

Test 2

Test 3

圖 1:晶圓方塊配置顯示標稱晶圓方塊的位置以及包含不同蝕刻製程情

況的晶圓方塊。

蝕刻狀況 氣體流動 過度蝕刻時間

標稱 20 sccm 69 秒

測試 1 21 sccm 55 秒

測試 2 19 sccm 69 秒

測試 3 19 sccm 75 秒

表 1:用於每個晶圓上不同方塊的蝕刻製程情況摘要。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 9: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

在晶圓上分佈,使得製程特性能夠與可能會出現的任何

晶圓等級特性加以區分。標稱及測試晶圓方塊的晶圓配

置如圖 1 所示。

為了處理單一晶圓上不同晶圓方塊的各種蝕刻情況,將會

利用多個顯影步驟。首先,標稱晶圓方塊會曝露與蝕刻,

而測試晶圓方塊則會受到空白抗蝕劑的保護。接著會在

測試晶圓方塊上的每個不同的蝕刻製程情況中重複顯影

與蝕刻製程步驟,而標稱晶圓方塊則受到未曝露的抗蝕

劑的保護。利用這種方式,各種製程情況會全部放置在

單一晶圓上。圖 2 顯示顯影及蝕刻製程步驟的流程圖。

遵循蝕刻製程步驟,會使用 CD-SEM 檢查 1 號晶圓的接

觸大小,接著透過電子測試繼續正常的程序。2 號晶圓

則會從製程流程中移除,並且先使用 eS31 電子束檢

測工具進行檢測,接著使用 eS32 電子束檢測工具進行檢

測。3 號晶圓會在觸孔蝕刻步驟之後被保留,以供進一步

的研究,例如 FIB 或 TEM。

結果

最初,2 號晶圓會利用 S31 電子束檢測工具,並使

用 1000eV 的沈積能源、212nA 的光束電流和 100nm 像

點大小進行檢測。欄位情況會設定蝕刻不足的接觸會比

正常觸孔(較暗)要來得亮。檢測無法顯示蝕刻情況與

晶圓方塊缺陷之間有任何重要的關聯。然而,1 號晶圓中

的行尾位元失敗測試(會繼續正常製程)顯示與蝕刻

製程情況有明確的產量損失關聯。位元產量圖會顯示

在圖 3;斜線表示晶圓方塊的位元產量結果不良。

目前,晶圓是使用 eS32 的方法進行檢測,它已經改善了靈

敏度並且提供更廣泛的光學設定範圍。檢測管理區域已經

延伸至排列的最邊緣,也是發現最多缺陷發生的位置。

會執行特殊的預充步驟,使得晶圓表面電壓的情況具有

更高的缺陷觸孔對比。最後,檢測像點大小會縮小至

70nm 以便進一步增加靈敏度。這次偵測到蝕刻不足的細

缺陷管理

Wafer preparation and photo exposure shot edit

Overlay measurementin spec?

Condition 1~N etchPR strip & wafer clean

CD measurement

Scan by e-beam inspector

PR coating and etch Condition 1~N

Shot exposure/development

Photo rework

Repeat1~N Yes

No

圖 2:在單一晶圓上建立包含多種蝕刻狀況的晶圓方塊的製程流程。

使用 70nm 像點

增加靈敏度及預充步驟

以增強對比,細微的蝕刻不足缺

陷將會被檢測,並與蝕刻情況和

行尾的位元產量結果相關聯。

圖 3:行尾位元失敗圖顯示蝕刻製程晶圓方塊分割與線上缺陷檢測圖的

關聯。圖 4:蝕刻晶圓的缺陷檢測圖顯示包含蝕刻製程情況的缺陷差異。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 10: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

微缺陷,這些缺陷與蝕刻情況和行尾位元產量結果也有

關聯。缺陷圖顯示於圖 4。在 2 號晶圓的檢測缺陷密度以

及 1 號晶圓電子位元產量之間發現有良好的關聯。在圖 5

中顯示的是包含某些缺陷觸孔的檢測工具的檢閱影像。

ePM 是 KLA-Tencor 目前仍在發展中的新 eS32 演算法,

可用於尋找超出容許範圍的晶圓,其速度要比標準的電

子束檢測更快。影像是取自每個每個選取數目(或所

有)晶圓上的晶圓方塊的相同位置。每個影像的平均灰

色層級(與平均次要電子產量有關聯)將會經過計算及

對應。由於細微的製程差異就會導致在次要產量中的明

顯變化,因此此項技術可以用於建立在蝕刻或其他製程

步驟上的製程容差限制。圖 6 顯示的是晶圓的 ePM 灰

色層級圖,可清楚地指出標稱與測試晶圓方塊之間的差

異。圖 7 顯示在 1 號晶圓上測量的觸孔 CD 以及 ePM 所

查看的平均灰色層級之間的比較,針對四個蝕刻製程情

況的每種情況進行比較。兩個測量之間再次出現絕佳的

關聯。如同預期的一樣,蝕刻不足的情況會產生較明亮

的平均灰色層級,原因是正常的觸孔要比周圍的氧化物

要來得暗。

結論

蝕刻製程視窗認證 (Etch-PWQ) 已經成為極有前景的一

項技術,能夠從觸孔蝕刻建立缺陷等級,並且可提供準

確的產量資料,置於製程產量視窗內部的蝕刻製程中

心。藉由在單一晶圓上加上實驗設計,將可避免由於晶

圓製程變化或檢測工具趨勢所造成的資料不確定性。為

了能夠從邊緣蝕刻製程情況中查看細微的蝕刻不足缺

陷,您有必要使用預充步驟並且選擇光學情況以最佳化

靈敏度。在檢測缺陷與電子位元產量之間可以看見良好

的關聯。最後,在 eS32 檢測工具中具有電子製程監控能

力,可測量晶圓上的次級產量的 ePM,顯示其作為監控

蝕刻製程情況之工具的承諾。

致謝

作者對於 Jason Lim 和 Kumar Raja 在本研究中的支持表

示感謝。

缺陷管理

圖 5:eS32 檢測中的檢閱影像顯示蝕刻不足的觸孔叢集。

2

4

6

8

10

12

2 4 6 8 10 12 14

圖 6:晶圓的灰色層級 ePM 圖顯示蝕刻製程情況與影像的灰色層級亮

度之間的關聯。

CD (nm)

nominal test 1 test 2 test 3

0.195

0.190

0.185

0.180

0.175

0.170

0.165

0.160

0.155

CD (nm)

Gray level

nominal test 1 test 2 test 3

60

70

80

90

100

110

120

Gray level

圖 7:測量 CD 與平均影像灰色層級在不同蝕刻測試情況之間的比較。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 11: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

缺陷管理

實現製造生產力改善以及降低測試晶圓成本Ming Li、Lisa Cheung 和 Mark Keefer – KLA-Tencor Corporation

Surfscan SP2 檢測系統的使用能夠減少生產成本,藉由延長某些監控晶圓重新使用的壽命,並且減少新測試晶圓的

需要。對於大型的晶圓代工廠,這個新技術能夠增加廠內回收率並且減少 15% 的再拋光率,等於每年可省下超過

3 百萬美元的費用。

今日的晶圓製造廠必須謹慎地平衡增加生產力的需要,

同時減少可變動成本。有幾個主要區域中的製程控制

(度量與檢測)設備能夠協助將可變成本減至最低。第

一便是要減少消耗品的數量 — 將無收益營運所處理的晶

圓(即測試晶圓)數目減至最低。其次是製程設備生產

力的改善,方法是減少每年維修週期的次數以及減少由

於解決製程偏移錯誤警示所損失的相關時間。本篇文章

將會深入地詳細探索這些概念,為尖端的 65 nm 線寬的

晶圓代工廠找出降低測試晶圓成本的有效方法。

製程工具機監控

無圖樣測試(或「監控」)晶圓上的微粒數一般是用於

監控製程工具機的運作狀態,可能是在預防維修(工具

機認證)之後或是在運轉生產晶圓之前,在經過指定的

時數之後或是在每梯次轉移(工具機監控)開始時。製

程工具機認證發生於預防維修,或是在未排定的停機之

後重新認證工具機。工具機監控是用於快速偵測製程工

具機偏移的情況。此外,無圖樣晶圓檢測工具機可用於

工程分析工作,以描述新製程工具機的特性或是診斷出

會導致製程工具機被移除於生產之外的特定污染物問題

(「工具機失效」問題)。

製程工具機監控使用了單一無圖樣測試晶圓在每製程腔

體中,而更高等級的晶圓則用於前段製程,其中關鍵尺

寸將會縮小,同時需要較大的檢測靈敏度。測試晶圓會

被先檢測,通過製程工具機(不一定會啟動製程腔體)

後再重新檢測。計算新增的缺陷可使用傳統的之後數目

減去之前數目的計算方式,或是使用更複雜的圖對圖缺

陷重疊比較法(參考 1)。後期掃描檢測結果可顯示出

經由製程工具機所新增的任何缺陷,其以每片晶圓每次

通過所增加之微粒數 (PWP) 作表示。

製程工具機監控程序

製程工具機監控程序的第一個步驟便是要依照等級將測

試晶圓指定至儲存區中。等級(通常為 A、B 或 C)指的

是適合不同監控應用的測試晶圓品質,在本例中為其表

面粗糙度,因為在平滑的晶圓要比粗糙晶圓能夠更可靠

地偵測尺寸較小的微粒。通常表面粗糙度的測量方式是

使用檢測工具機來檢測 haze、其成分為低頻率、低振幅

來自晶圓表面上的散射光源。Haze 的測量單位為 ppm,

是平均表面散射強度與入射鐳射光束強度的比率。對於

裸晶圓而言,haze 與表面粗糙度有極大關聯。(當透明

薄膜出現時,haze 也會包含薄膜參數的變化。)

第二個步驟是實際製程工具機監控步驟:比較製程前與

製程後的檢測以量化新增的缺陷。為了能夠重新使用測

試晶圓,晶圓經過化學剝離的處理方式去除製程工具機

所增的任何薄膜層及微粒。化學剝離會產生較高的表面

粗糙度或 haze(圖 1 中的上方迴路),因此必須將測試晶

圓重新歸類。在相當次數的循環步驟之後,測試晶圓若

無法符合粗糙度等級規格,則應該送去進行再生(重新

拋光)或廢棄(圖 1 中的左下迴路)。

延長監控晶圓的使用壽命

缺陷檢測靈敏度是由缺陷訊號與其背景的比率所決定。

當背景水準(haze)即將到達偵測臨界值時,訊雜比會

減少(圖 2 左)。為了確保能夠偵測到缺陷而不會發生

錯誤警告的情況,最好能夠缺陷訊離比維持在較高的情

況(一般在 3 以上)。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 12: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

然而,由於化學剝離(再

生)次數會增加測試晶圓

的表面粗糙度和 haze,因此

在晶圓表面上小型缺陷偵

測的訊離比會有所減少。

製造時考慮的重點(例如

將某個檢測工具機的結果

與其他工具機比對)要求

檢測靈敏度的臨界值要維

持在固定的值。這意味不

可以只為了要減少背景雜

訊的增加,就增加偵測臨

界值,如圖2(右)之建

議。因此,在任何所提供

的測試晶圓可再生步驟的

次數會受限於表面粗糙度

的增加。

真正需要的方法是對粗糙

晶圓中的小型缺陷增加檢

測訊雜比。現世代的晶圓

表面檢測系統 - Surfscan SP2

與其上一代的 SP1 相比,前

者擁有較小的光束尺寸,

這意味當光束聚焦在小型

缺陷時,它所包括的背景

較少。因此 Surfscan SP2 在

粗糙晶圓上擁有比 SP1 更

佳的靈敏度。圖 3 顯示的

是經過多次再生,相同的

高 haze 晶圓在使用 Surfscan

SP1(左)和 SP2(右)系

統掃描之後的比較。SP1 圖

顯示由於低訊雜值所造成

的大量錯誤缺陷的出現。

檢測臨界值(為捕獲即時

缺 陷 所 設 定 ) 也 會 捕 獲

haze 訊號的峰值。在另一方

面,SP2 圖則顯示錯誤缺陷

已明顯減少,原因是其卓

越的靈敏度讓檢測臨界值

能夠設定在遠高於haze水準

的上方。Surfscan SP2 的功

能能夠加強訊號及有效抑

缺陷管理

Surfscan SP1 Surfscan SP2

Test wafers

PWP < XSP1Inspection

Grade A, B, C ProcessPre SP1

Inspection Post SP1

Inspection

New wafers

Scrap $300/wfr Re-polish $30 / wfr

Regeneration area

Grade

Reclaim 1 Reclaim 2 Reclaim 3

Roughness

A B C

GradingA < X1 counts <Y1 ppm

B < X2 counts <Y2 ppm

C < X3 counts <Y3 ppm

In-house chemical clean

圖 1:使用測試晶圓的製程監控迴路。

Threshold Threshold

Scan positionScan position

Lase

r sc

atte

rin

g s

ign

al (

pp

m)

Lase

r sc

atte

rin

g s

ign

al (

pp

m)

Haze Haze

Noise

圖 2:在有低霧度值(左)以及高霧度值(右)的晶圓中,晶圓表面粗糙度(薄霧)以及檢測靈敏度之間的關係。

備註:雜訊與薄霧成比例。

S/N

Rat

io

Defect Size (µm LSE)

18

0.06

15

0.07

12

0.08

9

0.09

6

0.10

3

0.11

0

0.12 0.13

SP2 HT Mode S/N vs. Wafer Haze Level

0.150.14

Low HazeMedium HazeHigh Haze

S/N

Rat

io

Defect Size (µm LSE)

18

0.06

15

0.07

12

0.08

9

0.09

6

0.10

3

0.11

0

0.12 0.13

SP1 HT Mode S/N vs. Wafer Haze Level

0.150.14

acceptable inspection window

acceptable inspection window

Low HazeMedium HazeHigh Haze

圖 4:訊雜與晶圓薄霧層的比較(Surfscan SP1 左,SP2 右)產生不同大小的缺陷。可接受的檢測視窗的訊雜

比為 3 以上,而缺陷大小在 88 nm LSE 以下。

圖 3:粗糙晶圓靈敏度的比較。左邊的 Surfscan SP1 圖顯示有明顯的錯誤缺陷,右邊的 SP2 圖則顯示明顯的實

際缺陷,而最小的缺陷的訊雜比 > 3。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 13: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

制雜訊,而這種檢測類型也使得測試晶圓能夠長期再生

使用,然後再考慮回收或廢棄。

圖 4 顯示的是不同 haze 水準的晶圓上,SP1 和 SP2 的訊

雜分析。可接受的「檢測視窗」位於圖的左上部位置

(訊雜比 ≥3 時的靈敏度在 88 nm 以上)。SP1 高生產

力模式無法符合高 haze 晶圓上所需的 88 nm 缺陷靈敏度

的 3:1訊雜需求。SP2 的加強靈敏度以及背景雜訊抑制

能夠符合所需的靈敏度,即使是在高生產力模式時使用

高 haze 晶圓也沒有問題。

經濟影響

圖 4 顯示 Surfscan SP2 能夠在粗糙晶圓上達到足夠的靈敏度

與訊雜;因此,晶圓廠內的回收中心也採取措施,在廠

內安裝專屬的 SP2 檢測工具機。在 Surfscan SP1 檢測中分類

成等級 B 種類的晶圓,現在在 SP2 檢測中則為等級 A 的種

類。因此,晶圓可以經過更多次的再生 — 廠內化學清潔

中心的再生率評估約增加 15%。提昇的再生率也意味著

回收(重新拋光)率的減少(圖 5)。

使用這些新的再生率,我

們可以估計節省的費用如

下,對於經營 25K WSPM 的

300mm 晶圓代工廠而言,

測試晶圓的使用等於生產率

的 3 倍,或是 75KWSPM。

實施 Surfscan SP2 增加了廠

內再生率並減少 15% 的回

收率,相當於每年節省將

近 3 百萬美元的費用(表

1)。此模型可調整以適合

不同的晶圓投片、測試晶

圓使用等。

摘要

晶圓廠的生產能力也因為

可變動成本的減少而提昇。測試晶圓的壽命直接影響

了它們在製程工具機監控的可用性。事實已證明使用

Surfscan SP2 檢測系統以代替上一代的系統能夠進一步地

重新使用某些監控晶圓,也能藉此減少新測試晶圓的採

購。除了降低測試晶圓成本這種能夠計算的經濟影響以

外,晶圓廠的製造生產力也同樣能夠增加,對粗糙再生

晶圓不穩定的檢測結果所產生的錯誤偏移警示,進而產

生中斷的情況也能減至最低。

致謝

本資料最初是在上海(2006 年 8 月)和北京(2006 年

9 月)所舉行的 KLA-Tencor Yield Management Seminars 上

發表的。

參考資料

1. Lorrie Houston, Motorola; John Anderson, Motorola; Rhonda Stanley, KLA-Tencor; “Process tool quali�cation using SP1TBI automated overlay feature,” KLATencor Surfscan Applications Note (2002).

缺陷管理

Test wafers

PWP < XSP2Inspection

Grade A, B, C

Process Pre SP2

Inspection

SP2

Post SP2 Inspection

New wafers

Scrap $300/wfr Re-polish $30 / wfr

Regeneration area

Grade

Reclaim 1 Reclaim 2 Reclaim 3

Roughness

A B

In-house chemical clean$5 / water recycle

Recycle rate from 70% to 85%

$75K/month

20% 5%10%

圖 5:晶圓再生率的淨增加

晶圓類型 再生 回收 廢棄 總測試

晶圓成本晶圓成本 $5 $30 $300

晶圓量(總計 =75K)

52.5 > 63.75K 15.0 > 3.75K 7.5K

再生率 (SP1) 70% 20% 10% $2.96M

再生率

(SP1+SP2)85% 5% 10% $2.68M

每月節省的成本 $56,250 $337,500 沒有變更 $0.28M

表 1:預估藉由晶圓再生率增加所節省的每月成本:28 萬美元,一年可節省 336 萬美元。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 14: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

幾何不斷縮小的持續性趨勢的結果之一,便是必須將即

時工具資料與其他形式的度量資料整合。1 半導體產業將

與製程工具相關的度量資料定義為:離線(分開)、線

上(附加並在之後與之後立即進行測量)和原處(已整

合,用於製程期間的測量)。主題類別「離線/線上/原

處度量」將包括在 2007 年修訂版本的 ITRS Metrology and

Factory Integration(ITRS 度量與工廠整合)一節。2

儀器化基板可同時應用於上述的幾種類別,它們可收集

製程內部的即時資訊(原處),同時發生的空間資訊

(離線);同時可以在製程之前與之後立即讀取這些儀

器(線上)。以下段落描述一系列 45 nm 的節點相關應

用範例,顯示儀器化基板的使用情況。

物理氣相沉積 (PVD):銅阻礙 /晶種腔體對比溫度

隨著銅對於半導體金屬化組合的增加,對於晶種層以及

阻礙生長也需要投以更多關注。隨著沉積溫度的減少,

無線感應晶圓也成為一種確實可行的方法,能夠特徵化

這些製程並提供腔體比對的方法。

兩個生產銅 (Cu) 晶種的腔體接受了針對不同 RF 功率以

及卡盤溫度條件的研究調查。3 低及高功率條件是在矩陣

空間、低和超低陰極溫度的條件下進行評估。基線條件

(低功率、低溫度)會在圖 1a 和 1b 中描述。立即可見

性是熱一致性與平均溫度當中的差異。腔體 A 顯示靠近

槽口的邊緣上出現高非一致性的情況。腔體 B 顯示同

心,擁有緊縮範圍的一致性圖樣。SensorWafer 運作的空

間溫度資料的檢驗與建模與 RF 功率及卡盤溫度參數有

關。不符合的來源已局部化至靠近槽口的區域,同時由

於 RF 功率供應的不一致性所決定。

化學氣相沉積 (CVD):等離子氮化

這些 CVD 薄膜的屬性與沉積期間基板的溫度有絕對的

關係。基板溫度是透過來源及偏壓電極由輸入至基板的

功率所控制,以及在靜電吸盤或加熱板內的溫度控制。

較小的特徵節點已經縮減了 CVD 製程的預算。從歷史觀

點來看,熱過程 CVD 熔爐的操作是以 600–1000°C 的方

式進行。有了額外的等離子增強 (PECVD),基板的溫度

下降至 250–550°C 範圍。隨後,在出現 45 nm 節點之

後,基板溫度甚至更進一步下降至「ultra low-k 電介質」

度量

晶圓級的度量擴展了 45 奈米的製程應用Paul MacDonald、Greg Roche、Mark Wiltse -– KLA-Tencor Corporation

儀器晶圓(包括 KLA-Tencor 的 Integral™ SensorWafers™)逐漸用於優化、疑難排解與監控許多不同的製程應用。

這些特殊化的基板包含完整度量儀器,能夠提供高準確度、按時間順序排列的測量,顯示晶圓對於動態製程環境的

反應。

Mean 81.121Range 47.365

Mean 71.807Range 33.599

a) b)

圖 1:低功率、低溫度的銅 (Cu) 障礙沉積: a) 腔體 A 的基線 2-D 熱剖面(左);b) 腔體 B 基線 2-D 熱剖面。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 15: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

以及某些「high-k 閘極」的結構。在最近,PECVD 薄膜

已經發展成為使用基板溫度在 30–50°C 範圍的 ultra low-k

阻礙層。4 等離子氮化則流行用於將絕緣或阻礙性能加入

某些 high-k 閘極材料中。5

在圖 2 中,等離子氮化過程是以最大溫度 ~40°C 進行特

徵化。當基板到達其峰值溫度時,初始測試會對溫度一

致性及行為提供某些看法。空間溫度輪廓圖圖顯示在圖

的右半部。

接下來,會在低溫等離子氮化系統中進行試驗,以

決定在晶圓溫度輪廓圖中陳化處理晶圓所產生的影

響。在每三個陳化處理晶圓系列之前及之後都會執行

SensorWafer(圖 3)。在經過九次陳化處理晶圓之後,腔

體似乎已經到達穩定狀態。有趣的是,跨晶圓溫度輪廓

圖在陳化處理過程期間也有變更(圖 4)。

化學機械拋光 (CMP)

化學機械拋光 (CMP) 是去除薄膜的一種程序,會在晶圓

表面上使用拋光墊,在漿料中進行物理及化學方面的磨

砂。在此過程中並不會控制溫度,但是溫度是數個關鍵

控制參數的功能:拋光頭旋轉速度、平台旋轉速度、水

頭壓力以及漿料管流。6 SensorWafers 是用於在拋光期間

研究晶圓表面,成為製程條件的功能。

圖 5 顯示 Integral SensorWafer 所收集到的溫度與時間記

錄資訊。在記錄中可以看見有趣的溫度資料特徵:全域

溫度、跨晶圓變化以及旋轉效應。

顯影利用溫度進行直接的 CD 調整

顯影是 SensorWafer 度量最重要的應用領域之一。擁有每

個節點,顯影中的製程將變得更具有溫度靈敏度,在

硬體比對以及顯影單元的控制上更緊密。舉例來說,

SensorWafer 經常用於調整溫度輪廓圖 - 在空間性(跨烤

板上)和暫時性(溫度變更率),以及從烤板至烤板。

溫度輪廓圖隨即會儲存在顯影工具中。在預防維修之

後,SensorWafer 會定期監控工具(除非有例外情況)。

在此研究中,SensorWafer 輸出是用於直接調整 CD。也就

是說,製程晶圓 CD 的優化是藉由顯影膠烤板溫度輪廓

圖的調整完成。溫度輪廓圖的調整在三個步驟內產生:

收集基線溫度資訊;

計算優化控制輸入並提供對於烤板的調整;

確認溫度性能。7

1)

2)

3)

度量

Time (s)

Tem

per

atu

re ˚C

圖 2:室溫等離子氮化製程的溫度反應。每個痕跡圖形代表一個溫度

感應器,因此跨這些痕跡的範圍即是空間非一致性的象徵。

Cumulative seasoning wafers

Temperature profile vs. seasoning wafers

Tem

per

atu

re m

ean

(˚C

)

Tem

per

atu

re r

ang

e (˚

C)T-mean

T-range

0 5 10

6

5

4

3

2

1

6

39

38

37

36

35

3415 20

圖 3:SensorWafer 意味作為腔體陳化處理 (seasoning) 功能的溫度和

範圍。

圖 4:在陳化處理之前(左圖)以及在 9 片晶圓陳化處理之後(右

圖)的溫度空間輪廓圖。

20C

2C /1sec

4C

Global temperature rise during polishingAcross-wafer variation by radial zoneRotation effectsEdge variation due to rotation is much greater than the center

圖 5:使用 Integral™ 晶圓進行 CMP 特徵分析試驗的溫度與時間記錄。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 16: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

在本範例中,包含一個七區 PEB 板的 48 nm CD 製程會

在一次運作中進行調整,利用特殊軟體 (AutoCD™) 以計

算控制輸入。圖 6 顯示在烤板調整前後晶圓映射 CD 的結

果。前/後範圍改善的幅度為 22%。

等離子蝕刻

等離子蝕刻是 SensorWafers 最重要的應用之一。等離子

蝕刻製程極為複雜;製程晶圓的結果製程控制的堅強

功能以及被蝕刻元件的材料與拓樸。8 再者,蝕刻腔體的

情況並非固定;腔體表面會由於物理及化學性的曝光而

隨著時間改變。蝕刻結果通常與溫度的關聯非常密切,

在許多情況中,溫度是晶圓表面中等離子情況的良好度

量。商業反應裝置一般都會有電力傳輸至來源及偏壓電

極。以下是 SensorWafers 關鍵應用的四種範例。

等離子蝕刻範例 1:利用溫度進行腔體定期維護認證

蝕刻製程腔體需要進行經常性的預防維護 (PM) 活動,

以達成一致性的元件效能。SensorWafers 為腔體的健全狀

態驗證提供了有用而機動的平台。

圖 7 提供在清潔循環期間腔體狀態的表示。會定期測量

溫度範圍(最高溫度減去最低溫度)以了解腔體的運作

狀態。當溫度範圍脫離了管制上限 (UCL),將會執行預防

維修。UCL 腔體偏離是藉由空間方式檢測,並且在晶圓

邊緣發現偏離。在完成預防維修之後,腔體效能獲得驗

證,同時腔體也可回復至正常的生產。

等離子蝕刻範例 2:利用溫度進行腔體比對

關鍵蝕刻程序的腔體比對持續成為一項挑戰。幾何的縮

小以及增加的長寬比更要求能夠識別並解決精細的腔體

差異,以達成所需的效能水準。為了隔離出限制良率之

偏離的來源,因此將兩個腔體進行比較。9 在金色腔體

和問題腔體中會描繪關鍵的反應環節(圖 8)。每個關鍵

製程環節的反應皆具有 SensorWafer 資料以及先進分析軟

體之組合的特徵。

度量

Recovered Chamber

Chamber Deviation

Time Units

20T - Range [All]

UCL

Nominal

16141210 8 6 4 2 0

11.55

10.13

8.70

7.27

5.84

4.41

圖 7:SensorWafer 溫度的 SPC 圖,包含空間溫度輪廓圖的細節。

PM1Golden chamber

Model A Model B Difference

Model A Model B Difference

Model A Model B Difference

PM2Problem chamber

Difference

LowerelectrodeRF powerincrease

Edge Hecooling

Center Hecooling

5.00E-02 2.50E-02

-2.50E-020.00E+02

5.00E-01 7.00E-01

-3.00E-01-5.00E-01

0.00E+00 3.00E+01

-2.00E-00-5.00E-00

Model A Model B Difference

Lowerelectrodetemp

1.70E+00 3.00E+01

-7.00E-007.00E-00

Model A Model B Difference

Chamberpressure

1.00E+01 5.00E+02

-1.00E-01-2.00E-00

˚C /

˚C

˚C /

˚C

˚C /

T

˚C /

T

˚C /

T

˚C /

T

˚C /

W

˚C /

W

˚C /

mt

˚C /

mt

圖 8:金色腔體以及問題腔體的比較。每個等離子反應裝置子系統可使

用 PlasmaRx 軟體描繪特徵。空間分析引擎決定要成為反應裝置偏離來

源的低電極溫度。

製程變動與反應 – 摘要

製程變動 增加的製程變動的反應

低電極 RF 電力增加 整個晶圓中的熱度增加

邊緣氦氣冷卻 減少晶圓邊緣的熱度

中心氦氣冷卻 減少晶圓中心的熱度

低電極溫度 整個晶圓中的熱度增加

腔體壓力 幅射效應

表 1:等離子蝕刻控制變動以及溫度 SensorWafer 的相關反應。

CD

Pre CDMean: 48.56 nmNormalized 3σ: 1

Mean: 48.83 nmNormalized 3σ: 0.78

Validation

–50.97

– 46.65

– 48.02

–49.50

圖 6:晶圓層級 CD 圖,分別為前 -(左)和後 -(右)溫度輪廓圖調整。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 17: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

兩個反應裝置的程序變動反應出直覺式預期(表 1)。

為了找出效能問題的起源,在每個反應中都套用了形狀

比對演算法。透過這種方式,偏低的電極溫度成為腔體

不符的來源,而成為需要快速維修的目標。

等離子蝕刻範例 3:利用溫度進行問題疑難排解

線上缺陷檢測圖顯示已局部化至包含自我對準接觸孔

(SAC) 蝕刻的特定晶圓方塊中無法接受的效能等級。10

SensorWafer (PlasmaTemp™) 是透過工具運作,顯示監測

到的溫度在晶圓中心上升約 3˚C。空間資料檢閱在溫度輪

廓圖的平面圖中清楚地顯示問題的圖案(圖 9)。錯誤的

溫度圖案與升降機頂升銷的位置有直接關聯。在檢查過

升降機頂升銷之後,發現它們的收縮高度設定錯誤。後

續的探針資料分析確認蝕刻中的局部化 SAC 受限於升降

機頂升銷上方的晶圓方塊。硬體問題已經修復,而產生

的溫度特徵與基線溫度輪廓圖極為類似,指出錯誤已經

修復。根據生產恢復的採測結果確認了這項發現。

等離子蝕刻範例 4:利用 SensorWafer 電測進行等離子製程監控

雖然已經證明溫度是等離子蝕刻特性校準的良好度量,

在某些等離子蝕刻環境中卻仍顯不夠。在此範例中,晶

圓表面電壓 (PlasmaVolt™) 的測量提供了更有用的診斷

結果。

圖 10 中的範例顯示經過系統所測量的 Vpp 向下漂移,

以及向下漂移的 PlasmaVolt 的對應偵測。然而,溫度晶

圓會記錄製程的的熱惰性以及較少功率建立的相對熱流

通,並產生相對的平衡。

增加的電子測量靈敏度允許與溫度無關的精密製程效應

的加強特性校準。在此生產晶圓廠範例中,會要求使用

電子測量 SensorWafer 以協助診斷蝕刻 CD 問題(已經局

部化至腔體 E)。11

在此情況中,現有的測試方法無法識別出兩個腔體之間

任何功能性的差異。藉由不良腔體 E 以及良好的腔體 D

的資料記錄比較,SensorWafer 電測指出蝕刻步驟的不穩

定性(圖 11)。由於其為電壓測量,因此假設不穩定的

情況發生於 RF 電力傳送系統中也是非常合乎邏輯。問

題很快地發現是出在電力傳送纜線發生故障。在更換纜線

之後,後續的度量晶圓即可運作而 CD 值也回復正常值。

結論

部份原處晶圓層級度量的範例已經有提供。很顯然,儀

器矽晶圓(包括 KLA-Tencor 的 SensorWafers)已經證明

在協助了解半導體製程方面有絕佳的效用。部份發現的

關鍵趨勢如下:

緊縮的製程視窗以及附隨的造性問題將會持續產

生對於原處晶圓層級度量的需求。

降低的製程溫度以及減少熱預算支持無線 SensorWafers

的使用。

電測為等離子系統的溫度提供強大的增加效果。

度量

Faulty

Hot spot

Normal

-11.0-7.0-3.0-0.0

-11.0-7.2-3.6-0.0

圖 9:平面正規化溫度輪廓圖。可識別由於升降機頂升銷所造成的熱

點的錯誤情況(左圖);在錯誤更正之後(右圖)。

Temperature Data

Reported Chamber Vpp

PlasmaVolt Data

850

2000

4000

6000

60

40

80

100

0 900 950 1000 1050

50 100 150 200 250 300

Time (s)

Time (s)

RF

Vo

ltag

e (V

)Te

mp

erat

ure

(C

˚)

圖 10:等離子蝕刻腔體時間與資料同步。PlasmaVolt(上);Vpp 從偏壓電力傳送系統記述(中);SensorWafer 溫度資料(下)。

Chamber D Chamber ENominal CD

Time (s) Time (s)

“bad” CD

RF

Vo

ltag

e (V

)

420 440 460 480 500 520 300 320 340 360 380 400

2900

2800

27002600

2500

2400 RF

Vo

ltag

e (V

) 2900

2800

2700

2600

2500

2400

圖 11:兩個等離子蝕刻腔體的 PlasmaVolt 記錄,每個腔體都提供標

稱和規格以外的 CD 效能。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 18: Yms sm07 final cht

SensorWafers

無線儀器晶圓(以下統稱為 SensorWafers)擁有透過標準

半導體機器運作的優勢。SensorWafers 受到內建電子裝置

溫度的限制(一般都維持在 140°C 的順序)。每個半導

體路線圖節點皆擁有低處理溫度以及較低的熱預算,有

助於無線度量的使用與接受。多數的 SensorWafers 都可測

量溫度。最近還增加測量電壓的功能。

下圖顯示 Integral™ 晶圓,包含 10µm 聚亞醯胺 (poly-

imide) 塗層。聚亞醯胺在可見光下呈現透通狀態,因此

可允許查看電子裝置和溫度感應器位置的細節。所有感

應器以及電子裝置皆位於晶圓表面的平面之下。此溫度

SensorWafer 有數種可能的替代配置方案,其中包括矽的

覆蓋層、二氧化矽或其他客戶指定的材料。視配置方案

而定,這些儀器晶圓的用途包括濕式表面處理、CMP、

顯影低溫 CVD、PVD 和等離子蝕刻。

下圖顯示 300mm PlasmaVolt™ 晶圓(含電子感應器),

並且測量可以在表面上看見的電子。感應器以及電子裝

置在晶圓表面上有 3.4 mm 或以下的剖面。擁有此剖面,

這些 SensorWafers 可透過大多數的 300mm 生產真空設備

與標準機器一同裝載。整個晶圓是以聚亞醯胺 (polyimide)

覆蓋,與顯影膠的化學成分非常類似。這些 SensorWafers

類型發現主要用於等離子蝕刻。

參考資料

1. International Technology Roadmap Semiconductors 2006 Update, Lithography.

http://www.itrs.net/Links/2006Update/FinalToPost/08_Lithography2006Update.pdf.

2. M. Janakiram, “ITRS Factory Integration Presentation,” Presentation

to Create, Arizona State University,2007年2月,http://create.asu.edu/

calendar2/pdfs/ITRS_Factory%20Facilities_Jan2007.pdf.

3. P. MacDonald,“In situ thermal measurements for Cu barrier seed

deposition,” OnWafer Technologies, Inc.,2005年

4. L. Zambov, K. Weidner, V. Shamamian, R. Camilletti, U. Pernisz, M.

Loboda, G. Cerny, D. Gidley, H Peng, R. Vallery, “Advanced chemical

vapor deposition silicon carbide barrier layer technology for ultralow

permeability applications,” JVST A 2006 年9 月第 24(5) 卷,

第 1706–1713 頁。

5. A. Callegari, P. Jamison, D. Deumayer, F. McFeely, J. Shepard, W.

Andreoni, A.Curioni, C. Pignedoli, “Electron Mobility dependence on

annealing temperature of W/HfO2 gate stacks:the role of interfacial layer,”

Journal of Applied Physics,2006 年第 99 卷

6. H. Hocheng and Y.L. Huang, “In situ endpoint detection by pad

temperature in chemical mechanical polish of copper overlay,” IEEE

Transactions on Semiconductor Manufacturing,2004 年 5 月第 2 期

第 17 卷,第 180–187 頁。

7. S. Wang, P. MacDonald, M. Kruger, C. Spanos, M. Welch, “CD

uniformity improvement and IC process monitoring by wireless sensor

technology,” IEEE 2004.

8. I. Husala, K. Enke, H. Grunwald, G. Lorenz, H. Stoll, “In situ silicon

wafer temperature measurements during RF Ar-ion plasma etching via

�ouroptic thermometry,” J. Phys D Applied Physics 20 (1987)

第 889–896 頁。

9. P. MacDonald and M. Kruger “Component health monitoring and

diagnostics in plasma Etch Chambers using in-situ temperature metrology,”

SEMI® Technical Symposium:Innovations in Semiconductor Manufacturing

(STS:ISM) 2004 年。

10. Brown, T. Schrock, K. Poolla, M. Welch, P. MacDonald “Rapid

diagnostics of etch processes in high-volume production using temperature

metrology,” Semiconductor Manufacturing.2003 年 10 月第 4(10) 卷,

第 140–156 頁。

11. G. Roche, P. Arleo, P. MacDonald, “Wafer based diagnostics for

dielectric etching plasmas,” Northern California AVS, Meeting of Plasma

Etch Users Group,2007 年 5 月,http://www.avsusergroups.org/peug_pdfs/

PEUG_07_5_Roche.pdf.

度量

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 19: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

在 65 和 45 nm 節點出現新的材質與結構之後,對於薄膜

度量要求的複雜程度更為增加,但是度量的預算卻更加

縮減。在數個關鍵製程中,僅監控製程控制的厚度與折

射率已經不再足夠。同時還必須測量或推斷有效製程控

制的成分、多孔性以及其他參數。使用包含這些參數的

光學屬性系統變動,最近在橢圓偏光量測 (SE) 應用方面

的進步已經能夠成功地在研發與生產中採用這項技術,

以便監控不同物質的成分,例如 high-k 閘極電介質、氮

化物閘極氧化物和含硼矽鍺 (SiGe:B)。處理新的材料和

複雜結構會面臨重要的製程控制挑戰與需求,而使用光

學薄膜度量新的應用資料與潛在的解決方案將會被提出

討論。

多項正面挑戰

業界普遍認為在 65 nm 和 45 nm 節點中,薄膜度量有日

趨複雜與密集的趨勢(圖 1)。再加上普遍緊縮的製程視

窗與度量預算(一般的基本原則是總薄膜的度量預算應

該小於製程預算的 10%),是由下列兩個其他因素所帶

動:許多新材質以及創新結構引進1-5 於製程的前端與後

端,以及度量從監控晶圓上的薄膜代理測量移轉至產品

晶圓上的測量。

在前端中,許多新材質的使用為度量及製程控制帶來了

新的挑戰。這些挑戰開始於從矽逐漸移轉至絕緣矽層

(SOI) 基板。這些變更也產生了新的需求:SOI 基板需

要監控薄表面矽層以及埋層氧化層的厚度以及一致性。

SOI 基板的使用也使得它更不容易測量閘極電介質以及

多層結構。表面矽層在氦氖 (HeNe) 波長 (633nm) 呈現透

明這個事實,使其成為一項多參數式測量(同時測量閘

極氧化物、表面矽層和埋層氧化層),而不可能用於標

準固定角度的單波長橢圓偏光儀 (SWE)。

目前正在探尋多種方法,希望在矽通道上引進應力。這

些方法包括在源極/汲極中使用矽鍺:B(需要監控鍺、B

和矽鍺:B 厚度)以便壓縮性地加壓通道,以及使用高度

應力的氮化層(監控壓力)以便在通道中引進可伸展或

可壓縮應力。製程控制需求以及方法會因為使用的路徑

各有不同。閘極氧化物電介質已經有漸薄以及更加強氮

化的趨勢,因此需要同時控制氧化物中的厚度以及氮。

度量

橢圓偏光薄膜度量加強了 45 奈米及以上的製程Arun R. Srivatsa -– KLA-Tencor Corporation

橢圓偏光 (SE) 是今日晶圓廠生產薄膜監控的關鍵技術。在光譜保真度、較短波長的使用以及許多其他改善的進展使

得 SE 技術得以測量厚度及折射率,也包括許多薄膜中的材料成分,包括 65 nm 和 45 nm 節點的氮化氧、含硼矽鍺

(SiGe:B) 和 high-k 材料。

TiN

Ru

P

d Laminated Material Engineered M

aterial

SiO

C C

-SiO

2

Ultr

a-Lo

w-K A

LD-Barrier ALD-Seed Electroless Cu Engineered Material

SiON

PSG Cu Ta/TaN

Al W Ti T

iN T

iSixN y

BPS

G

W H

fO2 AL

2 O3 SiO

2 S

iOxNy

P

oly

W

Six

HfO

2

Al 2

O 3

Al2O3+HfO2 BST Ni Ti Co

SiOx N

y SiO2

Poly

WSi x

Si 3N4

Poly

SiO2

HDP-Ox

SO

I SiG

e

Si-Epi

Isolation

Transistor

Capacitor

Interconnect

Substrate

圖 1:與先前的技術節點相比,許多新的高度複雜的材料將以更快的

速率推出。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 20: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

High-k 閘極電介質將最有可能先在 45 nm 節點中引進。

有資格的材質例如 HfSiOxN

y,需要同時監控多個元素/成

分以便獲得有效的製程控制。除了監控更多變數的困難

之外,每個這些變數所允許的變動通常會更加緊縮,

因為這些參數的錯誤限制能夠增加總計度量預算的消

耗。同時還有與 high-k 度量有關的額外挑戰,包括監

控金屬閘極電介質以及 high-k 電介質與矽之間的介面

層。在前端,也正在推出用於電容器的雙層以及奈米層

基礎的 high-k 材質堆疊。

雖然在前端會產生更多的挑戰,但是 low-k 材質以及銅

的引進也為後端帶來了不少重大的挑戰。Low-k 含碳雜質

氧化物 (CDO) 以及相關阻礙和蝕刻停止層要求更嚴格的

度量控制以及更複雜的堆疊。滲透的 low-k 電介質加入

了更多的複雜性,而目前似乎氣孔大小和氣孔分佈屬於

可能不需要生產監控的參數,多孔性和/或電介質常數

的預估需要生產控制。

由於免去監控晶圓的需要驅使目前的趨勢朝向產品晶圓

度量的方向前進,特別是在 300mm 方面。在某些情況

下,如果在晶圓方塊中的變化以及在劃道 (scribe lane) 中

的較大特徵之間缺少關聯,製程控制中會需要進行晶圓

方塊內測量。6 產品晶圓測量通常是在劃道中的大型晶

圓墊中完成。隨著幾何的縮減,許多關鍵製程也受到影

響。舉例來說,在淺溝槽道絕緣 (STI) 中,有標示指出在

劃道中的晶圓墊上的 CMP 率和晶圓方塊中的 CMP 率之

間缺乏關聯。在 STI 的製程控制中,需要進行氧化物和

氮化物薄膜堆疊的晶圓方塊內測量。

解決薄膜度量問題

光學薄膜度量(大部份是根據 SE)廣泛地用於整個晶圓

廠中的製程控制。SE 是一個快速、非破壞性的技術,用

於監控及產品晶圓的測量。SE 技術包括兩個主要的構成

要素:包含良好光譜保真度以擷取薄膜及應用專業知識

等資訊的硬體,以便使用光譜資訊及演算工具建立可行

工具。最近在前端的進展已經擴及至可行的 SE 架構解

決方案的應用,例如在研發以及生產環境中的複雜薄膜

的合成監控。

硬體透視中的主要改善為改善的光學設計,可產生更

佳的光譜保真度,並且將 SE 延伸至 DUV 波長(減至

150nm)。合併起來,這兩個因素就很重要,因為延伸

至 DUV 波長能夠從薄電介質薄膜擷取更多資訊,因此能

夠吸收更多波長,同時光譜保真度可提供更佳的解析度

並且將度量錯誤限制減至最低,有助於滿足日益嚴格的

需求。

光譜保真度的品質可藉由評估薄氧化物薄膜中的光譜錯

誤(測量光譜以及理論光譜之間的差異)輕易判定。

至於使用 KLA-Tencor 系統的範例,請檢視兩代產生 SE

系統的光譜品質(圖 2)。錯誤會以相同的比例繪製在

度量

0.02

0.01

0.00

-0.01

-0.02

-0.04

-0.03

300 400 500 600 700

0.03

0.02

0.01

0.00

-0.01

-0.02300 400 500 600 700

SEα

SEα

SEβ

SEβ

0.03

0.02

0.01

0.00

-0.01

-0.02300 400 500 600 700

0.02

0.00

-0.02

-0.04

ASET-F5x SpectraFx

Error-SE1

Error-SE2

Error-SE3

Error-SE4

Error-SE5

Residual spectral errors

300 400 500 600 700

圖 2:在所有波長上的殘餘光譜錯誤接近於零,而殘餘錯誤的特性可以在新一代的 SE 系統中重複。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 21: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

圖形組中。較新 SE 系統中在所有波長上的殘餘錯誤會

相當小,同時接近於零。在這些生產工具上的錯誤量被

認為能夠和使用類似測試的搜尋等級系統中的錯誤量相

比。同樣重要地,在最新 SE 工具剩餘小型殘餘錯誤的

「特徵」從某系統到另一個系統實際上是相同的。從光

譜的觀點來看,測量硬體在本質上是符合的。高光譜保

真度以及系統至系統的光譜比對,是符合最具挑戰性的

薄膜應用上的極端緊縮需求的關鍵因素。

任何有關薄閘極電介質薄膜的光學監控的討論都必須要

解決氣態分子污染 (AMC) 的問題。有興趣了解更多細節

事項的人可以查詢更詳細的討論。6 使用橢圓偏振技術

以及脫附器解決 AMC 的可實行生產性驗證解決方案已

經配製以監控薄 SiON 閘極電介質中的厚度及氮氣濃縮

儀 (%N)。此解決方案已不斷展現出測量的 SE 參數以及

寬 DoE 上的 %N 基線資料之間的良好關聯。此類型的

光學解決方案目前已經在全球的數間晶圓廠中成功地實

施。7

High-k 閘極光學度量

有資格的材質大部份是 Hf 基礎的氧化物或矽酸鹽,並

且包括 HfO2、HfSiO

x 和 HfSiO

xN

y。擁有這些材質,一般

將會有約 5–10Å 厚度的介面層介於 20-40Å high-k 電介

質和矽之間。夾層中有比大量 high-k 材質為低的電介質

常數。製程控制體系一般都會依賴大量 high k 電介質的

厚度與成分監控,再結合 high-k 電介質與矽之間的介面

電子監控。這些 high-k 材質的光學屬性會因為下列成分

而有系統上的差異。在低波長中(特別是減至 150 nm 的

DUV),會因為吸收的增加而產生材質的靈敏度增加。

使用此資訊,同時利用最近在硬體、演算法和應用度量

方面的進展,SE 能夠同時監控兩個成分參數。

圖 3 顯示開發晶圓廠 high-k 薄膜中的光學成分測量的範

例。圖 3a 顯示 HfSiOx DoE 之間的結果。在此例中,SE

是用於對應與輸出 %SiO2(HfSiO

x 薄膜中)。範圍廣泛

的成分,將近有 50% 的 SiO2 變化在 HfSiO

x 中,是多重

晶圓的 DoE 上的範例。X 射線光電子能譜 (XPS) 是用作

參考技術。在使用 XPS 和 SE 的 DoE 中,有 21 個量測點

跨每個晶圓(從中心到邊緣)執行測量。減少至 150 nm

的 DUV 波長是用於加強光學模型。結果顯示成分的 SE

輸出、跨 DoE 的 XPS 基線和 DoE 中的每個晶圓內之間

有密切的關聯。在 HfSiOxN

y 薄膜(圖 3b)中,最近發展

的演算模式是用於同時計算薄膜中 %SiO2 和 %N。隨著

HfSiOx 薄膜的使用,會在 DoE 中的每個晶圓上執行 21 個

量測點測量,以驗證在 DoE 中寬廣的成分範圍間,追蹤

每個晶圓內的組成變化的能力。此外,在 DoE 的範例中

顯示在廣泛的成分範圍之間與基線有良好的關聯。

監控雙層結構

在擁有 high-k 材質之後,矽鍺的光學屬性會產生系統變

化,並且增加鍺濃縮的程度:在高摻雜濃度時硼 (B) 的

存在對於光學屬性有間接的影響。使用 DoE 與相對常數

硼濃縮(有部份變化)和鍺濃度蟹的系統變化,SE 基礎

光學解決方案已經設定使用相同的配方測量單層矽鍺:硼

(SiGe:B) 和雙層矽蓋/矽鍺:硼/矽結構。矽鍺:硼 (SiGe:

度量%

SiO

2 (S

E)

%SiO2 in HfSiOx

%SiO2 (XPS)

a)

50%SiO2

y = 0.9881x + 1.0923R2 = 0.9954

y = 0.8012x + 11.828R2 = 0.9096

y = 1.1088x + 1.0751R2 = 0.9676

b)

%N

(SE)

%N

%N (XPS)

8%N

%Si

O2

(SE)

%SiO2

%SiO2 (XPS)

25%SiO2

SE vs XPS

圖 3:(a) 使用 SE 追蹤 HfSiOx 薄膜中的成分;(b) 使用 SE 同時確定 HfSiON 薄膜中的兩種成分。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 22: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

B) 和矽蓋 (Si-cap) 層的厚度會與矽鍺:硼 (SiGe:B) 層中的

鍺濃縮一起測量。在這裡,X 光繞射 (XRD) 和二次離子

質譜術 (SIMS) 是用作基線技術。如同早先描述的其他應

用,在鍺濃縮及基線技術的光學測量之間已達成絕佳的

關聯。

在生產環境中同時追蹤多重參數的能力可以從圖 4 中的

結果看出。四晶圓 DoE 的繪製結果大略上與矽鍺:硼和

矽蓋的厚度類似,但是有不同的鍺濃度。會使用標準九

量測點的 Prometrix 圖樣從晶圓的中心至邊緣進行測量。

矽鍺:硼層的標稱厚度超過 1000Å,並包含一個薄矽蓋層。

在九量測點的圖樣內,反應裝置的特徵會在矽鍺:硼和矽

蓋厚度中重現,而有不同的鍺濃度。生產環境中三個工

具的資料也顯示不同參數的結果將可完全符合。此類的

工具對工具的匹配是可能的,原因在先前的光譜保真度

有說明。

超薄 ONO 薄膜堆疊度量

薄氧/氮/氧化物 (ONO) 薄膜堆疊是用於 DRAM 和快閃

記憶體堆疊。在 90 nm 節點中,浮置閘極 Flash 的氮化物

厚度目標大約是 50Å(在 65 nm 中可能低至 30Å)。由

於頂端和底部層之間有極高的關聯需求,因此這是具有

挑戰性的測量。關聯的程度是由分隔兩個氧化物的氮化

物層的厚度所驅動,因為當氮化物變薄時,關聯會明顯

地增加。由於氮化物薄膜已經在較短的波長中增加了吸

收的特性,因此使用較短波長會增加頂端和底部氧化物

的對比。為了能夠進行這些測量,必須擴大 SE 技術以減

至 DUV 波長(190 nm)-氮化物在 50Å 的 ONO;以及減

至 VUV(150 nm)- 氮化物減至 30Å 的 ONO。

190SE 和 150SE 系統能夠精確地追蹤已經監控的製程變

更。兩個系統都能準確地追蹤氮化物厚度。190SE 系統顯

示頂端和底部氧化物厚度降至 50Å 的氮化物厚度時的平

坦回應,但是當氮化物厚度偏低時,便會開始顯示氧化

物之間的偏差與關聯。在另一方面,150SE 系統顯示整

個 DoE 的頂端和底部氧化物厚度(依據設計)。因此對

於氮化物厚度低於 50Å 的薄 ONO 堆疊,建議使用 150SE

功能來監控製程。

多層、多參數測量

表 1(下一頁)顯示使用擁有高光譜保真度和健全演算

法的進階系統完成測量的類型範例。在一個六層、low-k

BEOL 薄膜堆疊的測量中,會執行七個晶圓的 DoE ,以

評估使用單一配方正確預測進行變更的測量穩固性。十

六個參數同時進行測量:在所有層級(頂端氧化物層

除外)的厚度、n 和 k 中,只有厚度會進行測量。在頂

端氧化物層的折射率並不會測量,因為通常折射率可以

妥善地控制。您可以看見在單一的配方中,在此七晶圓

DoE 中同時進行的變更可能正確地預測到。不同色彩的

圓圈列舉了缺少的層級、雙沉積層、半沉積層和擁有厚

度隨機變化的層級。

從監控晶圓至產品晶圓的度量移轉正透過 300mm 晶圓

的推出而加快速度。在監控晶圓中,比較容易保留度量

的簡化並且監控個別的薄膜或製程。產品晶圓需要新增

的需求以監控多層堆疊中相同的薄膜和製程。個別薄膜

及製程的度量需求並未變更,雖然由於更多的參數必須

在薄膜堆疊中同時測量,而使得測量更加複雜。光譜保

度量

Si-c

ap t

hick

ness

(Å)

%Ge in the SiGe:B layer in Si-cap/SiGe:B/Si

Multiple parameter tracking

%G

e

Si-cap thickness in Si-cap/SiGe:B/Si

Tool A

Tool B

Tool C

Tool A

Tool B

Tool C

Tool A

Tool B

Tool C

SiGe:B thickness in Si-cap/SiGe:B/Si

SiG

e:B

thic

knes

s (Å

)

Nom + 40Å

Nom

Nom - 40Å

Nom + 150Å

Nom

Nom - 150Å

10%range

wfr1

wfr2

wfr3

wfr4

wfr2wfr3 wfr4

wfr1 wfr2 wfr3 wfr4

wfr1

圖 4:使用 SE 同步測量矽蓋 (Si-cap) 的厚度以及矽鍺:硼 (SiGe:B) 層的厚度及成分(跨鍺濃縮 DoE)。在生產環境中良好的工具對工具匹

配尤其重要。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 23: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

真度和工具對工具的光譜匹配在多層薄膜中變得更加重

要。在上述六層堆疊中多重參數的測量範例中,列舉了

此功能的進展。必須注意的是,在典型的生產環境中,

一位使用者不可以同時測量過多的參數。

SE 的未來展望

SE 持續成為今日晶圓廠在薄膜的生產監控方面的精選

技術。光譜保真度的持續進展、SE 延伸至較低波長,以

及在硬體、演算法和應用功能方面的改善,使得 SE 技

術的使用能夠記述額外的參數(例如極薄到較厚薄膜的

成分),潛在地滿足 65 和 45 nm 節點日益複雜的度量需

求。目前正在採用 SE 基礎的光學薄膜度量解決方案,

以監控與氮化氧和矽鍺:硼 (SiGe:B)相關的數個複雜製

程,以及 high-k 材質的開發。最近技術在多項前端的進

展也促使加速移轉至整個晶圓廠的產品晶圓度量和多重

參數,以及多層測量。有了這些持續性的進展,SE 基礎

的薄膜度量可以持續成為 45 nm 及以上的生產度量的重

負荷技術。

致謝

作者感謝參與數個度量主題詳細技術討論以及協助作者

取得許多圖片使用權的幾位同事。他們包括 KLA-Tencor

公司的 Arun Chatterjee、Torsten Kaack、Zhengquan Tan、

Sungchul Yoo 和 Shankar Krishnan;以及 ST Microelectronics

的 Simona Spadoni、Rosella Piage 和 Davide Lodi。

備註:本文章最初於 2006 年 12 月的 Semiconductor International 雜誌發表。

參考資料

1. International Technology Roadmap for Semiconductors, http://www.itrs.net.

2. Y.-C Yeo, Q. Lu, T.-J King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro and J. Sakai, Proc of the International Electron Devices Meeting (IEDM), p. 753, 2000.

3. H. van Meer and Kristin De Meyer, 2002 Symp. on VLSI Technology, Digest of Technical Papers, p. 170 2002.

4. H.S.P. Wong, IBM Journal of Research and Development, V46, N2/3, 2002.

5. David Lammers, EE Times, 4/4/2005. http://www.eetimes.com/showArticle.jhtml?articleID=160401538.

6. Arun R. Srivatsa, Yield Management Solutions,2005 年冬季刊,第 22 頁

7. Sungchul Yoo, Zhiming Jiang, Eric Wang and Zhengquan Tan, YMS Seminar, Semicon West, San Francisco,2006 年 6 月

度量

MeanRI @ 633 nm

MeanThickness

MeanRI @ 633 nm

MeanThickness

MeanRI @ 633 nm

MeanRI @ 633 nm

MeanRI @ 633 nm

MeanThickness

MeanThickness

SiC

(2)

Low

-KSi

C(1

)Lo

w-K

SiN

MeanThicknessOx

Thickness Mean

Wafer 3

1.4042

526.7

2.0443

613.6

1.7370

1.3805

1.8472

2513.1

419.6

9.9

2491.6

Wafer 4

1.3907

526.2

2.0361

647.2

1.7075

1.3662

1.8368

2575.5

789.4

1007.5

2551.3

Wafer 5

1.3925

515.6

2.0572

617.0

1.7193

1.3785

1.8418

1238.1

412.9

1019.9

2501.1

Wafer 6

1.4069

521.3

2.0526

593.9

1.7210

1.3713

1.8441

4939.2

418.4

1045.4

2468.0

Wafer 7

1.4153

559.3

2.0594

649.4

1.7095

1.3647

1.8394

2561.6

363.3

1001.3

56.4

Wafer 1

1.3943

524.0

2.0350

653.4

1.7161

1.3807

1.8548

2568.9

423.4

650.6

1266.1

Wafer 2

1.3923

529.2

2.0317

577.3

1.7224

1.3640

1.8384

2640.4

414.8

1048.5

4921.4

Seven-wafer DoE of six-layer low-k stack

表 1:分布七晶圓 DoE 上的六層 low-k 堆疊的測量穩固性是隨機採用缺少的層級、雙沉積層、半沉積層和其他薄膜疊中的變化進行測試。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 24: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

度量和檢測步驟通常會需要佔用約 5% 的晶圓廠總週期

時間,但是他們在改良良率方面所提供的數值一般而言

都大於它們給予製程的週期時間成本。然而,週期時間

管理計畫如果要能夠成功,整個晶圓廠必須採取一致的

行動,付出同等的關注以減少晶圓廠中每個工具組的週

期時間。在近年中,晶圓廠中一直有發揮系統最大使用

率以及將週期時間減至最低的趨勢,前者可減少每個晶

圓的成本,而後者則可以增加收益與利潤。這兩個目標

彼此並不一致,因為減少使用率可以減少週期時間,但

是也會降低生產力。最佳的操作點便是在這兩者之間達

成平衡。

減少的循環時間 (CT) 有許多好處;主要的好處之一

是縮短上市的時間。幾乎所有半導體產品(DRAM、

Flash、Logic 等)的價格都會隨著時間快速下跌-一般是從

產品第一次發表之後每年下降 50% 到 80%。較短的週

期時間可確保從產品進入製程階段到產品上市為止,價

格下降的速度能夠減緩,因而贏得更高的平均售價。其

他的好處包含較短的學習循環 (COL) 和減少進行中的工

作 (WIP)。在研發過程中,較短的學習循環也等於較短

的開發時間,以及在轉移至生產時更快速的提昇良率。

WIP 和 CT 之間的關係可透過稱為 Little’s Law 的公式表

達:1

WIP = (CT) x (開始率)

從上面的方程式可以看出,在給定的開始率中,WIP 將

會與 CT 成直線地減少。此開始率的優點是在任何給定

時間內批次的數量將會較少,因此能夠降低成本費用、

任何所需製程變更使用較少的批次,並且可減少在可能

發生的任何良率偏差期間有風險的批次數目。維持較少

的 WIP 也意味當市場衰退時,庫存的半成品數量也較

少。Clayton Christensen2 對於週期時間做出極具價值的

評論,他表示:

「開發的時間多延長一天,讓步進器或製程符合標準條

件,就好像為工廠製程的每個晶圓付出 3.44 美元。此

外,如果為了達到成熟的晶圓良率而需要多花一天的時

間,就好像為所製造的每個晶圓付出 1.35 美元,或者如

果週期時間需要多一天的時間,就好比為每個晶圓支付

3.04 美元。」

透過此報價,我們可以感覺到 CT 的價值所在,每天 CT

減少每年大約可節省 1 百萬美元(30,000 WSPM x 12 個

月 x 每晶圓 3.04 = 每年 110 萬美元)。以數學上來說,

CT 等於佇列時間(花費在等候處理批次的時間)加上處

理時間(花費在工具上的時間)。處理時間是簡單的計

算,但是佇列時間 (QT) 是三個獨立函數的產物。3

QT = {ƒ(變化性)} {ƒ(利用率)} {ƒ(可用性)}

上面的方程式並沒有單一正確的版本;它產生的是數種

不同複雜程度的典型,視使用者需要包括的詳細資料程

度而定。然而,原本所有 QT 的數學運算式有以下四個

共同的特徵:

1) 沒有變化性的系統則沒有佇列時間:當 ƒ(變化

性)=0,QT=0 時

2) ƒ(使用率) 與 1/(1-使用率) 成比例:CT 以指數方

式隨使用率增加而增加。

3) ƒ(使用率) 同樣與 1/(工具數) 成比例:CT 隨著

工具的增多而減少。

晶圓廠經濟情況

減少週期時間有許多好處Doug Sutherland – KLA-Tencor Corporation

由於消費性電子產品逐漸帶動晶圓廠的經濟,晶圓廠內晶圓的週期時間大部份決定半導體產品的上市時間。減少的

週期時間以及增加的運作效率可提供更高的可用性,並且加速晶圓廠環境中的上市時間。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 25: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

4) ƒ(可用性) 與 1/(可用性) 成比例:CT 以指數方

式隨可用性(開啟時間)增加而減少。

低使用率和高可用性本身並無法確保 CT 能夠降低;只

有藉由去除變化性的所有來源,才可保證 QT 將會消

失。以數學上而言,變化性的測量方式是系統的標準誤

差除以其平均值。在晶圓廠中,變化性有以下三個主要

來源:

1) 批次到達率的變化性

2) 批次處理時間的變化性

3) 工具停機時間的變化性

圖 1 顯示的是操作特性曲線(CT 與使用率的繪圖) 在

相同的工具集中有一到五個工具承擔的單位變化性和

100% 可用性。此處的凸出點從一個工具變成兩個工具,

並沒有超過容量的兩倍。在相同的 CT 中使用一個有 60%

使用率的工具,您可以執行兩個工具,同時有將近 80%

的使用率。您不僅擁有兩倍的工具,同時每個工具處理

的晶圓也多出 30% — 改善幅度達到 260%。擁有 n+1 個

工具的影響比較不如擁有大型工具集,但是適用於相同

的原則,而且這是大型晶圓廠所享有的規模經濟的基礎

之一。大型晶圓廠一般都有低 CT 和低成本/晶圓比,

原因是他們能夠以高使用率的方式執行工具,而不需要

攀升至操作特性曲線中最陡峭的部份。

除了工具的數目以外,對於 CT 的其他首要影響是相關

的變化、可用性和使用率。如果我們以人工方式將可

用性與使用率分別設定為 95% 和 85%,我們將會在週

期時間中看見一些有趣而預期外的趨勢。以給定的可

用性為例,CT 實際上會隨著增加的 MTBI 增加。也就

是說,最好能夠將相同的停機時間分成許多簡短的事件

(低 MTBI 和低 MTTR),而非幾個長事件(高 MTBI

和高 MTTR),如圖 2 所示。這並不是與工具設計有

關的問題,因為我們通常會假設較高的 MTBI(較少的

系統關機事件)等於較高可用性。然而,從服務的觀點

來看,我們經常採納實用主義的哲學「如果我們解決問

題 A,我們最好同時調整 B、C 和 D。」這個立意良好

的方法雖然增加了工具 MTBI 和 MTTR,但是實質上並

未改善可用性(也就是總計維修時間維持不變),因此

也增加了 CT。在減少客戶的 CT 方面,我們最好的打算

經常是反直覺性的(同時是反生產力的)。

另一個有趣的情況是一致的情況,因為有專屬(或「黃

金」)的工具對於 CT 而言是最糟糕的事情之一。圖 3

顯示在有五個符合的明視野檢測工具檢測製程中的五

個層級,對照 4 個符合的工具檢測四個層級和一個專屬

(黃金)工具檢測一個層級(為了簡化的緣故,此

處假設 100% 取樣)時,對於週期時間的影響。不要讓

晶圓廠經濟情況

0

1

2

3

4

5

6

0% 20% 40% 60% 80% 100%

Utilization

Cyc

le T

ime

( x

Pro

cess

Tim

e)

1 Tool2 Tools3 Tools4 Tools5 Tools

圖 1:在有 1 到 5 個工具的工具集中的週期時間與使用率的對照。週

期時間單位是工具處理時間的倍數。在每個工具集中擁有多個工具的

大型晶圓廠擁有優勢,因為他們能夠以更高的使用率運作,對於週期

時間並沒有過多的影響。

0.0

1.0

2.0

3.0

4.0

50% 60% 70% 80% 90% 100%

Utilization

Cyc

le T

ime

(Day

s)

1000 Hrs MTBI

100 Hrs MTBI

圖 2:有相同可用性 (95%) 但是不同 MTBI(和 MTTR)的兩個工具集

的週期時間與使用率的對照。從週期時間的觀點來看,在相同的可用

性中最好能夠有許多短的停機事件(MTBI = 100 小時)而不是相對

較少的長停機時間(MTBI = 1000 小時)。此範例中的差異是 1 天及 85% 的使用率。

大型晶圓廠一般都有低 CT 和低

成本/晶圓比,原因是他們能夠

以高使用率的方式執行其工具,

而不需要攀升至操作特性曲線中

最陡峭的部份。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 26: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

四個層級的所有經歷的週期時間由五個工具的操作曲線

所代表(參見圖 1),您讓四個層級的週期時間由四個工

具的曲線代表,而讓一個層級的週期時間由只包含一個

工具的工具集曲線代表。在此情況中,不匹配工具的淨

效應是使得該工具集的總週期時間增為兩倍(圖 3)。

晶圓廠減輕以上影響的方法是在每當黃金工具無法使用

時,將這些工具工具視為匹配(也就是說,不保留批次

以等待在其他工具之一中執行的黃金工具),但是這樣

將會得知增加的測試風險成本。

服務契約為週期時間管理提供三倍的優點。第一(也是

最重要的)是它們能夠增加工具的可用性。接下來,它

具有自動減少使用率的新增優點(使用率等於生產時間

除以可用時間)。最後,服務契約可以明顯地減少停機

時間的變化性,對於週期時間有明顯的貢獻。圖 4 顯

示以下兩種不同情況下,六個明視野檢測工具的操作曲

線:一種情況是其可靠的特性是可請款工具的典型;另

一種情況是根據服務契約已經涵蓋的六種工具。更快的

回應時間(停工期間減少,可用性增加)以及停工期間

的變化性減少,可減少約 1.9 天的週期時間。另一個關

鍵因素為,由於使用率等於生產時間除以可用時間,因

此工具集運作的使用率可達 85%,而根據服務契約,可

以在約 82% 使用率下執行可請款費用。

隨著 IC 產業受到消費性電子產品帶動的影響日趨增加,

週期時間(或者也等於上市時間)對於晶圓廠而言將更

為重要,因為他們必須努力在最正確的時間生產出數量

最正確的產品。成為為預期客戶提供設計範例的第一個

IC 製造商,所產生的產品開發績效不是可帶來龐大的業

務商機,就是可能使業務中斷。同樣地,當市場價格進

入衰退期或是當消費者只是想要使用「下一個新事物」

時,能夠掌握價值數億的進行中工作,就可決定公司年

度是以盈餘或是虧損作收。雖然對於週期時間重要性並

不是很大的 IC 業者而言,或許仍然有(以後也將持續

有)利基存在,但是在可預見的未來中,一般的趨勢仍

然是減少週期時間以及增加營運效率。因此,產品與服

務將可獲得更多重點,提供更高的可用性並減少晶圓廠

環境中的變化性。

參考資料

1. W.J. Hopp and M.L. Spearman, McGraw-Hill, “Factory Physics”,

2001 年第 223 頁

2. Clayton Christensen, “Solid State Technology”,2001 年 8 月

3. W.J. Hopp and M.L. Spearman, McGraw-Hill, “Factory Physics”,

2001 年第 325 頁

晶圓廠經濟情況

0.0

1.0

2.0

3.0

4.0

50% 60% 70% 80% 90% 100%

Utilization

Cyc

le T

ime

(Day

s)

Billable

Contract

圖 4:將六個明視野工具從可請款轉換成服務契約對於週期時間的影

響。可用性的增加可藉由讓契約中的工具將操作曲線平坦化達成,同

時也有助於降低使用率(使用率等於生產時間除以可用時間)。如果

可請款工具達到 85% 的使用率,服務契約可以減少週期時間達 1.9 天。

0.0

1.0

2.0

3.0

4.0

50% 60% 70% 80% 90% 100%

Utilization

Cyc

le T

ime

(Day

s)

1 Golden & 4 Matched

5 Matched Tools

圖 3:將層級提供給特定的「黃金工具」會產生「單一工具」環境(請

參見圖 1),並且會大幅增加在較高使用率時會加重的週期時間。在此

情況中,週期時間幾乎是不匹配工具之結果的兩倍。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 27: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

TeraScanHR 是新的光罩檢測平台,比先前的 TeraScanTR

平台擁有更高的光學成像解析度,可更清楚地解析小型

特徵;更高的精確資料庫模型可在晶圓至資料庫檢測更

清楚地呈現小型的 OPC ;更高的快速成像處理可提供更

高的生產力,特別是在使用整合模式時(例如傳輸 + 反

映)。除了其 45nm 的能力以外,也可以為 65nm、90nm

和 130nm 節點設定 TeraScanHR 平台。

本文描述有關 TeraScanHR 平台的技術層面,並且介紹

測試系統視野測試的選擇結果(由日本的 Toppan Printing

公司和德國的 Advanced Mask Technology Center 進行測

試)。測試使用了可應用的設計缺陷測試光罩以測量缺

陷偵測的靈敏度,加上大批的產品及類似產品的光罩

(從 90nm 到 32nm 邏輯節點)以及可比較的記憶體節

點,在使用可用的像素大小 (72/90/125/150nm) 時評估靈

敏度和檢測能力。測試系統目前正用於進階生產。

光罩檢測開發

為了提供 45nm 節點進階的生產需求以及 32nm 節點的

開發需求,TeraScanHR 平台提供了更高的效能以及全新

的功能。您可以將此平台設定為各種不同的型態,用於

符合成本效益的檢測光罩(從 130nm 節點到 32nm 節

點)。利用這種方式,光罩製造商或晶圓廠可以只購買

當時所需要的功能,等到未來需要更多功能時再行升級

即可。典型的 TeraScanHR 系統已經顯示在圖 1 中(請

注意,三個電氣控制箱可能需要放置較遠的位置)。

新系統的成像技術使用的光罩解析度成像明顯要比晶圓

顯影系統要高,如此就能夠在主要結構以及子解析度結

構中進行直接檢測;其單一波長可從各種顯影波長中

提供良好效能的檢測光罩。TeraScanHR 可處理典型的二

位元 (COG)、6% EPSM(包括簡單的 tri-tone)和暗視野

替換的 PSM 光罩。系統支援傳輸及反映的光線檢測模

式,可輕易地整合式單一檢測中。

使用新的 72nm 像素,系統可啟用 32nm 邏輯光罩以及

大約 45nm 的半間距 (half-pitch) 記憶體光罩的開發。其他

的功能延伸為開發更主動的 RET(例如 Mask Enhancer、

Ccomplex tri-tone 和 Chromeless)。在 65nm 邏輯節點

(最多至 130nm 節點)中可使用擁有更快掃描時間的較

大像素。

光罩

45 奈米的視野結果 晶圓對資料庫的光罩檢測William Broadbent、Ichiro Yokoyama、Paul Yu、Heiko Schmalfuss、Jean-Paul Sier – KLA-Tencor CorporationRyohei Nomura、Kazunori Seki – Toppan Printing Co., LtdJan Heumann – Advanced Mask Technology Center GmbH & Co

在 Toppan 和 AMTC 進行的 TeraScanHR 系統測試展現出高靈敏度、低錯誤偵測以及高掃描速度。系統的高 NA 光

學、新自動對焦、小像素尺寸以及改良的提供與建模演算法,產生在小型線寬、小型缺陷和主動 OPC 的檢測功能方

面的明顯改善。反映光線檢測與傳輸光線的整合可用於某些沒有額外掃描時間的模式,提供最佳的缺陷偵測以及並

產生最高的品質光罩。

圖 1:新的 TeraScanHR 系統可允許 45 奈米世代的光罩檢測。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 28: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

影像採集

影像採集子系統顯示於圖 2。高解析度顯微鏡和線性感

應器架構會和傳輸及反映照明路徑一起使用。

光源為 257nm 波長連續波 (CW) 雷射(大於 5,500 小時

的使用壽命)。作用中的光束指向子系統可補償光束飄

移。傳輸照明器有數個不同的組態,可由使用者自行選

擇。目前已實施兩種照明器組態:COG 和 EPSM 光罩的

標準對比,以及石英蝕刻光罩的相位對比(例如交替的

Mask Enhancer、Chromeless 等)。相位對比模式提供改

良的成像對照石英相位缺陷(凸塊和斷片),允許更高

的缺陷靈敏度。

自訂設計的目標透過變焦鏡頭將光罩表面反映至成像感

應器。使用者在執行階段可以選擇不同像素大小的變焦

鏡頭;在需要較低的靈敏度檢測時可提供較快的掃描時

間 — 根據機型可以選擇四種像素大小(72、90、125 和

150nm)。可使用時域微積分 (TDI) 感應器完成影像的

收集,此感應器可提供高速的連續影像收集,所需要的

光源等級遠比傳統的 CCD 線性感應器要低。

在反映的光檢測中,系統會將單一的成像感應器與切換

裝置一併使用,以便在傳輸與反映照明中進行選取。如

此可允許使用輸與反映照明的整合檢測(整合的 T+R 模

式)。由於每個照明模式在不同缺陷類別以及不同幾何

類型中擁有最佳效能,因此整合的 T+R 模式可提供最高

的品質檢測。

為了達成所需的效能等級,新系統提供了更高的 NA 功

能以解析較小的線條、OPC 和缺陷(大約比先前的 90nm

像素 TeraScanTR 平台高出 1.2 倍的 NA)。較高的 NA

支援新的 72nm 像素。新的自動聚焦子系統可提供較高

NA 光學所需的精度,因為其擁有較低的焦點深度;進

階的預對應技術可改善維持適當焦點的能力,特別是

在檢測擁有重要拓樸的光罩時(例如石英蝕刻類型)。

影像處理

TeraScanHR 影像處理子系統擁有 Tera Image 超級電腦

的功能,可利用完全可程式化及可縮放的多處理器架構

(使用高速處理器)。

基本偵測方法是使用相稱的參考影像覆蓋測試影像,並且

找出預先選取大小的差異;由於影像基本上應該相符,因

此任何差異都會缺陷的結果。在晶圓對晶圓的檢測中,比

較的測試與參考影像是來自相鄰的晶圓;在晶圓對資料

庫的檢測中,參考影像是從設計或寫入資料庫重建。在

STARlight 檢測中,傳輸的光線影像會與反映的光線影像

進行比較 — 任何差異都是污染類型缺陷的結果。

新的影像電腦使用速度較快的處理器,與先前的影像電

腦相比,處理器數目是 2 倍。其他的處理能力可改善處

理密集模式的掃描時間;此功能也可以使用最低的檢測

速度同時處理多重模式。舉例來說,您可以同時處理傳

輸光線檢測和反映光線檢測而不會減慢檢測站的速度;

這使得 T+R 檢測比先前的 TeraScanTR 系統更符合成本

效益。

晶圓對資料庫檢測的其他處理區塊從光罩設計或寫入資

料庫即時重建資料庫影像。複雜的模型演算可確保資料

庫影像與光學影像完全符合,因為任何錯誤都會減少缺

陷偵測的靈敏度。新的晶圓對資料庫缺陷偵測演算法

UHR 提供更為準確的模式,與先前的演算法相比,在傳

輸與反映光線中對於小型 OPC 結構有更準確結果。將參

考影像減去測試影像即可得出差異的影像。由於測試與

參考影像應該完全符合,因此差異影像應該有一致的灰

色背景 (除非有缺陷產生)。

測試結果

在日本的 Toppan Printing 和德國的 Advanced Mask Technology

Center (AMTC) 進行四個月的現場測試與調整提供系統效

能的廣泛驗證。每個 beta 測試現場使用 K-T 的標準設計

缺陷測試光罩,以及每個現場的獨特測試光罩驗證靈敏

度與錯誤偵測的效能。客戶的測試光罩包含的幾何圖樣

光罩

TDI Sensor

Condenser

Photomask

Objective

ReflectedIllumination

TransmittedIllumination

DUVLaser Source

Reflected Image

Transmitted Image

圖 2:高解析度傳輸及反射影像顯示次解析度清晰襯線已完全反映及

清楚可見。特大的清晰襯線缺陷會出現並且可以在傳輸及反射影像上

看見,而暗材料上的微粒也會出現,但是在反射的明影像中(暗點)

看不見。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 29: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

通常為 32nm、45nm 和 65nm 邏輯節點,以及 5xnm 半間

距記憶體節點。這些光罩以最大靈敏度設定以及各種生

產設定進行測試。

適當製作的檢測器設定也是藉由使用各種產品及類似產

品的光罩(從 45nm、65nm 和 90nm 邏輯節點和 4xhp、

5xhp 和 7xhp 記憶體節點)所決定。這些光罩是用於 ArF

顯影,並且包括 6% EPSM 的主要關鍵層,以及部份暗視

野替換的 PSM 和 EUV 光罩。測試展現絕佳的進階產品

光罩(含主動 OPC)的全區檢測,顯示高靈敏度及低錯

誤偵測。

新影像採集的改善成像

新系統改良的光學成像一致性可以在小型結構中(例如

SRAF)看出。圖 3 顯示先前系統(左側)及新 TeraScanHR

(右側)之間 SRAF 不同的影像雜訊的比較。這些差異影

像是來自相同的 65nm 節點光罩(使用 90nm 像素)以及先

前的 UCF 晶圓對資料庫演算法。

低雜訊及改良的成像一致性是新的自動對焦子系統、低

像差光學和低振動階段的結果。在此範例中,在差異影

像中仍然有一些雜訊,其形成的原因是舊的 UCF 演算法

及其有限的小型結構的建模能力。新的 UHR 演算法包括

高精度的建模,可產生低雜訊差異影像和低錯誤偵測率。

高解析度與改善的資料庫模型

新系統的高 NA 光學允許使用新的 72nm 像素,能夠解

析小型的 OPC 結構、小型線條與空白以及小型缺陷。圖

4a 將小型的暗延伸成像缺陷與先前的 90nm 像素(左側

圖片)和新的 72nm 像素(右側圖片)。72nm 像素有大

約高出 40% 的調整(注意在差異影像中的尺寸較中及訊

號較暗)。此外,72nm 像素包括新的晶圓對資料庫演

算法的 UHR 系列,它可提供較高的準確模型,在差異

影像中產生較低的雜訊,因此產生較低的錯誤偵測率。

圖 4b 顯示 45nm 邏輯閘極層中的差異影像,包含由新的

72nm 像素成像的主動 OPC 以及新的 UHR 演算法建模的

資料庫。差異影像在此小型幾何中顯示極低的雜訊,將

產生低錯誤偵測及高檢測器設定。

72nm 像素的晶圓對資料庫靈敏度

圖 5(下一頁)顯示使用 KLA-Tencor Spica-200-193 設計

的缺陷測試光罩在晶圓對資料庫模式中的典型靈敏度效

能。此測試光罩是標準的 6% EPSM(193 顯影)並且包

括多重線寬中典型的半線路設計缺陷測試區段,最小存

光罩

45nm Logic

Poly Layer

6% Tri-tone

Aggressive OPC

72nm pixel

Database Transmitted

High detector settings

UHR Algorithm

Excellent matching of optical image and database model

Difference Image

圖 4b:新的 72nm 像素提供較高的解析度,加上新的資料庫建模,可

在此小型幾何中顯示極低的雜訊、低錯誤偵測以及高檢測器設定。

Small lines & dark SRAF

Same pixel 90nm

Same algo UCF (old)

Prior Image Acquisition New Image Acquisition

Difference Image Difference Image

Improved imaging

Real defect

Optical & database matching errorsFalse detection

Better opticsNew autofocusImproved stage

Imaging limitations

圖 3:先前影像採集以及新影像採集之間小型 SRAF 的成像比較。

Transmitted light Spica-200–193260nm dark line

Dark extentiondefect ~ 30nm

~ 40% more modulation than 90nm pixel

1.25x Mag

72nm Pixel90nm Pixel

圖 4a:90nm 像素與 72nm 像素之間小型暗擴充缺陷的成像比較。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 30: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

在的 260nm 暗線路(已顯示)。此結果使用 72nm 像素

晶圓對資料庫和傳輸照明,同時標準高解析度檢測器設

定在最大靈敏度(HiRes1 和 HiRes2)。每個灰色方塊表

示 20 個連續檢測中的 100% 偵測。灰色方塊中,上面的

數字是缺陷大小,使用的是 SEM 影像中的 KLA-Tencor

最大內切圓 (MIC) 大小設定方法。下面的數字是偵測百

分比。在每欄中偵測 100% 的最小缺陷中,缺陷大小也

會以較大字型顯示在列印大小的下方,以便讓閱讀更為

容易。請注意,小針孔不容易製造,因此在此光罩的上

半部中並沒有出現任何小針孔(NP=無缺陷存在)。同

時,由於成像的效果,針孔的最佳偵測方式是使用反映

光線而非傳輸光線。

Toppan 45nm 製程級別

Toppan Printing 使用的測試光罩是以其最新的 45 nm 製程製

作。此製程顯示其在線性、圓角及解析度方面與先前製

程相比有明顯的改善。圖 6 顯示與先前的 65 nm 製程

相比,在線性方面的改善幅度達到 57%。

使用 Toppan 設計的缺陷測試光罩的缺陷偵測效能

Toppan Printing 設計出兩個缺陷測試光罩,目的是要測試

進階光罩檢測系統效能(「Carbonate」和「Cyclics」)。

Carbonate 光罩是線條/空白的設計,而 Cyclics 是孔的設

計(360nm 和 420nm)。Carbonate 測試光罩包括數個代表

性的圖樣,用於典型 45nm 節點的線條/空白關鍵層。這

些圖樣包含主動的 OPC 設計,在主要幾何與 OPC 結構的

上方或附近中,包括凸出、襯線和 SRAF 以及各種設計缺

陷。

圖 7(下一頁)顯示在使用最大檢測器以及在使用製造設

定時,Carbonate 測試光罩(顯示選取的缺陷)的 45nm 區

段,晶圓對資料庫和晶圓對晶圓傳輸光線模式中,72nm

像素的缺陷偵測效能。藉由檢測 50 種以上不同的圖樣及

光罩

90nm P65nm P45nm P

Target CD

∆ C

D

10

73%

57%

-10

-20

0

圖 6:Toppan 45 奈米製程達到 57% 的製程線性改善。

圖 5:使用 Spica-200-193 測試光罩的晶圓對資料庫傳輸 72nm 像素缺陷偵測靈敏度。

0.017µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.019µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.010µm

0.00%

0.010µm

0.00%

0.010µm

0.00%

0.010µm

0.00%

0.010µm

75.00%

0.010µm

95.00%

0.000µm

0.00%

0.000µm

0.00%

0.024µm

0.00%

0.015µm

0.00%

0.000µm

0.00%

0.018µm

0.00%

0.015µm

0.00%

0.015µm

0.00%

0.015µm

0.00%

0.015µm

5.00%

0.015µm

100.00%

0.015µm

100.00%

0.028µm

40.00%

0.000µm

0.00%

0.031µm

100.00%

0.022µm

0.00%

0.000µm

0.00%

0.030µm

0.00%

0.020µm

0.00%

0.020µm

0.00%

0.020µm

100.00%

0.020µm

100.00%

0.020µm

100.00%

0.020µm

100.00%

0.024µm

100.00%

0.000µm

0.00%

0.039µm

100.00%

0.031µm

100.00%

0.017µm

0.00%

0.030µm

0.00%

0.026µm

100.00%

0.026µm

90.00%

0.026µm

100.00%

0.026µm

100.00%

0.026µm

100.00%

0.026µm

100.00%

0.033µm

100.00%

0.000µm

0.00%

0.039µm

100.00%

0.031µm

100.00%

0.028µm

0.00%

0.033µm

0.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.034µm

100.00%

0.000µm

65.00%

0.046µm

100.00%

0.039µm

100.00%

0.032µm

5.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.055µm

100.00%

0.033µm

80.00%

0.047µm

100.00%

0.046µm

100.00%

0.039µm

45.00%

0.017µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.059µm

100.00%

0.044µm

100.00%

0.017µm

100.00%

0.017µm

100.00%

0.017µm

100.00%

0.047µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

邊緣位置

CD

外部角落

內部角落

水平延伸

對角延伸

31nm

31nm

36nm

26nm

31nm

20nm 20nm 24nm

15nm 15nm

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 31: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

選取提供低錯誤偵測的最嚴格設定,可決定製造設定。

請注意,製造設定提供實質上與最大設定相同的靈敏度

效能。同時也請注意,晶圓對資料庫效能與晶圓對晶圓

的效能非常接近,這表示兩者皆有非常良好的資料庫建

模及光罩一致性(一般來說,晶圓對晶圓擁有最高的效

能,因為許多系統和光罩的錯誤都是共同的)。

圖 8 顯示晶圓對晶圓和晶圓資料庫之間的靈敏度關聯,

以及最大傳輸和反映光線的最大靈敏度設定。此範例

使用 Toppan Cyclics 測試光罩,此光罩有使用孔幾何圖

樣的各種設計缺陷,包括不同大小的密度及隔離孔。

依此範例所示,一般關聯為:(1) 晶圓對晶圓的靈敏度

比晶圓對資料庫更高 (2) 一般而言,傳輸光線比反映光

線在暗缺陷的靈敏度要高,和 (3) 一般而言,反映光線

在清楚缺陷上的靈敏度要比傳輸光線高。以上數據對

於同時使用傳輸及反映光線時,如何達成最佳整體缺

陷偵測效能做出建議。綠色線條顯示 ITRS 需求。

圖 9(下一頁)顯示傳輸模式中特大 SRAF 缺陷的缺陷

影像及缺陷圖(Toppan Carbonate 測試光罩的 32nm 節點

區段);會啟用加強邊緣功能以便更容易地識別幾何。

差異影像中的低殘餘物質表示有非常良好的小型 SRAF 資

料庫建模。缺陷圖沒有雜訊也沒有錯誤偵測。

光罩

: p72 ddT Max Sense

: p72 dbT Max Sense

: p72 ddR Max Sense

: p72 dbR Max Sense

Dark Extension Clear Extension Pinhole

Big

Def

ect

size

Sm

all

圖 8:TeraScanHR 72nm 像素靈敏度效能 - 選取缺陷和 360nm 密射孔

的 Toppan 45nm 孔設計缺陷測試光罩 (Cyclics)。晶圓對晶圓以及晶圓

對資料庫效能顯示傳輸和反映光線。

— 50nm spec — 50nm spec — 50nm spec

: p72 ddT Max Sense

: p72 ddT Production Sense*

: p72 dbT Max Sense

: p72 dbT Production Sense*

— 25nm spec — 25nm spec — 50nm spec — 50nm spec — 25nm spec

Pindot Extension Pinhole Mis-Place Mis-Place Intrusion Intrusion CD

*More than 50 various patterns tested

圖 7:Toppan 45nm 線條 / 空白設計缺陷測試光罩 (Carbonate) 的選取缺陷的 72nm 像素靈敏度效能。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 32: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

使用晶圓對資料庫傳輸光線模式的進階產品光罩

一些產品與類似產品的光罩是用於測試大型區域的系統

錯誤偵測效能,以及決定在生產條件之下的「製造設

定」。進階生產45nm 節點中的關鍵層光罩是用於測試

72nm 像素,而 65nm 節點中目前的生產光罩是用於測試

90nm 像素(後者的資料未顯示);也會使用可比較的記

憶體光罩。晶圓對晶圓與晶圓對資料庫模式在傳輸與反

映照明中進行測試;使用標準 HiRes 檢測器,以及選擇

性的 Litho2 檢測器用於孔層。系統在所有三個 beta 測試

點上都展示了絕佳的測試能力,同時使用高檢測器設定

的低錯誤偵測(最高靈敏度)。

傳輸與反映照明模式 — 最高品質檢測

反映光線能力的測試顯示與清楚圖樣缺陷的傳輸光線

相比(例如針孔、清楚擴充、清楚橋接等),可獲得較

高的靈敏度。同樣地,在小型的清楚線條及清楚 SRAF

中,反映光線通常能夠比傳輸光線達成更高的靈敏

度。此外,反映光線通常可以比橢圓區域上方的缺陷

(例如微粒)或 EPSM 材質上的殘餘鉻有更高的靈敏

度。因此,在晶圓對晶圓或晶圓對資料庫模式中使用

傳輸和反映光線,以偵測圖樣缺陷和污染缺陷,可達

成最高品質的檢測。「整合模式」功能可允許兩個或

少個檢測模式整合至包含一項設定、一項掃描、一項

檢閱和一個報告的檢測。當傳輸和反映光線模式整合

之後(即已知的「T+R」),而且可用於晶圓對資料庫

和晶圓對晶圓模式。

系統的新影像電腦可減少數個檢測模式的掃描時間。在

相同的檢測中同時使用傳輸和反映光線檢測模式(已整

合)時,可大幅改善掃描時間。如圖 10 所示,在先前的

TeraScanTR 平台中,晶圓對晶圓或晶圓對資料庫 T+R 檢測

與傳輸或反映相比,需要大約兩倍的掃描時間,因為有

繁重的影像處理計算的需要。新的 TeraScanHR 可允許在

大部份的 T+R 模式中進行全速操作。這些「快速 T+R」

模式包括:(1) 晶圓對晶圓模式中的 72/90/125/150nm 像素

包含 COG、EPSM 和 tri-tone 光罩類型,而 (2) 晶圓對資料

庫模式的 90/125/150nm 像素包含 COG 和 EPSM 光罩類型

(非 tri-tone)。「快速 T+R」目前無法用於 72nm 像素晶

圓對資料庫模式中。在 COG、EPSM、tri-tone 和 altPSM 光

罩類型可使用標準的 T+R。

先前的 45nm 作用層(圖 11)也使用 72nm 像素晶圓對

資料庫模式以反映光線進行檢測,而非使用先前的傳輸

光線。圖 11 顯示以反映光線進行偵測的清楚擴充缺陷,

而不是使用傳輸光線檢測進行偵測。此額外缺陷已經使

用整合的 T+R 模式進行偵測,提供較高的品質結果。

光罩

Database Image Difference Image Optical Image Defect Map

圖 9:光學影像 72nm 像素晶圓對資料庫傳輸模式中的特大 SRAF。32nm 節點區段中的 Toppan 的 Carbonate 測試光罩 含 150nm 主要線條及 50nm SRAF。

Inspection Time (arbitrary units)

Current TeraScanTR

New TeraScanHR

Trans-only or Refl-only

Trans-only or Refl-only

Standard T+R

Fast T+R*

圖 10:TeraScanHR 掃描時間改善 T+R:新的系統可允許在大部份的 T+R 模式中進行全速操作。

Difference Reflected

Clear extension defect(detected in R not T)

圖 11:45nm 作用層 - 清楚擴充缺陷在晶圓對資料庫反映光線模式中進

行偵測,而不是在傳輸光線模式中。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 33: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

先前的 7xnm 半間距 DRAM 層(圖 12)也使用 72nm 像

素晶圓對資料庫模式以反映光線進行檢測,而非使用先

前的傳輸光線。圖 12 顯示橋接兩孔的缺陷 — 此缺陷是

以反映光線進行檢測,而不是在傳輸光線檢測中進行偵

測。藉由此額外缺陷已經使用整合的 T+R 模式進行偵

測,因此可提供較高的品質結果。

4xnm 半間距 DRAM 孔層是以晶圓對晶圓的整合 T+R

模式進行偵測,使用的是 HiRes 檢測器和 Litho2 檢測器

(Litho2 只在 T 中)。光罩是使用 AMTC 製造,同時是

標準的 ArF 6% EPSM 材質。檢測使用生產檢測器設定

並且產生低錯誤偵測。圖 13 顯示特大的清楚 SRAF 缺

陷偵測(上圖)以及特小的孔(下圖)。

結論

TeraScanHR 系統是在晶圓對資料庫和晶圓對晶圓傳輸與

反映照明模式中進行測試,使用的許多設計的缺陷測試

光罩和 45nm 節點的產品光罩代表(和可比較的記憶體

節點),以及 32nm 節點中的早期光罩。Toppan 和 AMTC

的測試資料顯示平台符合高靈敏度、低錯誤偵測以及掃

描速度的目標。也使用目前一代的光罩執行大型像素的

測試(65nm 和 90nm — 資料沒有顯示)。

系統的高 NA 光學、新自動對焦、小像素尺寸以及改良

的提供與建模演算法,顯示在小型線寬、小型缺陷和主

動 OPC 的檢測功能方面的明顯改善。新的影像電腦藉由

減少某些情況與模式的掃描時間,提供系統生產力的改

善。反映光線檢測現在已成為更可行的檢測模式,因為

它能夠與傳輸光線整合,同時不需要某些模式的額外掃

描時間。使用整合的傳輸及反映光線檢測,為業界提供

了最佳的缺陷偵測功能並且產生最高品質的光罩。

致謝

作者要感謝對於新 TeraScanHR 平台的開發、內部測試以及

最近的現場 beta 測試有提供協助的許多個人及組織,

包括:

NIST 對於原始 TeraScan 平台的技術開發的資助。

KLA-Tencor RAPID TeraScanHR 開發工程團隊

全球的光罩製造商及晶圓廠,它們提供用於開發及內

部測試的光罩

Beta 測試公司,包括 Toppan Printing 和 Advanced Mask Technology Center*

KLA-Tencor RAPID Applications Team 協助資料收集“和

分析”

* AMTC 為 AMD、Qimonda/In�neon 和 Toppan Photomasks 的合資企業

一般參考資料

1. W. Broadbent, et al, “Results from a new reticle defect inspection platform,”

23rd Annual BACUS Symposium on Photomask Technology, Kurt R. Kimmel,

ed., Proc SPIE Vol 5256,第 474–488 頁,2003 年。

2. W. Broadbent, et al, “Results from a new die-to-database reticle defect

inspection platform,” Photomask and Next Generation Lithography XI,

Hiroyoshi Tanabe, ed., Proc SPIE Vol 5446,2004 年第 265–278 頁。

3. J. Heumann, et al, “Detailed comparison of inspection tools:capabilities and

limitations of the KLA 576,” 25th Annual BACUS Symposium on Photomask

Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992,2005 年第 599246 頁。

4. A. Dayal, et al, “Optimized inspection of advanced reticles on the TeraScan

reticle inspection tool,” 25th Annual BACUS Symposium on Photomask

Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992,2005 年第 599245 頁。

5. K. Bhattarcharyya, et al, “Process window impact of progressive mask defects,

its inspection and disposition techniques (go/no-go criteria) via a lithographic

detector,” 25th Annual BACUS Symposium on Photomask Technology, J. Tracy

Weed, ed., Proc SPIE Vol 5992,2005 年第 599206 頁。

6. S. Maelzer, et al, “High-resolution mask inspection in advanced fab,”

Photomask Technology 2006, Patrick M. Martin, Robert J. Naber, ed, Proc SPIE

Vol 6349,2006 年第 63490S 頁。

7. S. Teuber, et al, “Limitations of optical reticle inspection for 45nm node and

beyond,” Photomask Technology 2006, Patrick M. Martin, Robert J. Naber, ed,

Proc SPIE Vol 6349,2006 年第 63490T 頁。

8. W. Broadbent, et al., “Results from a new die-to-database reticle inspection

platform,” Metrology, Inspection, and Process Control for Microlithography

XXI, Chas N. Archie, Ed., Proc. of SPIE, Vol. 6518,2007 年第 651821 頁。

-

-

-

-

-

光罩

Difference Reflected

Bridging holes (in R)(detected in R not T)

圖 12:7xnm 半間距 DRAM層—缺陷橋接雙孔在晶圓對資料庫反映光

線模式中進行偵測,而不是在傳輸光線模式中。

Under-size holes ~ 5% flux error

圖 13:4xnm DRAM 孔層在使用整合 T+R 及 72nm 像素晶圓對晶圓模式

中進行檢測。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 34: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

雷射輔助缺陷偵測系統的應用—硬磁盤拋光的化學機械平坦化 (CMP) 漿料開發 Toshi Kasai, Charles Dowell – Cabot Microelectronics CorpAnoop Somanchi – KLA-Tencor Corporation

數工具。雖然 DFM 極為方便且容易使用,但是另一個

廣為人知的情況是使用 DFM 進行刮傷計數與分析是極

為主觀,同時非常需要依賴操作人員。其人工控制操作

提供相對而言較差的可靠性及再生性 (R&R) 同時缺陷分

類也比較困難(例如依照大小)。同時也不容易獲得總

微粒的數目,因為缺陷的數目相對而言比較大。

最近在雷射輔助光學表面分析儀 (OSA) 系統方面的開發

提供更能夠重複運用及更可靠的表面度量資訊。4-6 舉例

來說,Candela 儀器系列配備有橢圓測厚儀 (ellipsometer)、

反射計、散射量度器 (scatterometer) 和光學輪廓儀 (optical

profiler) 功能。6-8 每個操作模式和模式的組合皆可用於

缺陷偵測,同時提供特定缺陷資料的可用性,例如類

型、數目及位置。再者,多功能的缺陷掃描與分析配方

提供積極性偵測的諧調性與一致性。如此有助於排除人

工偵測的主觀性。Candela 系統廣泛用於硬碟機產業的

缺陷識別。

此報告描述缺陷偵測配方的近期發展以及使用 Candela 儀

器測量的硬碟機的刮傷數目結果。使用 Candela 收集到的

刮傷資料會與由傳統 DFM 與其他 OSA 系統類型獲得的

對於硬碟製造而言,CMP 刮傷的特性化是改善元件可靠性的關鍵。KLA-Tencor 的 CandelaTM 光學表面分析儀 (OSA)

系統配備有橢圓測厚儀、反射器、散射量度器和光學輪廓儀功能,可用於缺陷偵測,提供偵測的諧調性與一致性,

並且免除人工偵測的主觀性。Candela OSA 技術展現出遠比傳統暗視野顯微鏡 (DFMs) 要低的變化性;同時也更容易

識別小型刮傷 (< 10μm)。

簡介

在硬碟機 (HDD) 產業中,增加資料容量的需要在最近十

年間已經成為必要的數項技術成就。1 從磁頭磁盤介面

(HDI) 的觀點來看,快速讀/寫頭與磁盤媒體之間距離

的減少已經成為達成 HDD 高資料密度的主要驅動力。

為了能夠將磁頭與磁盤之間的差距減至最低,磁碟的表

面粗糙度必須要夠低,同時更重要的是,表面缺陷的數

目(例如刮傷和微粒)必須夠小以便改善 HDD 操作的

機械可靠度。

化學機械平坦化 (CMP) 製程是使得硬磁盤表面能夠平

滑的關鍵步驟。2 一般而言,CMP 是透過磁盤表面的化

學反應和機械磨損的組合,並接觸聚合墊和漿料(包含

複雜的化學作用以及套用負載的磨蝕)所完成。3 目前

已確認漿料對於硬磁盤刮傷缺陷效能有明顯的影響。搬

運、化學物質失衡,或是 CMP 漿料中存在有大量磨蝕微

粒都有可能產生刮傷。因此在漿料開發時請務必利用一

致的刮傷特性技術。

在硬磁盤基板上可以使用數種偵測系統來進行刮傷特

性。其中一個範例是暗視野顯微鏡 (DFM) 架構的刮傷計

資料儲存

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 35: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

資料進行比較。結果顯示配方參數的調整是獲得一致性

刮傷數目的關鍵。在 DFM 技術中沒有提供此選項。使

用 Candela 系統進行刮傷缺陷分析的其他好處也將會進

行討論。

實驗

Candela 缺陷偵測系統

Candela CS10 是一個 405nm 的雷射輔助多功能工具。此

工具配備有兩種雷射(稱為周圍雷射與放射雷射),

如圖 1 所示。兩條雷射光束來自 90 度角並且會合於範例

的分析點。儀器提供使用光束或兩條雷射同時掃描磁碟

的能力。訊號偵測是透過兩條偵測通道執行,以便取得

反映與散射光束。在刮傷與微粒缺陷識別方面,將會使

用由光電倍增管 (PMT) 檢測器組成的散射器通道。在此

模式中,與範例表面產生互動的雷射會產生散射訊號,

此訊號會以散射訊號影像上的明區域形式出現。可使用

的入射雷射中的三種偏振模式:P、S 和 Q(P 和 S 的組

合)。在測量期間,磁碟將會旋轉,同時雷射源及偵測

系統會以橫向移動,因此能夠掃描整個磁碟範例的區域。

暗視野顯微鏡 (DFM) 刮傷偵測系統

DFM 技術使用數種光源從各種不同的角度照明磁盤表

面。電荷耦合裝置 (CCD) 相機位於磁盤的上方,而磁盤

表面的暗視野影像會顯示在監視器上。在此研究中,

CCD 相機系統的放大率為 10 倍。光線進入的方向產生

資料儲存

Candela CS10 system apparatus

Disk sample

Scatter channel

Reflectionchannel

Circumferentiallaser

圖 1:X 光束技術用於 Candela C10。放射與周圍雷射光束(位於直角

位置)可探測磁盤表面,能夠產生散射器通道所收集分散訊號。

與磁盤表面有關的斜角,使得 CCD 無法直接收集反映

光線。如果缺陷存在於磁盤上,入射光線將會散射,

而 CCD 相機將會偵測到散射的訊號。缺陷會以明亮影

像的形式出現在監視器中的暗背景上。操作人員會旋轉

磁盤以檢測表面,並且以人工方式計算缺陷數目。使用

DFM 技術的優點為與 Candela 工具相比,其相對而言較

短的處理時間以及簡單的設定方式。

Candela 和 DFM 的範例準備與實驗設定

在 CMP 製程之前,磁盤範例已接地及鎳磷 (nickel-phosphorous)

塗裝9。磁盤內部與外部直徑與厚度分別為 25mm、95mm

和 1.27mm。磁盤是使用數種 CMP 漿料進行拋光、清潔,

然後轉出進行缺陷檢測。磁盤的清潔程度是刮傷檢測的

關鍵,因為由於搬運所造成殘餘的化學物質和沾污可能

會導致計算錯誤。

將磁盤放置在儀器平台,即可自動執行 Candela 測量程

序。資料輸出包括每個儲存區中的刮傷與微粒分佈,連

同顯示磁盤的缺陷位置的圖。收集的缺陷資料可依照

大小分類成為五個儲存區 (bin)。刮傷缺陷儲存區的標稱

設定如下:bin 1: 20-100µm; bin 2:100-500µm; bin 3:500-

1000µm; bin 4:1000-5000µm and bin 5:>5000µm.

在 DFM 技術中,刮傷的數目是以人工計數器計算的。

刮傷長度分析的執行方式是使用監視器上的刻度尺測量

每個刮傷的長度以進行分類。在特定的檢測工作中,

刮傷被分類成四個群組:短 (< 2mm) 而淺、短而深、

長 (> 2mm) 而減和長而深。刮傷的深度是由目視檢測的

方式進行評估,視監視器上缺陷的明亮度而定。

使用 DFM 的磁盤的區域分析範圍是從中徑 (MD) 到外徑

(OD),而 Candela 的範圍是從內徑 (ID) 到外徑 (OD)。因

此,Candela 的掃描區域大約是 DFM 掃描區域的 1.5 倍。

OSA-2 刮傷偵測系統

另一個用於客戶端的 OSA 系統將會在稍後介紹,並且與

Candela 系統比較。此系統也使用雷射作為探測器,並

且使用分散訊號進行刮傷偵測,但並未使用 Candela 技

術。由於系統最初是由客戶所開發,而且並非作為商業

用途,因此在本文中可以很方便地將它稱為 OSA-2。由

於機密的緣故,可提供的資訊非常有限;因此建立關聯

的工作可說更為艱鉅。如您在下列段落所見,Candela

系統的調整功能在獲得與此系統的更佳關聯方面扮演了

重要的角色。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 36: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

射刮傷是不適宜的。在此研究中,兩種雷射皆能夠提供

廣泛的分析。圖 3 顯示在硬磁盤表面上發現的刮傷缺陷

的原子力顯微鏡 (AFM) 高度影像;(a) 及其橫斷面視圖;

(b)。刮傷的寬度與深度一般而言分別為 ~1µm 和數個奈

米。圖片顯示 0.1µm 寬及 1nm 深的刮傷可由 Candela 系

統清楚地監控。

如同在圖 2 中所示,從兩個影像中都可以識別微粒缺陷。

分散訊號相對而言不受到光束方向的約束,雖然微粒影

像在雷射方向中會被拉長。發生這種情況的原因是因為

雷射光束有入射角,大約與入射角平面的法線成 60 度。

拉長的功能類似刮傷,會使得在某些情況下區分刮傷與

微粒變得困難。如果要克服這個困難,可以將分析配方

中的刮傷分類參數最佳化,例如長寬比。

編碼器倍增器的設定以及光電倍增管 (PMT) 的電壓被發

現對於訊雜 (S/N) 比有明顯地影響。編碼器倍增器設定是

定義每個放射位置的周圍資料點的數目。例如,64x 的編

碼器倍增器設定可允許使用者在單一放射位置取得 64 x

1,024 (=65,536) 個資料點。7 圖 4 代表單一刮傷的 Candela

分散訊號影像以及在編碼器倍增器和 PMT 電壓的三個不

同的設定之下,靠近影像中央的固定半徑的橫斷面視圖:

(a) 16X 和 475V、(b) 16x 和 525V 和 (c) 64x 和 475V。

刮傷與訊雜比的峰值強度已簡述於表 1。透過橫斷面分

析獲得的峰值強度是從平均背景雜訊層級測量到的振幅

(%)。請注意,單位是以工具提供的 PMT 輸出電壓的百

分比表示。訊雜比已定義為峰值強度至背景雜訊中最大

振幅的比率。機箱 (a) 顯示 1.2 的訊雜比,表示無法將

訊號與背景雜訊妥善地區分。PMT 電壓從 475 V 增加至

525 V(機箱 (b))明顯地將雜訊比從 1.2 增加至 2.1(1.8

倍)。同時編碼器倍增器中顯示增加了 2.4 倍的雜訊比

(機箱 (c))。兩個參數的增加使得分散訊號呈現飽和;

因此,在此研究中編碼器倍增器設定與 PMT 電壓分別

記錄為 64x 和 475V。

在掃描之後,獲得的分散訊號影像會透過分析配方進行

處理,以便找出缺陷點。分析配方參數的最佳化也是極

為關鍵。此處的關鍵參數為臨界值參數,可定義要識別

成為缺陷點的最小分散訊號。如果要以最佳的方式設定

配方參數,請執行以下操作。首先,使用分析配方處理

分散訊號影像,然後記錄刮傷數的個數。接著,操作人

員以目視檢測方式檢查相同的分散訊號影像,並且找出

人工計算的刮傷。最後,比較兩個刮傷的數目。我們假

設操作人員所計算的刮傷數目是正確而準確,因此這些

數目可以成為評估 Candela 刮傷數目的標準。可能會存

結果與討論

Candela 配方建立問題

在測量之前,必須將掃描與分析配方進行優化。在此段

落中,將會詳細檢查刮傷偵測中 Candela 配方的某些關鍵

參數的影響。

圖 2 顯示 Candela 分散圖像以便使用 (a) 放射及 (b) 周圍雷

射。圖中的水平方向與磁盤範例的周圍方向平行。如圖

所示,進入雷射的方向對於缺陷的外觀有極大的影響。

周圍刮傷 (其角度方位與周圍磁盤方向更加對齊) 在使用

放射雷射將會顯示(圖 2(a)),但是在使用周圍雷射時將

會消失(圖 2(b))。此各向異性發生的原因是雷射光束

的方向與刮傷的縱向之間的角度變得接近 90 度時,會

產生更多分散訊號。使用兩個雷射的優點是它能夠將刮

傷方向的影響減至最低。如果放射刮傷是主要的考量,

可以蓄意僅使用周圍雷射,使得系統對於放射刮傷的靈

敏度更高。這種情況發生於磁盤在 CMP 之後轉送至組

織構造的程序。組織構造程序可允許一致、受控的刮傷

標記的形成,而在磁性層刻蝕製程中執行磁性晶體的生

長。9 如果組織構造是沿著周圍方向建立,則剩餘的放

資料儲存

Particle

Particle

Scratch visible

Scratch visible

Beamdirection

Beamdirection

200µm

200µm

(a)

(b)

圖 2:使用 (a) 放射及 (b) 周圍雷射的拋光硬磁盤表面的 Candela 分散

訊號影像。進入的光束方向是由厚箭頭所指示。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 37: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

資料儲存

在兩種類型的錯誤:類型 I

錯誤發生於 Candela 無法識

別刮傷(即使刮傷缺陷已經

存在);類型 II 錯誤發生

於 Candela 將實際上不是刮

傷缺陷的情況識別為刮傷。

這些分類在表 2 中有圖例說

明。發生錯誤的可能性要視

在分析配方中設定的臨界參

數而定。較高的臨界值可能

會使得類型 II 錯誤減少,

但是會增加類型 I 錯誤,反

之亦然。對於周圍雷射和

放射雷射分散訊號而言,最

佳的臨界參數值為 0.12%。

表 3 中顯示四個磁盤範例

的相關刮傷數。發生類型 I

和 II 錯誤的平均機率分別是

30% 和 2%。兩種錯誤最理

想的比率為 0%;但是要做

到這點相當困難,因為這兩

個錯誤的關聯是一種取捨的

關係。我們選擇的情況是產

生的類型 II 錯誤比較接近

於零,並且將類型 I 錯誤減

至最低。在稍後會發現,臨

界設定是調整功能研究中的

關鍵。

上述開發與描述的刮傷識別

系統也可以套用至其他範例

類型(例如積體電路 (IC) 矽

晶圓),不過可能需要調整

某些參數。

(b)

A B

5.05.0

-5.00 5.0 0 5.0

(nm

)

(µm)(a)

(µm)

圖 3:(a) CMP 之後硬磁盤上的刮傷 AFM 影像和 (b) 沿著由箭頭指示的刮傷的線條 AB 的區段分析。

33610µm

33235

187.90 191.75 deg

187.90 191.75 deg

189.39 190.35 deg

32860

32485

33610µm

33235

32860

32485

34250µm

33875

33500

33125

(a) Angle (°), Radius (µ)

Uni

tsU

nits

Uni

ts

Angle (°), Radius (µ)

Angle (°), Radius (µ)

(b)

(c)

圖 4:測量 CD 與平均影像灰色層級在不同蝕刻測試情況之間的比較。

機箱 (a) 機箱 (b) 機箱 (c)

編碼器倍增器 16X 16X 64X

PMT 伏特 (V) 475 575 475

峰值強度 (%) 0.04 0.16 0.12

訊雜率 1.2 2.1 2.9

自然狀態

刮傷 無刮傷

Candela 決策 刮傷 良好 類型 II 錯誤

無刮傷 類型 I 錯誤 良好

表 1:Candela 各種編碼器倍增器 PMT 電壓設定之下,刮傷的峰值強度和訊雜比。

表 2:Candela 配方確認測試中,類型 I 和 II 錯誤的定義。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 38: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

Candela 與 DFM 之間的關聯

圖 5 顯示使用 (a) Candela 和 (b) DFM 在相同位置檢視的

磁盤表面影像。Candela 影像是使用 P 偏振放射雷射獲

得,依圖中最上方的指示。兩個影像中所發現的差異

在先前的段落中已經有討論,將被視為 Candela 系統的

實驗設定。在 DFM 影像的中央底部看見的放射刮傷在

Candela 影像中並無法識別。另一方面,在 Candela 影像

中可以看見更多周圍刮傷(呈現水平橫向)。拉長的微

粒在 Candela 影像中與光束方向平行。左下角的污點在

兩個影像中都特別強調顯示。

工具間的代表性差異在表 4 中有簡略說明。Candela 的

空間偵測限制遠小於 DFM。在 Candela 情況中,偵測限

制是由雷射點大小所調節 (4µm),而在 DFM 中,限制則

與 CCD 相機解析度以及操作人員眼睛的能力有關。測量

儀器 R&R 的研究變化已定義為 R&R 對於總計變化的貢

獻,包括可靠性、再生性以及零件與零件的變化。一般

而言,大於 9% 的值表示系統需要改善。Candela 在 10 個

範例中的表現為 7% 研究變化。這產生了可接受的 R&R

效能。另一方面,DFM 顯示 25% 的研究變化,這點較不

令人滿意,因為 DFM 需要更多的人工操作。

已執行在 DFM 和 Candela 之間的刮傷數目的比較研究。

圖 6 顯示 DFM 長刮傷數 (> 2mm) 與 Candela 長刮傷數

(> 1mm) 之間的關聯。刮傷數的變化源自不同種類的漿

料及各種刮傷完成的使用。線性回歸關聯係數值 R2 為

71%。這表示 DFM 與 Candela 刮傷數之間的關聯並不重

要。一般而言,至少 75% 的 R2 需要有滿意的關聯。10

此外,關聯並未符合的原因是在 95% 的信任層級中,回

歸線與線條 y = x 並不相等。這可能是由於兩個儀器的

偵測系統中的差異所致,包括 DFM 系統的測量儀器的

可靠性及再生性不佳。刮傷數的關聯是在各種尺寸的種

類中進行檢驗,如表 5 中所簡述。最大的 R2 為 71%,

可在上述的情況中找到。

在圖 6 中的回歸線坡度為 0.56,這個數字比 1 要低。

這意味在分析準則之下,Candela 無法找出 DFM 能夠

偵測到的刮傷。考量到掃描區域中的差異(Candela 的

掃描區域約為 DFM 掃描區域的 1.5 倍大)以及刮傷分

類的大小(Candela > 1mm 而 DFM > 2mm),Candela

無法找出的整體刮傷數目可能會更大。此差異最有可

能的原因是 Candela 儀器對於淺刮傷並不靈敏,因為來

自這些缺陷的分散訊號相對而言較低,以及先前討論

過的系統臨界值設定問題。表 5 中顯示的資料支援此

前提。當 DFM 偵測從先前的比較中僅計算深刮傷時,

回歸線的坡度為 1.5(從 0.56 上升)。

資料儲存

磁碟範例數目

刮傷數 類型 I 錯誤 (%)

類型 II錯誤 (%)正確 錯誤 遺失 總計

1 39 0 17 56 30 0

2 11 2 1 12 8 15

3 7 0 8 15 53 0

4 30 0 12 42 29 0

整體 87 2 38 125 30 2

表 3:用於類型 I 和 II 錯誤評估的 Candela 刮傷數資料。

(a) 1 mm (b) 1 mm

圖 5:使用 (a) Candela 和 (b) 暗視野顯微鏡 (DFM) 技術的硬磁盤表

面影像。

Candela C10 DFM

光源 藍紫光雷射 (405 nm) 白光

光源數 2 倍數

檢測器 光電增倍管 (PMT) CCD

空間解析度限制 >4 µm ~100 µm

% 測量儀器研究變異刮傷數的 R&R

7% 25%

表 4:Candela C10 與暗視野顯微鏡 (DFM) 技術之間的特性比較。

Candela vs DFM (long scratches)

DFM counts (>2mm)

Regression95% Confidence Interval

Can

del

a co

un

ts (

>1m

m) Y = 0.56 X + 3.4

R² 70.7%

40

40

30

30

20

20

10

100

圖 6:Candela 長刮傷數 (> 1 mm) 與 DFM 長刮傷數 (> 2 mm) 之間的比較。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 39: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

如以上所討論,實驗證明顯示在目前參數設定之下的

Candela 分散訊號對於淺刮傷可能比較靈敏。這並不一定

是系統的缺點。其能力是提供在刮傷幾何方面有意義

的資訊,例如長度、深度和寬度,比單純提供缺陷數

目更重要。Candela 的優點之一是其靈活的調整功能,

可將缺陷識別為目標刮傷幾何的功能,如以下段落的

圖例顯示。

Candela 調整功能及其在 CMP

漿料篩選的使用

一組磁盤範例是使用一系

列的漿料進行拋光,預期

會呈現不同的刮傷結果。

這些磁盤是使用 OSA-2 雷射

輔助光學表面分析儀進行

檢測,並且分類成兩組:

「刮傷通過(良好)」或

「刮傷遭拒」。相同的磁

盤接著會由 Candela 和 DFM

進行分析。圖 7(a) 和 (b) 中

顯示的資料分別是 Candela

和 DFM 的總計刮傷數目。

在每個圖形中,將會合併

依照 OSA-2 系統的分類。

Candela 的臨界值設定是此

段落中的關鍵,而圖 7(a) 中

的 0.12% 的值是在測量時所

得到的。在漿料識別中,

會使用字母及數字的組合,

其中字母指的是 OSA-2 提

供 的 種 類 , 「 G 」 代 表

「Good」(良好)或「R」代

表「Rejected」(拒絕);

而 數 字 指 的 是 使 用 的 漿

料。漿料 1 是標準的硬磁

盤漿料。漿料 2、3 和 4 包

含減少刮傷的附加物,分

別以 100、1,000 和 4,000

比例濃縮(單位:相對濃

縮)。Candela 總計刮傷數

清楚地顯示刮傷控制附加

物的功效。此趨勢在 DFM 技術中較不明顯。然而 OSA-2

系統並無法觀察到附加物的效果。發生這種情況可能的

原因是 OSA-2 採取了不同的分類方法。我們的下一個工

作是找出如何使用 Candela 獲得與 OSA-2 一致的結果。

執行將刮傷數目與選取的刮傷長度進行比較,嘗試達

成更好關聯的工作,以便獲得 Candela 和 DFM 之間的

關聯(請見表 5);但是結果並不令人滿意。稍後會建

議 OSA-2 以刮傷的寬度、深度及長度將缺陷分類。從

此資訊來看,我們得到的結論是分散強度應該有額外的

焦點。分散訊號起源於刮傷缺陷之間的相互作用,而雷

資料儲存

Candela 刮傷大小種類

DFM 刮傷大小 種類

a(坡度)

b(y 攔截)

R 平方 (%)

1 1 mm> 2 mm> 0.56 3.4 71

2 1 mm> 2 mm> 及深 1.5 3.9 70

3 總計 總計 2.8 84 56

4 0.1 mm> 總計 0.99 35 57

5 0.2 mm> 總計 0.53 18 64

表 5:Candela 和 DFM 刮傷數目在各種大小種類的回歸分析。

範例:G1 散射強度範圍 (%)

刮傷儲存區 下限 (µm) 上限 (µm) 0.12 – 0.2 0.2 – 0.3 0.3 – 0.4 >0.4

1 20 100 179 31 23 15

2 100 500 76 8 9 12

3 500 1000 7 4 0 2

4 1000 5000 0 9 3 1

5 5000 N/A 6 0 0 1

總計 268 52 35 31

表 6:使用分散強度範圍及長度儲存區的 Candela 刮傷數目的分類範例,用於圖 8(a) 中的資料繪製。

500

400

300

200

100

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(a) Candela: Total scratches

Scra

tch

co

un

t

Disk sample number Disk sample number

500

400

300

200

100

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(b) DFM: Total scratches

Scra

tch

co

un

t

圖 7:使用 (a) Candela 和 (b) DFM 的總計刮傷數目測量,由其他雷射輔助缺陷偵測系統 (OSA-2) 分類為「良好」

和「磁盤遭拒」等磁盤。磁盤範例號碼會指定 OSA-2 種類和使用的漿料。漿料 1 是硬磁盤使用的標準 CMP 漿料,而漿料 2 到 4 包含擁有不同濃縮程度的刮傷減少附加物。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 40: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

深而長的刮傷來獲得最與 OSA-2 較佳的關聯,因為結果

顯示擁有較大分散強度的刮傷在 OSA-2 偵測中能夠有較

佳的強調顯示。如圖 8(b) 所示,在 Candela 中發現的關

聯對於 DFM 刮傷數而言並不明顯。

資料分析顯示在 Candela 和 OSA-2 之間可獲得最佳的關

聯,而後者的臨界設定相對而言比前者要高。如表 6 所

示,大部分的刮傷顯示 G1 範例中的分散強度在 0.2% 以

下。刮傷控制附加物的使用可有效地減少此類別中的刮

傷數目,但是對於擁有較大分散強度的刮傷(可能成為

OSA-2 偵測的主要焦點)而言,效果則較不明顯。此識

別對於新 CMP 漿料產品的開發非常關鍵。如果要能夠

滿意地符合客戶在刮傷減少方面的需求,刮傷定義準則

就非常重要,也就是說,了解應該要將焦點放在哪些刮

傷幾何(深度、長度、寬度和方向)。各種刮傷類型的

根源可能各有不同。短/淺刮傷可能是由於磨砂微粒的

結塊作用,或是由於磁盤材料所產生的隨附污染。長/深

刮傷可能是由於大型微粒沒有篩選出來,並且進入漿料

成為污染。根據機械作用的原因,我們在 CMP 漿料開發

的方法應該會有所不同。藉由使用配方導向的 Candela 系

射光束可能會包含刮傷強度的寬度與深度資訊。標準的

Candela 分類技術並不允許此功能。可能的刮傷缺陷點如

果顯示比臨界值還要高的分散訊號,則將全部被視為缺

陷。如果要使用分散強度分類刮傷缺陷,需要以不同的

臨界值重新分析分散訊號資料,然後會根據分散強度範

圍以人工分類獲得的刮傷數目。

圖 7(a) 中 G1 範例的分類範例如表 6 所示。刮傷的分類

視其長度及其分散強度而定。明顯刮傷的數目可透過此

表找出。刮傷缺陷顯示高於 0.4% 的強度者將被分類成最

重要的缺陷,不論它們的長度有多長或是它們被計算成

為刮傷的數目。強度範圍顯示在 0.3 到 0.4% 的刮傷缺陷

是第二重要的缺陷,而且會被分類成刮傷(如果它們的

長度超過 500µm)。同樣地,強度範圍在 0.2 到 0.3% 的

刮傷會被識別為第三重要的缺陷,而且會被分類成刮傷

(如果它們的長度超過 5000µm)。上述提及的刮傷數目

總和可獲得成為新分類的刮傷數目。

圖 8(a) 顯示在新定義之下的刮傷數與圖 7(a) 的磁盤範例

相同。利用 OSA-2 中提供的「良好」與「拒絕」,能夠

更清楚地區分這兩個類別。當刮傷的數目在 Candela 測

量中大約在 40 以下時,OSA-2 會將磁盤評為「良好」;

如果數值高於 40,磁盤將被評為「刮傷遭拒」。這個結

果顯示在獲得與其他缺陷度量工具更好的關聯時,分散

強度應該是另一個考量的關鍵參數。雖然關聯對於某些

資料而言可能並不令人滿意(例如在圖 8(a) 中 G1 和 R3

的刮傷數很接近),但是在圖 7(a) 和 8(a) 之間發現的刮

傷數輪廓的差異則很明顯。分析參數的最佳化可能有助

於改善關聯性。對於 DFM 資料而言,會嘗試藉由選擇

資料儲存

150

100

50

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(a) Candela: Intensity & length categorization

Scra

tch

co

un

t

Disk sample number Disk sample number

20

15

10

5

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(b) DFM: Intensity & length categorization

Scra

tch

co

un

t

圖 8:(a) Candela 刮傷數以分散強度及長度進行分類 (b) DFM 刮傷數目(深與長)作為圖 7 中的相同磁盤範例。

Candela 系統提供有意義的資訊

給刮傷幾何(例如長度、深度和

寬度,以及靈活的調整功能)

供缺陷識別作為目標刮

傷幾何的功能。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 41: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

統,將可有彈性及有效率地提供所需的刮傷資訊,漿料

開發工作將可明顯提升。在此研究中,我們了解到從度

量工具獲得並選擇資訊是漿料產品開發的關鍵步驟。

此調整功能目前尚無法成為 Candela 系統的標準程序。

系統的額外功能可能需要 Candela 刮傷分析程序進行複

雜的設計變更,但是將可為系統提供更多的彈性。

結論

使用雷射輔助光學表面分析儀 (Candela CS10:KLA-Tencor

Corp.) 以及暗視野顯微鏡 (DFM) 技術進行刮傷數目的評

估,得出以下的結論。

1. 測量儀器可靠性及再生性 (R&R) 研究顯示 Candela 系

統有 7% 的研究變化。結果產生可接受的 R&R 效能(低

於 9%)。在另一方面,DFM 顯示 25% 的變化以及此不

一致性最可能的原因是由於人工操作 DFM。

2. Candela 與 DFM 刮傷數目之間的關聯性有 71% 的 R2

是在特定的刮傷大小分類上。發生這種最低限度的關聯

的原因是由於 DFM 測量功能的 R&R 相對而言不佳,以

及系統間偵測系統的差異。

3. 顯示由於偵測、分析與資料處理系統的差異,Candela

能夠更輕易地識別小型刮傷(少於 10µm),但是在使用

的參數設定之下,對於微小刮傷的靈敏度則低於 DFM。

4. Candela 刮傷數目為標準臨界值,清楚顯示 CMP 漿料

添加劑對於刮傷減少的效果。此效果在 DFM 技術上並

不明顯,原因是受到上述解析度的限制。

5. Candela 的靈敏度調整(微調)功能使得刮傷數與使

用其他雷射輔助刮傷偵測工具獲得的數目有可能產生關

聯。使用 DFM 技術並無法達成上述效果。根據刮傷缺

陷的條件,Candela 可藉由配方的修改進行調整,獲得所

需的刮傷資訊。

致謝

作者要感謝 Li Wang 協助資料搜集,以及 Francois Batllo、

Haresh Siriwardane、Edward Remsen、Vamsi Velidandla 和

Laurie Bechtler 提供有成效的討論與建議以完成此報告。

參考資料

1. Sarid D, McCarthy B and Jabbour G E, 2004 Nanotechnology for data

storage applications, in bhushan B (ed.), Springer Handbook of Nanotech-

nology Springer-Verlag, Heidelberg, Germany.

2. Lei H and Luo J 2004, CMP of hard disk substrate using a colloidal SiO2

slurry:preliminary experimental investigation, Wear 257 pp. 461–70.

3. Michael R. Oliver (ed.) 2004, Chemical mechanical planarization of

semiconductor materials, Springer-Verlag, Heidelberg, Germany.

4. Knollenberg R G, A polarization diversity two-color surface analysis system,

1987 Journal of Environmental Sciences 30,第 35–8 頁。

5. Takami K, 1997, Defect inspection of wafers by laser scattering, Mat. Sci.

Eng. B 44 第 181–7 頁。

6. Meeks S W 2003, Optical surface analyzer inspects transparent wafers, Laser

Focus World 39 第 105–6, 8 頁。

7. Bechtler L, Velidandla V and Lane G, 2003, Optical surface analysis

of transparent substrates for manufacturing applications, Proceedings of

Electrochemical Society 2003-3,第 540–7 頁。

8. Candela Instruments, 2003, Optical Surface Analyzer C10 User’s Manual,

Software version 1.0, Rev 1.0.

9. Johnson K E, Mate C M, Merz J A, White R L and Wu A W, 1996 Thin

�lm media - current and future technology, IBM J. Res. Develop. 40 (Sept).

10. Miller J N and Miller J C, 2000, Statistics and chemometrics for analytical

chemistry, 4th ed. Pearson Education Limited, Edinburgh Gate.

資料儲存

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 42: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

2810 和 2815明視野圖樣晶圓檢測系統

記憶體製造商需要在密集的重複性圖樣以及高垂直結構中有高效率的小型缺陷偵測能

力。邏輯製造商必須找出並隔離所有複雜幾何以及密集重複性圖樣上的關鍵缺陷,因

為這些地方會使用新材質並且快速地變更製程。除了這些明顯的檢測需求以外,記憶

體和邏輯晶片製造商還需要改良的靈敏度與速度,以便能夠將新製程快速提昇至量

產。2810 和 2815 是業界第一套記憶體和邏輯特定的全光譜明視野檢測工具,可幫助

解決有關元件類型特有的良率問題。281x 檢測工具是 KLA-Tencor 完整的晶圓檢測套

裝組合系列之一,在 ≤ 55 nm 記憶體和 ≤ 45 nm 邏輯元件的製造中 提供高效率的在

線監控與工程分析能力。

281x 工具是以廣為業界採用的 2800 系列全光譜 DUV/UV/可見光明視野檢測工具為

架構,利用記憶體和邏輯專用的光學模式及演算法,以便在所有製程層級中捕獲廣泛

的影響良率的關鍵缺陷。281x 檢測工具包括可選取光譜的照明光源和與像素無關的高

數值孔徑 (NA),可將材質的對比放至最大,抑制雜訊,並且使用自動缺陷分類處理中

的進步,以產生有意義的缺陷柏拉圖。281x 檢測工具的生產能力幾乎達到 2800 的兩

倍,因此工程師能夠很快速地在對關鍵的蝕刻﹐CMP 與光刻過程的監控中達成系統良率

改善的目標並減少基線缺陷。281x 工具提供製程開發的靈活性,生產的可靠性以及未

來節點及新興元件技術的擴充性。

有關於 2810 或 2815 如何能夠解決特定用途或良率挑戰的問題?請連絡 Mark Shirey,電

子郵件位址是 [email protected]

281x 的優點

特定的光學模式及可選取的全

光譜 DUV/UV/可見光照明對所

有製程層級上的重要缺陷有最

高的靈敏度

最高的生產權重平均產量 (WATIP)

使得取樣數增加,降低擁有成本

或提高靈敏度

與其他 KLA-Tencor 檢測工具與

檢閱工具的共通性與連接性,可

發揮檢測工具功能的最大功效並

減少生產整合時間

已確立、獲得生產認可的高擴充

性工具架構為多重技術節點提供

可靠的在線監控能力

製程視窗認證 (PWQ) 的應用允許

顯影者在量產前評估設計

產品新聞

EquivalentThroughput

0

25

50

75

100

Layer 1 Layer 2 Layer 3

No

rmal

ized

Def

ect

Co

un

t

2810: 75–80% throughputimprovement over 2800

28002810

2810 擁有提升的生產能力與為記憶體元件專用的新圖

樣抑制模式,展現出超越 2800 的三個前端記憶體層

的生產能力,並且擁有更高的靈敏度。

BridgePattern Line Thinning

Particle SEM Non-Visual/Bump

Defects of Interest

Def

ect

Co

un

t 90nm Pixel (BBDUV BF)

50nm Pixel (BBDUV BF)

2815 缺陷柏拉圖顯示新的 50nm 像素使關鍵橋接缺

陷的捕獲能力增加 2 倍。業界最小的像素提高了早期

製程偏離偵測所需要的重要缺陷捕獲率。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 43: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

半導體元件製造者必須解決與尺寸緊縮、新材質以及創新元件結構有關的良率問題,

以便快速量產與獲利。圖樣晶圓檢測工具有助於改善良率,讓工程師解決產品週期

中所有階段的缺陷問題 — 從製程開發到生產。Puma 9150 暗視野檢測工具是 KLA-

Tencor 綜合晶圓檢測套裝組合系列之一,為 ≤ 45nm 的製程提供高效率的偏監差控能力。

Puma 9150 是 Puma 系列中最新的成員,屬於雷射成像的暗視野檢測工具,它利用革命

性的 Streak™ 技術並且在擴大的應用空間以捕獲最廣泛的缺陷類型,同時維持極高的

生產能力。新的光學模式在非關鍵蝕刻應用可增加對橋接以及其他圖樣缺陷捕獲的靈

敏度,提高殘餘及其他 CMP 缺陷的捕獲能力,並且以高生產能力偵測光刻缺陷。除

了提供基準的薄膜層的缺陷檢測效能以外,Puma 9150 還通過提供改良的光刻監控取

樣選項,顯影後檢測以及其他生產機具監控應用來補充更高靈敏度的寬頻明視野檢測。

有關於 Puma 9150 如何能夠針對解決具體使用案例或良率挑戰的問題?請連絡 Amir Azordegan,

電子郵件位址是 [email protected]

Puma™ 9150暗視野圖樣晶圓檢測系統

Puma 9150 的新光學模式提供更高的淺輪廓缺

陷類型的捕獲能力,例如不完全的銅拋光(已

顯示)、變形接觸、橋接與殘餘物質。

Puma 9150 的優點

新的光學模式與 Streak 暗視野

成像技術在延伸的應用空間提

供了增強的缺陷類型捕獲能力

提供所需靈敏度最高的生產能

力,可提高良率取樣或減少擁

有成本

與其他 KLA -Tencor 檢測工具與

檢閱工具的共通性與連接性,

可發揮檢測工具功能的最大功

效並減少生產整合時間

使用簡易的改良與創新的演算法

可產生快速而簡易的程式設定

確立的工具架構與生產認可的

工具與工具相稱性能夠得到一

致與可靠的檢測結果

Bridge Cu Residue Missing Contact

Sig

nal

-to

-No

ise

Rat

io Traditional Optical Modes

New Optical Modes

三種缺陷的訊雜比展現 Puma 9150 的傳統與新式光學模式

互補的偵測功能。多重光學模式可在延伸的應用空間中提

供最廣泛暗視野缺陷類型捕獲。

產品新聞1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 44: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

eDR-5200電子束缺陷再檢測和分類系統

由於線寬已縮小到 45nm 以下,缺陷和良率工程師越來越關注於小缺陷以及其電子束缺陷

再檢測工具所建立的缺陷 parato 品質。eDR-5200 電子束缺陷再檢測和分類系統能對小於

50nm 的缺陷成像,並且產生極少電子束無法看見 (SNV) 缺陷的、高品質的缺陷 Parato,進

而解決上述的問題。eDR-5200 是 KLA-Tencor 綜合缺陷解決方案中重要的一環,具有高影

像解析度和更高的缺陷再檢測靈敏度,加上與 KLA-Tencor 檢測工具的獨特連接技術,可

為生產 45nm 及以下的客戶提供更佳的再檢測效能、更快速的良率學習以及更高的生產力。

eDR-5200 採用電磁浸潤式設計,提供對小於 50nm 缺陷成像所需的解析度。此外,其高

準確度定位平台、創新的防偏差計算法和先進的再檢測方法提供了偵測到低對比度或

較微小缺陷所需的能力,有效地減少 SNV 的數量。全新的智慧協助分類 (ePAC™) 和全

自動缺陷分類 (eADC™) 方法更進一步地提高缺陷 parato 的品質。為了簡化光學缺陷檢

測與電子束再檢測的週期成為單一使用個案,eDR-5200 提供了與 KLA-Tencor 光學檢測

工具的無縫式連接。此技術每小時可產生更多高品質的缺陷 parato,允許工程師快速解

決 45nm 元件上之最小關鍵缺陷,以提高良率。

有關 eDR-5200 之具體使用案例或良率相關問題,請連絡 Christophe Fouquet,電子郵件

位址是 [email protected]

eDR-5200 的優點

高準確度的定位平台與高影像

解析度可對小於 50nm 的缺陷進

行再偵測與成像

適合量產環境的手動智慧協助以

及全自動的缺陷分類,可在最短

的時間內產生最佳的缺陷parato

與KLA-Tencor檢測工具的獨特連

接功能,能在SEM上快速的設定

高品質的光學檢測程式,並降低

的 SNV及其他雜訊缺陷比例

創新的 EDX 設計,可允許小於

100nm 的缺陷的分析與分類。

KLA-Tencor 光學檢測系統與電

子束缺陷再檢測工具的連接功

能,可大幅減少製程空間檢驗

(PWQ) 的時間

產品新聞

27

4036

71 73

8578

112

12 1322

1322

0

25

50

75

100

1-FEOL

2-FEOL

3-BEOL

4-FEOL

5-BEOL

6-BEOL

7-BEOL

Layer

SNV

Rat

e %

POR Method

eDR Method

eDR5200 具有較準確的缺陷定位和與 KLA-Tencor 光學檢測

工具的連接性,可大幅減少SNV的數量。

0.5µm FOV

50nm Defect

eDR5200 的浸潤式設計和高準確度的定位平台,

可對小於 50nm 的缺陷進行再檢測與成像。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 45: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

SURFmonitor 系統將領先業界的 Surfscan SP2 無圖樣表面檢測系統,超越了傳統的缺陷

檢測,並加入度量的領域範圍。SURFmonitor 能夠測量芯片晶圓或平面薄膜表面形態

的變化,而它們與多方位的製程參數(例如表面粗糙度、粒狀大小與製程溫度)可說

息息相關。具有次埃可重複性,SURFmonitor 系統可建立詳細的參數圖,同時能夠收

集缺陷資訊,使得晶圓廠能夠同時監控製程趨勢與缺陷,而且不會影響檢測生產力。

SURFmonitor 也將 SP2 的缺陷檢測能力擴展至「次臨界值」區域,識別製程異常與缺

陷特徵,這些都是典型的缺陷管道無法捕捉的。

SURFmonitor 組件利用低空間頻率、低振幅散射信號進行缺陷掃描,藉以產生高解析

度、完整的晶圓圖,並包含次埃高度的解析度。SURFmonitor 接著會分析這些圖表

以了解是否有晶圓內部或晶圓對晶圓參數的空間變化,同時套用結果以進行統計製

程控制。SURFmonitor 資料也顯示出與數個參數的絕佳關聯性,例如銅、鎢和多晶矽

薄膜的表面粗糙度;透明薄膜厚度;表面損壞以及表面溫度變化。SURFmonitor 也提

供偵測低訊雜比缺陷的能力,例如透過傳統缺陷管道不容易偵測的水漬印和污點。在

Surfscan SP2 平台上建立的 SURFmonitor 結果顯示無法倫比的重複性與匹配能力。

有關於 SURFmonitor 如何解決特定用途問題或良率挑戰的情況?請連絡 Andy Steinbach,

電子郵件位址是 [email protected]

SURFmonitor製程特徵與度量模組

SURFmonitor 的優點

缺陷與薄膜形態資訊同時檢

測,不會產生額外的產量影響

功能強大的演算法可擷取缺陷

特徵並且將表面散射的結果轉

換成可用的度量資料

次埃垂直(特徵高度)解析度

與領先業界的橫向解析度

可用作 Surfscan SP2 產品的附

加組件

獲得數項應用認可在晶圓廠中

所有的製程模組上

AFM RMS roughness (nm)

SUR

Fmo

nit

or

sig

nal

(p

pm

) 300

250

200

150

100

50

00.0 1.0 2.0 3.0 4.0 5.0 6.0

經由 AFM 的測量,SURFmonitor 產生的 Cu ECD 薄膜

顯示與表面粗糙度的絕佳關聯。SURFmonitor 訊號與

粗糙度之間的二次關係符合理論預測。

此 SURFimage 展現濕式清洗的乾燥污點,經 過 SURFmonitor 演算法擷取並報告為缺陷。

產品新聞1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 46: Yms sm07 final cht

2007 年夏季刊 Yield Management Solutions | www.kla-tencor.com/ymsmagazine

HRP-350具有生產能力的先進的 45 nm 半導體輪廓技術

隨著關鍵蝕刻和 CMP 製程的輪廓控制需求在每個元件世代中逐漸緊縮,顧客需要的單

一系統解決方案必須要能夠支援產量關鍵奈米規模的應用程式,以及晶圓上控制大規

模的拓樸。

HRP-350 是業界最先進的高解析度表面拓樸輪廓系統,提供晶片製造者監控大幅縮小

的橫向與縱向尺寸的能力。擁有菱形針尖細至 20nm 半徑以及提昇測量靈敏度的低雜

訊平台,HRP-350 系統可提供與 AFM 解析度匹配的奈米規模針尖技術 — 不需要建模

的過程。系統的高解析度模式可允許準確控制直接影響元件效能的奈米規模特色的應

用,例如淺溝槽隔離、互連中的 CMP、金屬薄膜粗糙度和鎢插塞凹進。在較大規模的

功能方面,系統的長掃描模式可以高產能的方式測量 Cu CMP 淺碟化 (dishing) 及氧化

層過蝕 (erosion)、銅電鍍、晶圓平整度和套件中的 C4 凸塊高度。提高的掃描速度可提

升 HRP-350 在關鍵電晶體及互連應用的廣泛範圍上的生產價值。

系統廣泛的採針組合(包括私有的 20nm UltraSharp™ 採針),是以菱形材料為基礎,

提供最長的運作壽命一般是 AFM 針尖的 100 倍。新的針尖開發加強技術的方法除了縮

小針尖之外,也提昇耐用性,比先前 HRP-340 系統的掃描速度快上五倍。其他系統生

產力提昇可提供最多 40% 的系統產能,同時可以數據表示先進的 65nm 與 45 nm 元件

中的關鍵結構。除了 300mm HRP-350 系統以外,200mm 或更低的 HRP-250 也可以用

於 IC 半導體與磁碟機的製造應用。

有關於 HRP-350 掃描如何解決您的表面輪廓挑戰的問題嗎?請連絡 Petrie Yam,電子郵

件位址是 [email protected]

HRP-350 的優點

擴展測量功能以支援 65 nm 及以

上的進階功能

較小的針尖及改良雜訊效能提供

先進奈米規模功能(例如凹進)

的拓樸測量

提供 33% 更嚴謹的測量儀器效

能,提供最嚴格的製程控制

新穎的處理能力使得小型針尖

能夠以 5 倍的速度掃描,以支援

巨拓樸及微拓樸,而不需要更換

針尖

提高多達 40% 的生產能力及更可

靠的隔離系統,提供最具生產價

值的表面度量解決方案

Stylus Lifetime Step Height Measurement

Cu

rso

r H

eig

ht

(A)

-1080.0

-1070.0

-1060.0

-1050.0

-1040.0

-1030.0

>100k

菱形基礎的針尖可提供最長的運作壽命,一般而言是 AFM 針尖的 100 倍。

特有的 20nm UltraSharpTM 菱形針尖及低雜訊平

台,可提供增強的橫向解析度。

產品新聞1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46