2014 12th ieee international conference on solid …toc.proceedings.com/25084webtoc.pdfguilin, china...

26
IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014) Guilin, China 28-31 October 2014 Pages 855-1721 2/2

Upload: others

Post on 03-Aug-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

IEEE Catalog Number: ISBN:

CFP14829-POD 978-1-4799-3284-9

2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014)

Guilin, China 28-31 October 2014

Pages 855-1721

2/2

Page 2: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

TABLE OF CONTENTS

EVOLVING CHALLENGES AND TECHNIQUES FOR NANOMETER SOC CLOCK NETWORK SYNTHESIS........................................................................................................................................................................... 1

Roy, S. ; Mattheakis, P.M. ; Masse-Navette, L. ; Pan, D.Z.

OPERATION-CONDITION AND TIMING-ERROR COLLABORATIVE MONITORING FOR FIXED-LATENCY AVS DESIGNS..................................................................................................................................... 5

Chou, Pei-Yuan ; Liou, Chung-Ling ; Wang, Jinn-Shyan ; Lin, Tay-Jyi

A CUSTOMIZED MEMCACHED FPGA CHIP FOR BIG DATA.................................................................................. 8Huiyao An ; Dunwei Liu ; Lei Li ; Ning Qi ; Tao Yu ; Peng Zhang ; Xing Zhang

DESIGN AND IMPLEMENTATION OF DUAL-PORT NETWORK ON CHIP......................................................... 11Duoli Zhang ; Shiyuan Li ; Yukun Song

A SECURITY COPROCESSOR EMBEDDED SYSTEM-ON-CHIP ARCHITECTURE FOR SMART METERING, CONTROL AND COMMUNICATION IN POWER GRID .................................................... 15

Weilong Zhang ; Yuxiang Yuan ; Yang Liu ; Yapeng Zhang ; Xueping Jiang

A NOVEL JAVA COPROCESSOR WITH DATA HAZARD HANDLING ON FPGA FOR IC BANK CARD ................................................................................................................................................................................... 18

Yonghong Bai ; Liji Wu ; Beibei Wang ; Xiangmin Zhang

THERMAL-AWARE DEVICE DESIGN OF NANO-SCALE DEVICES ..................................................................... 21Uchida, K. ; Takahashi, T.

VERTICAL HETEROSTRUCTURE FIELD EFFECT TRANSISTOR FOR HIGH PERFORMANCE ELECTRONICS .................................................................................................................................. 23

Yuan Liu ; Yu Huang ; Xiangfeng Duan

FIRST-PRINCIPLE STUDY OF EDGE-MODIFIED ZGNRS AND NICKEL CONTACT ........................................ 27Lu Wang ; Zhi-Jun Qiu ; Ran Liu

LOW FREQUENCY 1/F NOISE IN GRAPHENE FETS ................................................................................................ 30Tiaoyang Li ; Qingguo Gao ; Zijun Wei ; Xuefei Li ; Yunyi Fu ; Yanqing Wu

REVISIT RESISTANCE MONITORING TECHNIQUES FOR MEASURING TSV/SOLDER RESISTANCE DURING ELECTROMIGRATION TEST ............................................................................................. 33

Cher Ming Tan ; Narula, U.

CHEMICALLY VAPOR DEPOSITED CARBON NANOTUBES FOR VERTICAL ELECTRONICS INTERCONNECT IN PACKAGING APPLICATIONS ................................................................................................. 40

Johan Liu ; Yifeng Fu ; Di Jiang

A PVT VARIATION TESTING AND COMPENSATING METHOD FOR SIGLE-CHIP DIGITAL- ANALOG MIXED TCXO................................................................................................................................................... 46

Haixiao Li ; Shulong Li ; Dong Wu ; Liyang Pan

AREA OVERHEAD REDUCTION FOR SMALL-DELAY DEFECT DETECTION USING ON-CHIP DELAY MEASUREMENT...................................................................................................................................... 49

Wenpo Zhang ; Namba, K. ; Ito, H.

THERMAL SENSOR AND TEST TECHNOLOGY IMPROVING FOR AUTOMOTIVE ICS................................. 52Yong Zong ; Xia Xiao ; Rui Zhu

STUDY OF SPARKOVER ISSUE IN PACKAGING ...................................................................................................... 55Song Li ; Chuan-Bin Zeng ; Tao Ni ; Jia-Jun Luo ; Zheng-Sheng Han

SYNCHROTRON BASED IN SITU CHARACTERIZATION DURING ATOMIC LAYER DEPOSITION ...................................................................................................................................................................... 58

Dendooven, J. ; Devloo-Casier, K. ; Coati, A. ; Portale, G. ; Bras, W. ; Ludwig, K. ; Detavernier, C.

CHARGING EFFECT IN SILICON NANOCRYSTALS OBSERVED BY ELECTROSTATIC AND KELVIN-PROBE FORCE MICROSCOPY ..................................................................................................................... 62

Jie Xu ; Jun Xu ; Dan Shan ; Wei Li ; Ling Xu ; Linwei Yu ; Kunji Chen

EFFECT OF TIN CAPPING LAYER ON BARRIER INHOMOGENEITIES FOR TISIX/SI SCHOTTKY DIODE........................................................................................................................................................... 65

Wu Peng ; Lin-Lin Wang ; Yu-Long Jiang

MICROSTRUCTURES AND CARRIER TRANSPORT BEHAVIORS OF NANOCRYSTALLINE SILICON THIN FILMS...................................................................................................................................................... 68

Dan Shan ; Jie Xu ; Peng Lu ; Jun Xu ; Kunji Chen

GAAS-ON-INSULATOR FABRICATED VIA ION-CUT IN EPITAXIAL GAAS /GE SUBSTRATE ...................... 71Yongwei Chang ; Da Chen ; Zengfeng Di ; Miao Zhang ; Wenjie Yu ; Xi Wang

Page 3: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

AN OVERVIEW OF SOLID-STATE ELECTRONIC SOURCES AND DETECTORS FOR TERAHERTZ IMAGING .................................................................................................................................................. 74

Rieh, Jae-Sung ; Yoon, Daekeun ; Yun, Jongwon

THE THRESHOLD VOLTAGES OF LOW TEMPERATURE POLYCRYSTALLINE SILICON THIN FILM TRANSISTORS............................................................................................................................................. 78

Mingxiang Wang ; Jieyun Zhou ; Xiaoliang Zhou

A NOVEL COLOR IMAGE CONTRAST ENHANCEMENT ALGORITHM USING SATURATION-LIGHTNESS COMBINED STRETCHING IN THE HSL COLOR SPACE FOR AMOLED APPLICATIONS .............................................................................................................................................. 82

Chuifu Dan ; Qi Zhang ; Hui Wang ; Songlin Feng

EXTRACTION OF STRUCTURE PARAMETERS OF A-IGZO TFTS BASED ON CV MEASUREMENT ............................................................................................................................................................... 85

Xuekai Guo ; Mingxiang Wang ; Qi Shan

STUDY OF DC SPUTTERED CU2O GROWTH AND P-CU2O/ N-IGZO HETEROJUNCTIONS ........................... 88Ji-Yu Feng ; Chun-Feng Hu ; Xin-Ping Qu

PROPERTIES OF AL-DOPED ZNO FILM TRANSISTORS WITH DIFFERENT SOURCE AND DRAIN ELECTRODES ...................................................................................................................................................... 91

Cheng Zhang ; Dan Xie ; Jianlong Xu ; Gang Li ; Xiaowen Zhang ; Yilin Sun ; Yuanfan Zhao ; Tingting Feng ; Tianling Ren

METAL-CNT CONTACTS ................................................................................................................................................ 94Wilhite, P. ; Vyas, A.A. ; Yang, C.Y.

INVESTIGATION OF THE ADHESION PROPERTIES BETWEEN COXMOY ALLOYS AND POROUS LOW-K ............................................................................................................................................................. 100

Li-Ao Cao ; Hui Feng ; Xu Wang ; Xin-Ping Qu

LOW DIELECTRIC CONSTANT FILMS DEPOSITED BY PECVD USING TETRAETHOXYSILANE AND LIMONENE AS PRECURSORS ............................................................................. 103

Zai-Shang Tan ; Shi-Jin Ding ; Zhong-yong Fan ; Wei Zhang

PROPERTIES OF DIRECTLY ELECTROPLATED COPPER ON COMO ALLOY DIFFUSION BARRIER........................................................................................................................................................................... 106

Xu Wang ; Hyunsuk Kim ; Xin-Ping Qu

EFFECT OF GLYCINE ON CMP PROCESS OF MOLYBDENUM .......................................................................... 109Hui Feng ; Li-Ao Cao ; Xin-Ping Qu

III–V-IV INTEGRATION TOWARD ELECTRONICS AND PHOTONICS CONVERGENCE ON A SILICON PLATFORM..................................................................................................................................................... 112

Kei May Lau ; Qiang Li

A NOVEL RF SWITCH DEVICE USING INGAAS MOSFET TECHNOLOGY ...................................................... 116Jiahui Zhou ; Hudong Chang ; Xufang Zhang ; Jingzhi Yang ; Zhenhua Zeng ; Xu Yang ; Honggang Liu ; Simin Li ; Qi Li ; Wenjun Xu ; Haiou Li

REALIZATION OF SILICON CARBIDE MIS CAPACITORS WITH HIGH-K AND HIGH-K STACK DIELECTRIC ..................................................................................................................................................... 119

Papanasam, E. ; Kailath, B.J.

DESIGN AND OPTIMIZATION OF LINEARLY GRADED FIELD LIMITING RING TERMINATION FOR HIGH-VOLTAGE SIC DIODES .............................................................................................. 122

Xiaochuan Deng ; Yi Wen ; Xiangdong Wang ; Yongwei Wang ; Yong Wang ; Fei Yang ; Hao Wu ; Bo Zhang

DESIGN AND OPTIMIZATION OF ON-CHIP VOLTAGE REGULATORS FOR HIGH PERFORMANCE APPLICATIONS ............................................................................................................................... 125

Pingqiang Zhou

GRAPH SPARSIFICATION APPROACHES TO SCALABLE INTEGRATED CIRCUIT MODELING AND SIMULATIONS ................................................................................................................................ 129

Zhuo Feng ; Xueqian Zhao ; Lengfei Han

TWO FAST APPROACHES FOR 3D THERMAL SIMULATION OF INTEGRATED CIRCUITS ...................... 133Wenjian Yu

A CHIP-LEVEL TRANSIENT ELECTRO-THERMAL FIELD SIMULATOR WITH GATE CAPACITANCE AND MATRIX EXPONENTIAL....................................................................................................... 137

Qinggao Mei ; Ngai Wong ; Quan Chen

116DB SFDR DELTA-SIGMA MODULATOR WITH A NOVEL GM-BOOST OPAMP FOR AUDIO APPLICATION ................................................................................................................................................... 141

Yongsheng Wang ; Houchen Ji ; Hongyin Wang ; Lin Song ; Yonglai Zhang

A 5.4 GHZ ALL-DIGITAL PHASE-LOCKED LOOP WITH A WIDE OUTPUT SWING AND HIGH-LINEARITY DAC ................................................................................................................................................. 144

Li Liu ; Weixin Gai

Page 4: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A PHASE-ERROR CANCELLATION TECHNIQUE FOR FAST-LOCK PLL ........................................................ 147Zhaoming Ding ; HaiQi Liu ; Qiang Li

A 50MHZ–812MHZ, 700μW LOW-POWER PLL WITH A CONSTANT KVCO RING OSCILLATOR................................................................................................................................................................... 150

Shunli Ma ; Jianbing Jiang ; Guangyao Zhou ; Ning Li ; Ye Fan ; Junyan Ren

A 1.5–1.9GHZ PHASE-LOCKED LOOP (PLL) FREQUENCY SYNTHESIZER WITH AFC AND S-Σ-Δ MODULATOR FOR SUB-GHZ WIRELESS TRANSCEIVER............................................................................ 153

Zongming Jin ; Xiaobao Yu ; Siyang Han ; Ying Song ; Ziqiang Wang ; Jia Wen ; Baoyong Chi

AN OUTPUT CAPACITORLESS LOW-DROPOUT REGULATOR BASED ON FLIPPED VOLTAGE FOLLOWER ................................................................................................................................................. 156

Xiliang Liu ; Zheng Song ; Jia Wen ; Baoyong Chi

LOW-POWER BEYOND-CMOS DEVICES ................................................................................................................. 159An Chen

TUNNEL FIELD-EFFECT TRANSISTORS - UPDATE .............................................................................................. 163Seabaugh, A. ; Hao Lu

TOWARD 0.1V OPERATION OF MOSFETS FOR ULTRA-LOW POWER APPLICATIONS ............................. 167Hiramoto, T. ; Ueda, A. ; Seung-Min Jung ; Mizutani, T. ; Saraya, T.

10NM FINFET TECHNOLOGY FOR LOW POWER AND HIGH PERFORMANCE APPLICATIONS ............................................................................................................................................................... 171

Guo, D. ; Shang, H. ; Seo, K. ; Haran, B. ; Standaert, T. ; Gupta, D. ; Alptekin, E. ; Bae, D. ; Bae, G. ; Chanemougame, D. ; Cheng, K. ; Cho, J. ; Hamieh, B. ; Hong, J. ; Hook, T. ; Jung, J. ; Kambhampati, R. ; Kim, B. ; Kim, H. ; Kim, K. ; Kim, T. ; Liu, D. ; Mallela, H. ; Montanini, P. ; Mottura, M. ; Nam, S. ; Ok, I. ; Park, Y. ; Paul, A. ; Prindle, C. ; Ramachandran, R. ; Sardesai, V. ; Scholze, A. ; Seo, S. ; Southwick, R. ; Strane, J. ; Sun, X. ; Tsutsui, G. ; Tripathi, N. ; Vega, R. ; Weybright, M. ; Xie, R. ; Yeh, C. ; Bu, H. ; Burns, S. ; Canaperi, D. ; Celik, M. ; Colburn, M. ; Jagannathan, H. ; Kanakasabaphthy, S. ; Kleemeier, W. ; Liebmann, L. ; Mcherron, D. ; Oldiges, P. ; Paruchuri, V. ; Spooner, T. ; Stathis, J. ; Divakaruni, R. ; Gow, T. ; Iacoponi, J. ; Jenq, J. ; Sampson, R. ; Yang, W. ; Khare, M.

LATEST ADVANCES IN GALLIUM NITRIDE HEMT MODELING ...................................................................... 175Qiang Chen

GAN-BASED METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT TRANSISTORS ........................................ 179Ching-Ting Lee ; Ya-Lan Chou

GALLIUM NITRIDE BASED HEMTS FOR POWER APPLICATIONS: HIGH FIELD TRAPPING ISSUES ............................................................................................................................................................................... 183

Meneghini, M. ; Zanoni, E. ; Meneghesso, G.

CURRENT AND LIGHT EMISSION EFFICIENCY BEHAVIORS IN GAN-BASED LEDS .................................. 187Li, Lisha ; Guan, Jie ; Yan, Dawei ; Yang, Guofeng ; Xiao, Shaoqing ; Gu, Xiaofeng

REALIZATION OF GAN-BASED HIGH FREQUENCY PLANAR SCHOTTKY BARRIER DIODES THROUGH AIR-BRIDGE TECHNOLOGY ................................................................................................. 190

Shixiong Liang ; Yulong Fang ; Dong Xing ; Junlong Wang ; Lisen Zhang ; Dabao Yang ; Xiongwen Zhang ; Zhihong Feng

ATOMICALLY CONTROLLED PROCESSING FOR NITROGEN DOPING OF GROUP IV SEMICONDUCTORS....................................................................................................................................................... 193

Murota, Junichi ; Sakuraba, Masao ; Tillack, Bernd

DEFECT ENGINEERING VIA SURFACES FOR METAL-OXIDE ELECTRONICS............................................. 197Seebauer, E.G.

A COMPARISON OF DONOR MAPPING TECHNIQUES USING THE SCANNING HELIUM ION MICROSCOPE AND SCANNING ELECTRON MICROSCOPE............................................................................... 201

Chee, A.

SILICON-MIGRATION TECHNOLOGY FOR MEMS-CMOS MONOLITHIC INTEGRATION........................ 204Fan Zeng ; Man Wong

BASIC PROPERTIES OF ELECTROLYTE-OXIDE-SEMICONDUCTOR STRUCTURES WITH APPLICATIONS IN BIOCHEMICAL SENSING ......................................................................................................... 208

Hao Wang ; Jianguang Gao ; Zhuojie Chen ; Wengang Wu

A SELF-POWERED SUN TRACKING SYSTEM BASED ON A NOVEL LIGNT DIRECTION SENSOR ............................................................................................................................................................................. 211

Hongyi Wang ; Youyou Fan ; Tao Luo ; Zhijian Lu

DESIGN AND FABRICATION OF MICROFLUIDIC CHANNEL USING DRY FILM PHOTORESIST FOR AIR SAMPLING APPLICATION ............................................................................................ 214

Sun, Jianwen ; Liu, Zewen ; Yang, Kun ; Lu, Yanwu

A COMPLETE DESIGN FLOW OF DIELECTROPHORETIC CHIP ...................................................................... 217Jian Pan ; Jia Zhou

Page 5: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A LOW-NOISE SC-TYPE INTERFACE ASIC FOR A CLOSED-LOOP MEMS GYROSCOPE ........................... 220Mingxing Liu ; Wengao Lu ; Tingting Tao ; Hai Chu ; Xiaoliang Li ; Zhongjian Chen ; Yacong Zhang ; Guizhen Yan

A NOVEL ELECTROSTATIC VIBRATION ENERGY HARVESTER ARRAY USING SIDEWALL ELECTRIC FIELD ........................................................................................................................................................... 223

Ling Bu ; Huiyong Xu ; Bingji Xu

TIME-DEPENDENT DEVICE-TO-DEVICE VARIATION ACCOUNTING FOR WITHIN-DEVICE FLUCTUATION (TVF): A NEW CHARACTERIZATION TECHNIQUE ................................................................ 226

Zhang, J.F. ; Meng Duan ; Zhigang Ji ; Weidong Zhang

A SCALABLE DEVICE ARRAY FOR STATISTICAL DEVICE-AGING CHARACTERIZATION..................... 230Sato, T. ; Awano, H. ; Hiromoto, M.

SOFT ERRORS AND NBTI IN SIGE PMOS TRANSISTORS .................................................................................... 234Fleetwood, D.M. ; Zhang, E.X. ; Duan, G.X. ; Zhang, C.X. ; Samsel, I.K. ; Hooten, N.C. ; Bennett, W.G. ; Schrimpf, R.D. ; Reed, R.A. ; Linten, D. ; Mitard, J.

NOVEL VOLTAGE STEP STRESS (VSS) TECHNIQUE FOR FAST LIFETIME PREDICTION OF HOT CARRIER DEGRADATION.................................................................................................................................. 238

Xixiang Feng ; Pengpeng Ren ; Zhigang Ji ; Runsheng Wang ; Sutaria, K.B. ; Yu Cao ; Ru Huang

GAN-BASED LEDS FABRICATED BY ION IMPLANTATION TECHNOLOGY .................................................. 241Chang, S.J. ; Sheu, J.K. ; Lai, W.C.

WIDE BANDGAP SIC POWER DEVICES ................................................................................................................... 242Chan, I. ; Yen, C.T. ; Hung, C.C. ; Lee, C.Y.

TI/AL/AU OHMIC CONTACTS TO P-TYPE 4H-SIC ................................................................................................. 246Chao Han ; Yuming Zhang ; Qingwen Song ; Yimen Zhang ; Xiaoyan Tang ; Hui Guo

GEOMETRICAL SCALING EFFECTS IN INP/INGAAS DOUBLE HETEROJUNCTION BIPOLAR TRANSISTOR ................................................................................................................................................ 249

Min Liu ; Yuming Zhang ; Hongliang Lu ; Yimen Zhang ; Jincan Zhang ; Chenghuan Li ; Wei Zhou ; Lifan Wu

4H-SIC TRENCH MOSFETS BASED ON MULTILAYER EPITAXIAL STRUCTURES ...................................... 252Qingwen Song ; Yuming Zhang ; Yanjing He ; Chao Han ; Lei Yuan ; Hao Yuan ; Zhang Yimen ; Xiaoyan Tang ; Hui Guo

TWO-MESA, GUARD RINGS ASSISTED TWO-ZONE JTE FOR ULTRAHIGH-VOLTAGE(>10KV) 4H-SIC P-I-N DIODES .................................................................................................................. 255

Yang Ding ; Ruifeng Yue ; Li Zhang ; Yan Wang

ENHANCED DIELECTRIC NONLINEARITY WITH CONTROLLED DOMAIN NUCLEATION IN FERROELECTRIC THIN FILMS ............................................................................................................................ 258

Anquan Jiang ; Tingao Tang

3D RRAM: DESIGN AND OPTIMIZATION ................................................................................................................ 262Jinfeng Kang ; Bin Gao ; Chen, B. ; Huang, P. ; Zhang, F.F. ; Deng, Y.X. ; Liu, L.F. ; Liu, X.Y. ; Chen, H.-Y. ; Jiang, Z. ; Yu, S.M. ; Wong, H.-S.P.

CHARACTERIZATION OF RELIABILITY IN 3-D NAND FLASH MEMORY...................................................... 266Jong-Ho Lee ; Sung-Min Joe ; Ho-Jung Kang

MULTILEVEL RESISTIVE SWITCHING IN HFOX/TIOX/HFOX/TIOX MULTILAYER-BASED RRAM WITH HIGH RELIABILITY ............................................................................................................................. 270

Wenjia Ma ; Lifeng Liu ; Yiran Wang ; Zhe Chen ; Bing Chen ; Bin Gao ; Xiaoyan Liu ; Jinfeng Kang

A COMPREHENSIVE SPEED-POWER ANALYSIS OF RESISTIVE SWITCHING MEMORY ARRAYS WITH SELECTION DEVICES...................................................................................................................... 273

Haitong Li ; Peng Huang ; Zhe Chen ; Bing Chen ; Bin Gao ; Lifeng Liu ; Xiaoyan Liu ; Jinfeng Kang

DESIGN CHALLENGES OF HIGH SPEED ADC IN CMOS TECHNOLOGY FOR NEXT GENERATION OPTICAL COMMUNICATION APPLICATIONS........................................................................... 276

Long Zhao ; Yuhua Cheng

NONLINEARITY ANALYSIS OF THE BOOSTED BUCKET BRIGADE DEVICE FOR HIGH-SPEED CHARGE-DOMAIN ADCS ................................................................................................................................ 280

Xiaowei Wang ; Hong Zhang ; Dong Li ; Jun Cheng ; Ruizhi Zhang

10B 25 MS/S PIPELINED SAR ADC WITH DUAL- PHASE ZERO-CROSSING DETECTOR ............................. 283Pengcheng Yan ; Yan Song ; Peipei Ran ; Li Geng

A 14B 200MHZ POWER-EFFICIENT PIPELINED FLASH-SAR ADC .................................................................... 286Jifang Wu ; Fule Li ; Weitao Li ; Chun Zhang ; Zhihua Wang

INTEGRATED SUCCESSIVE APPROXIMATION REGISTER ANALOG-TO-DIGITAL CONVERTER FOR HEALTHCARE SYSTEMS APPLICATIONS ........................................................................... 289

Wen-Cheng Lai ; Jhin-Fang Huang ; Ting Ye ; Chieh Wen Shih

AN 8-BIT 1-GS/S FLASH-ASSISTED TIME-INTERLEAVED SAR ADC ................................................................ 292Jixuan Xiang ; Huabin Chen ; Chixiao Chen ; Fan Ye ; Jun Xu ; Junyan Ren

10 YEARS OF TRANSISTOR INNOVATIONS IN SYSTEM-ON-CHIP (SOC) ERA .............................................. 295Chia-Hong Jan

Page 6: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

28NM LP SOC NEW PRODUCT BRING-UP FOR SMART MOBILE DEVICES ................................................... 299Yan Sun ; Jun Yuan ; Hao Wang ; Chien-Liang Chen ; Leo Kim ; Kim, J. ; Yeap, G.

A NOVEL SCALING THEORY FOR FULLY DEPLETED PI-GATE (ΠG) MOSFETS ......................................... 303Chiang, Te-Kuang ; Gao, Hong-Wun ; Liu, Che-Wei ; Tsou, Tsung-Ying ; Chiu, Yi-Hung

FDSOI APPLICATIONS AND OPPORTUNITY IN CHINA....................................................................................... 306Zhongli Liu ; Kai Zhao

A LOW POWER CMOS TECHNOLOGY COMPATIBLE NON-VOLATILE SRAM CELL................................. 309Lina Wang ; Jinhui Wang ; Zezhong Yang ; Ligang Hou ; Na Gong

DESIGN AND IMPLEMENTATION OF IEEE 802.15.7 VLC PHY-I TRANSCEIVER........................................... 312Fengyu Che ; Hussain, B. ; Liang Wu ; Yue, C.P.

LOW-POWER ULTRAHIGH-SPEED MOBILE COMMUNICATION WITH TERAHERTZ CMOS CIRCUITS.......................................................................................................................................................................... 316

Fujishima, M.

AN 8 TO 9 GHZ LC-VCO AND HARMONIC-SUPPRESSED CML DIVIDER WITH LOW SUPPLY VOLTAGE FOR FMCW SYNTHESIZER .................................................................................................... 320

Wei Wang ; Wei Li ; Ning Li ; Junyan Ren

A 2.5–4.5 GHZ CMOS FAST SETTLING PLL FOR IR-UWB RADAR APPLICATIONS ...................................... 323Zhicheng Wang ; Xican Chen ; Yiyu Shen ; Woogeun Rhee ; Zhihua Wang

A NOVEL BODY SELF-BIASED TECHNIQUE FOR ENHANCED RF PERFORMANCE OF A SP8T ANTENNA SWITCH IN PARTIALLY DEPLETED CMOS-SOI TECHNOLOGY ....................................... 326

Zhihao Zhang ; Liang Huang ; Kai Yu ; Zhang, G.

A 97MW 0–4GHZ 65NM CMOS CONCURRENT RECEIVER .................................................................................. 329Rivet, F. ; Deval, Y.

NEW ALGORITHMS FOR COMPUTATION ACCELERATION FOR LARGE-SCALE SMART GRIDS ................................................................................................................................................................................ 332

Yi Liang ; Deming Chen

OVERVIEW OF COMMERCIALLY-AVAILABLE ANALOG/RF SIMULATION ENGINES AND DESIGN ENVIRONMENT .............................................................................................................................................. 336

Bin Wan ; Xingang Wang

MASK OPTIMIZATION BASED ON BLOCK NON-UNIFORM GRID CONVOLUTION .................................... 340Xianhua Liang ; Jinyu Zhang ; Yaping Sun

STUDY ON LAYOUTS DESIGN OF THE VOLTAGE SUSTAINING LAYER USING HIGH-K INSULATOR ..................................................................................................................................................................... 343

Mingmin Huang ; Xingbi Chen

A GLOBAL-AWARE BANDWIDTH-CONSTRAINT ROUTING SCHEME FOR NETWORK-ON-CHIP ................................................................................................................................................................................... 346

Liulin Zhong ; Ming'e Jing ; Zhiyi Yu ; Xiaoyang Zeng

ANT SYSTEM BASED 3D FIXED-OUTLINE FLOOR PLANNING ......................................................................... 349Xu, Qi ; Chen, Song ; Li, Bin

INTEGRATED PIPELINED TASK SCHEDULING AND CORE MAPPING FOR STREAMING APPLICATIONS ON MULTI-CORE SYSTEMS.......................................................................................................... 352

Mingze Ma ; Ming'e Jing ; Zhiyi Yu ; Xiaoyang Zeng

SPIN TRANSFER TORQUE MEMORIES AND LOGIC GATES .............................................................................. 355Mengxing Wang ; Yu Zhang ; Xueying Zhang ; Klein, J.-O. ; Chappert, C. ; Weisheng Zhao

PHYSICAL MODEL OF ELECTROFORMING MECHANISM IN OXIDE-BASED RESISTIVE SWITCHING DEVICES (RRAM)................................................................................................................................... 359

Pengxiao Sun ; Ling Li ; Nianduan Lu ; Hangbing Lv ; Su Liu ; Ming Liu

STUDY OF THE SET STATISTICAL CHARACTERISTICS IN THE CU/HFO2/PT-BASED RRAM DEVICE ............................................................................................................................................................................. 362

Meiyun Zhang ; Shibing Long ; Guoming Wang ; Yang Li ; Xiaoxin Xu ; Hongtao Liu ; Ming Wang ; Pengxiao Sun ; Haitao Sun ; Qi Liu ; Hangbing Lv ; Ming Liu

A NOVEL PNPN BIPOLAR SELECTOR FOR RRAM ARRAY APPLICATION.................................................... 365Ming Wang ; Xiaodong Tong ; Hangbing Lv ; Shibing Long ; Qi Liu ; Xiaoxin Xu ; Guoming Wang ; Hongtao Liu ; Meiyun Zhang ; Ming Liu

CHARACTERISTICS OF LOW FREQUENCY NOISE IN N+SI-HFO2-NI RESISTIVE RANDOM ACCESS MEMORY ......................................................................................................................................................... 368

Yadong Zhao ; Daming Huang ; Dongyi Lu ; Tran Xuan Anh ; Hongyu Yu ; Ming-Fu Li

MICROSCOPIC ORIGIN OF TRANSITION FROM THRESHOLD SWITCHING TO MEMORY SWITCHING IN OXIDE-ELECTROLYTE-BASED RRAM....................................................................................... 371

Haitao Sun ; Qi Liu ; Shibing Long ; Hangbing Lv ; Ming Liu

Page 7: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

TOWARDS CMOS-COMPATIBLE PHOTON-COUNTING IMAGERS IN THE WHOLE 10 NM – 1600 NM SPECTRAL RANGE WITH PUREB SI AND PUREGAB GE-ON-SI TECHNOLOGY .......................... 374

Nanver, L.K. ; Qi, L. ; Sammak, A. ; Mok, K.R.C. ; Aminian, M. ; Charbon, E.

CHARACTERISTICS OF DOUBLE-GATE A-IGZO TFT.......................................................................................... 378Xin He ; Xiang Xiao ; Wei Deng ; Longyan Wang ; Ling Wang ; Shipeng Chi ; Yang Shao ; Mansun Chan ; Shengdong Zhang

PIXEL DESIGN FOR TIME-OF-FLIGHT RANGE DETECTOR WITH TIME-TO-DIGITAL CONVERTER.................................................................................................................................................................... 381

Xiangliang Jin ; Lizhen Tang ; Hongjiao Yang ; Jia Yang ; Weihui Liu

IMPROVED ELECTRICAL PROPERTIES OF BOTTOM-GATE MIZO THIN FILM TRANSISTORS USING OXYGEN AND ARGON PLASMA TREATMENT ............................................................ 384

Chun-Feng Hu ; Ji-Yu Feng ; Yan-Ping Deng ; Xin-Ping Qu

ANALYSIS OF CHARGE TRANSFER LOSS INDUCED BY OFF-AXIS SLICING IN CMOS IMAGE SENSOR .............................................................................................................................................................. 387

Keosung Park ; Seong Bin Kim ; Sinsu Kyoung ; Jong-Won Choi ; Soon-Moon Jung ; Man Young Sung

PIXEL DESIGN OPTIMIZATION OF CMOS IMAGE SENSOR WITH LARGE DYNAMIC RANGE AND HIGH CHARGE TRANSFER EFFICIENCY ....................................................................................... 390

Bao, Yong-Xia ; Jiang, Yu-Long

TITANIUM DOPED ZINC-OXIDE BASED THIN FILM TRANSISTORS: OPTIMIZATION OF THE SOURCE/DRAIN MATERIALS ............................................................................................................................ 394

Nannan Zhao ; Dedong Han ; Zhuofa Chen ; Jing Wu ; Yingying Cong ; Junchen Dong ; Feilong Zhao ; Shengdong Zhang ; Xing Zhang ; Yi Wang

PHOTOVOLTAIC PROPERTIES OF ZNO/P-SI HETEROSTRUCTURE WITH GRAPHENE TRANSPARENT ELECTRODES ................................................................................................................................... 397

Yilin Sun ; Dan Xie ; Jianlong Xu ; Gang Li ; Cheng Zhang ; Xiaowen Zhang ; Yuanfan Zhao ; Tingting Feng ; Tianling Ren

FIELD EFFECT TRANSISTORS: FROM MOSFET TO TUNNEL-FET ANALOG PERFORMANCE PERSPECTIVE ................................................................................................................................. 400

Martino, J.A. ; Agopian, P.G.D. ; Simoen, E. ; Claeys, C.

FUTURE CMOS TECHNOLOGY FOR LOW NOISE INTEGRATED CIRCUIT DESIGNS ................................. 404Chih-Hung Chen ; Wu, D.Y. ; Yi Ching Cheng ; Chao Sheng Chen

P-N CHANNEL JUNCTIONLESS TRANSISTOR........................................................................................................ 408Hui Yang ; Yufeng Guo ; Yang Hong ; Jiafei Yao ; Jun Zhang ; Xincun Ji

INVESTIGATION OF SCATTERING MECHANISMS FOR SCALED MOSFETS ................................................ 411Lin-Lin Wang ; Wu Peng ; Jian Zhang ; Yuan-Hui Fang ; Yu-Long Jiang

INFLUENCE OF THE INTERFACE CHARGES' LOCATION ON THE THRESHOLD VOLTAGE OF PMOSFET ................................................................................................................................................................... 414

Kun Cao ; Wei He ; Xiao-Jin Zhao ; Jian-Min Cao

TOWARDS STEEP SLOPE MOSFETS USING FERROELECTRIC NEGATIVE CAPACITANCE .................... 417O'Neill, A. ; Appleby, D. ; Ponon, N. ; Kwa, K.

A NEW LOW POWER UNIPOLAR CMOS .................................................................................................................. 421Jyi-Tsong Lin ; Haga, S. ; Ming-Tsung Shih ; Yong-Huang Lin

A NOVEL NON-CLASSICAL UNIPOLAR CMOS INVERTER WITH ELEVATED BODY AND TWO EMBEDDED OXIDE ............................................................................................................................................. 425

Yong-Huang Lin ; Jyi-Tsong Lin ; Ming-Tsung Shih ; Po-Hsieh Lin ; Zih-Hao Huang ; Jyun-Min Syu ; Dai-Rong Lu ; Yu-Chun Wang

STATISTICAL ASPECTS OF FINFET BASED SRAM METRICS SUBJECT TO PROCESS AND STATISTICAL VARIABILITY....................................................................................................................................... 428

Xingsheng Wang ; Binjie Cheng ; Millar, C. ; Reid, D. ; Asenov, A.

PERFORMANCE INVESTIGATION OF P-TYPE GE- AND GE-CORE/SI-SHELL JUNCTIONLESS NANOWIRE TRANSISTORS .......................................................................................................... 431

Hao Xu ; Lei Sun ; Yi-Bo Zhang ; Jing-Wen Han ; Yi Wang ; Sheng-Dong Zhang

IMPACT OF BTI ON RANDOM LOGIC CIRCUIT CRITICAL TIMING ............................................................... 434Cheung, K.P. ; Lu, J.W. ; Jiao, G.F. ; Vaz, C. ; Campbell, J.P. ; Ryan, J.T.

MODELING OF AGING EFFECT FOR ADVANCED MOSFETS ............................................................................ 438Ma, C. ; Mattausch, H.J. ; Matsuzawa, K. ; Yamaguchi, S. ; Hoshida, T. ; Imade, M. ; Koh, R. ; Arakawa, T. ; Miura-Mattausch, M.

3D TCAD SIMULATION OF SINGLE-EVENT-EFFECT IN N-CHANNEL TRANSISTOR BASED ON DEEP SUB-MICRON FULLY-DEPLETED SILICON-ON-INSULATOR TECHNOLOGY ............................ 442

Jinshun Bi ; Bo Li ; Zhengsheng Han ; Jiajun Luo ; Li Chen ; Xuefang Lin-Shi

EFFECTS OF BIAS ON THE RADIATION RESPONSES OF SI-BASED TFETS ................................................... 445Lili Ding ; Gnani, E. ; Gerardin, S. ; Bagatin, M. ; Le Royer, C. ; Paccagnella, A.

Page 8: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

KEY FACTORS AFFECTING TRIGGER VOLTAGE OF SCRS FOR ESD PROTECTION ................................. 448Hailian Liang ; Long Huang ; Xiaofeng Gu ; Huafeng Cao ; Shurong Dong ; Liou, J.J.

AN EFFICIENT TEST STRUCTURE FOR INTERFACE TRAP CHARACTERIZATION UNDER BTI STRESSES.................................................................................................................................................................. 451

Yandong He ; Ganggang Zhang ; Lin Han ; Xing Zhang

SINGLE EVENT TRANSIENTS IN PDSOI CMOS INVERTER CHAIN IRRADIATED BY PULSED LASER ............................................................................................................................................................... 454

Xing Zhao ; Bo Mei ; Jinshun Bi ; Zhongshan Zheng ; Linchun Gao ; Chuanbin Zeng ; Jiajun Luo ; Fang Yu ; Zhengsheng Han

SIMULTANEOUS SNR AND SNR-VARIATION OPTIMIZATION FOR SIGMA-DELTA MODULATOR DESIGN .................................................................................................................................................. 457

Ailin Zhang ; Guoyong Shi ; Tan, S.X.-D. ; Jiandong Cheng

A 95-DB DR SECOND ORDER INCREMENTAL ΣΔ ADC FOR MULTI-CHANNEL HEALTHCARE APPLICATION .................................................................................................................................... 461

Xiaofei Cao ; Yanchao Wang ; Zhijun Fu ; Ting Yi ; Zhiliang Hong

AN ASYNCHRONOUS SAR ADC WITH GATE-CONTROLLED RING OSCILLATOR FOR MULTI-PHASE CLOCK GENERATOR ....................................................................................................................... 464

Jifang Wu ; Fule Li ; Chun Zhang

A BACKGROUND TIME-SKEW CALIBRATION FOR FLASH-ASSISTED TIME-INTERLEAVED SAR ADCS WITH REDUNDANT CHECK BIT.............................................................................. 467

Sijia Ma ; Yongzhen Chen ; Fan Ye ; Junyan Ren

AN 11-BIT 200MS/S SAR ADC IP FOR WIRELESS COMUNACATION SOC........................................................ 470Chunying Xue ; Ya Wang ; Fule Li ; Chun Zhang ; Zhihua Wang

A 12-BIT 100-MSPS PIPELINED-SAR ADC WITH A TIME-INTERLEAVED SECOND-STAGE ....................... 473Xiaoying Shen ; Hao Zhou ; Huabin Chen ; Fan Ye ; Ning Li ; Junyan Ren

A 12-BIT INCREMENTAL ΣΔ ADC FOR BATTERY MANAGEMENT SYSTEM IN ELECTRIC VEHICLES ........................................................................................................................................................................ 476

Xiaofei Wang ; Hong Zhang ; Guoqiang Yang ; Changyi Li ; Yue Hao

HYBRID OPTICAL AMPLIFIERS: DESIGN AND INVESTIGATION .................................................................... 479Liaw, Shien-Kuei ; Yu, Yi-Lin ; Liu, Ren-Young

AN SIGNED FIFTH-ORDER FEED-FORWARD SIGMA-DELTA DAC WITH 100-KHZ SIGNAL BANDWIDTH.................................................................................................................................................................... 483

Yongsheng Wang ; Lijun Dong ; Xiaomei Guo ; Lixin Zhang ; Xiaoxiao Ren ; Fengchang Lai

A 79KHZ, 24PPM/°C, ON-CHIP CMOS RELAXATION OSCILLATOR WITH A COMBINATION OF PRE-CHECKING COMPENSATION AND INTEGRAL FEEDBACK ............................................................... 486

Lili Zhou ; Lijie Yang ; Le Ye ; Xing Zhang ; Huailin Liao

FAST AND HIGH-PRECISION VCO FREQUENCY CALIBRATION TECHNIQUE FOR WIDE-BAND FREQUENCY SYNTHESIZER........................................................................................................................... 489

Yangyang Zhou ; Peng Qin ; Dongpo Chen

A 400MHZ CURRENT STARVED RING OSCILLATOR WITH TEMPERATURE AND SUPPLY VOLTAGE INSENSITIVITY .......................................................................................................................................... 492

Changxing Huo ; Tongsheng Xia ; Hongge Li

A 1.6–10.9 GHZ VOLTAGE-CONTROLLED RING OSCILLATOR FOR THE SERIAL INTERFACE OF HIGH-SPEED DATA CONVERTERS ............................................................................................. 495

Ang Gao ; Hong Zhang ; Huihui Mao ; Dong Li ; Mudan Zhang ; Jun Cheng

A 500-1000MS/S 12-BIT RESOLUTION LEVEL-SHIFT BOOTSTRAPPED SWITCH .......................................... 498Jingjing Wang ; Chixiao Chen ; Zemin Feng ; Fan Ye ; Junyan Ren

HIGH RESISTIVITY SOI WAFER FOR MAINSTREAM RF SYSTEM-ON-CHIP ................................................ 501Raskin, J.-P.

TOWARDS SOLID-STATE REALIZATION OF THZ SIGNAL GENERATION .................................................... 505Zhiping Yu ; Jinyu Zhang

A 60GHZ QUADRATURE LO SYNTHESIZER WITH 1.2° PHASE ERROR AND OVER 17% TUNING RANGE FOR IEEE 802.11AD APPLICATIONS.......................................................................................... 509

Di Li ; Lei Zhang ; Yan Wang

A K-BAND INJECTION-LOCKED FREQUENCY TRIPLER WITH INJECTION-ENHANCEMENT IN 130-NM CMOS ............................................................................................................................ 512

Wei Wang ; Wei Li ; Ning Li ; Junyan Ren

SPECIALIZED CONVOLVER & CORRELATOR DESIGN FOR PN SEQUENCE IN CHINESE DTMB SYSTEM................................................................................................................................................................ 515

Kai Ma ; Yuanzhou Hu ; Wei Meng ; Chen Yun ; Xiaoyang Zeng

Page 9: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A 3.1–4.8-GHZ DELAY-LINE-BASED FREQUENCY-HOPPING IR-UWB TRANSMITTER IN 65-NM CMOS TECHNOLOGY............................................................................................................................................ 518

Zhicheng Wang ; Xican Chen ; Yiyu Shen ; Woogeun Rhee ; Zhihua Wang

POD-BASED THERMAL MODEL FOR FINFET IC STRUCTURE ......................................................................... 521Cheng, M.-C. ; Wangkun Jia ; Helenbrook, B.T.

COMPACT MODELING BEYOND DEVICE PHYSICS............................................................................................. 525Lining Zhang ; Mansun Chan

INVESTIGATION OF TERAHERTZ PLASMA OSCILLATIONS IN NANO-SCALED DOUBLE-GATE MOSFETS BY MONTE CARLO METHOD ..................................................................................................... 529

Juncheng Wang ; Gang Du ; Xiaoyan Liu

IMPACT OF TRANSISTOR MODEL ACCURACY ON HARMONIC SPECTRA EMITTED BY LOGIC CIRCUITS ........................................................................................................................................................... 532

Khan, M.I. ; Fujiang Lin

PERFORMANCE STUDIES IN NANOWIRE FIELD-EFFECT TRANSISTORS WITH DIFFERENT CROSS SECTIONS ................................................................................................................................... 535

Haoran Xuan ; Jiewen Fan ; Yuancheng Yang ; Hao Zhang ; Ming Li ; Ru Huang

SYSTEMATIC COMPARISON BETWEEN A NEW LATTICE KINETIC MONTE CARLO METHOD AND CONVENTIONAL POLYHEDRON METHOD FOR STRESS SIMULATION IN FINFETS ............................................................................................................................................................................ 538

Yiluan Guo ; Jun Luo ; Guilei Wang ; Xingxing Ke ; Qingbo Liu ; Chao Zhao

PULSE-TRAIN MEASUREMENT TECHNIQUES: AN RRAM TEST VEHICLE FOR IN-DEPTH PHYSICAL UNDERSTANDING..................................................................................................................................... 541

Liang Zhao ; Nishi, Y.

3D RRAM DESIGN AND BENCHMARK WITH 3D NAND FLASH ......................................................................... 545Pai-Yu Chen ; Cong Xu ; Yuan Xie ; Shimeng Yu

OPTIMIZATION OF UNIFORMITY IN RESISTIVE SWITCHING MEMORY BY REDUCING THERMAL EFFECT ........................................................................................................................................................ 549

Zhe Chen ; Peng Huang ; Haitong Li ; Bing Chen ; Yi Hou ; Feifei Zhang ; Bin Gao ; Lifeng Liu ; Xiaoyan Liu ; Jinfeng Kang

SEU-HARDENED SRAM CELL ..................................................................................................................................... 552Shunchuang Li ; Hong Zhang ; Jiangyi Shi ; Peijun Ma

PASSIVATION OF III–V OXIDE INTERFACES FOR CMOS .................................................................................. 555Robertson, J.

INTERFACE ENGINEERING FOR HIGH-PERFORMANCE TOP-GATED MOS2 FIELD EFFECT TRANSISTORS ................................................................................................................................................................. 557

Lei Liao ; Xuming Zou

NITRIDATION INTERFACIAL-LAYER TECHNOLOGY: ENABLING LOW INTERFACE TRAP DENSITY AND HIGH STABILITY IN III-NITRIDE MIS-HEMTS .......................................................................... 560

Shu Yang ; Chen, K.J.

CHALLENGES FOR INGAAS N-MOSFETS IN THE FUTURE GENERATION SUB-10NM CMOS LOGIC DEVICES ............................................................................................................................................................. 564

Ming-Fu Li ; Shenwei Li ; Daming Huang ; Ye, P.D.

RECENT ADVANCES IN HIGH-K DIELECTRICS AND INTER LAYER ENGINEERING ................................ 568Ostling, M. ; Litta, E.D. ; Hellstrom, P.-E.

HIGH-K/METAL GATE SYSTEM AND RELATED ISSUES..................................................................................... 574Niwa, M.

EFFECT OF SULFUR PASSIVATION ON 6H-SIC SURFACE STABILITY ........................................................... 578Bishan Li ; Ying Yang ; Feng Li ; Qingqing Ying ; Miaomiao Chao ; Jin Chen

FABRICATION OF PUREB-ONLY LIGHT-ENTRANCE WINDOWS FOR VUV SENSITIVE SINGLE-PHOTON AVALANCHE DIODES ................................................................................................................. 581

Lin Qi ; Nanver, L.K.

CHARACTERISTICS OF LANTHANUM ALUMINATE MULTI-STACKED FILMS BY ALD AT VARIOUS TEMPERATURES......................................................................................................................................... 584

Richardson, M.T. ; Liu Hongxia

ATOMIC LAYER DEPOSITION OF HIGH-QUALITY HFO2 FILM ON GRAPHENE USING LOW ENERGY ELECTRON BEAM PRETREATMENT ........................................................................................... 587

Ye Qing ; Shen Chen ; Yuehui Jia ; Jian Guo ; Liming Ren ; Yunyi Fu ; Ru Huang ; Xing Zhang

GE GATE-ALL-AROUND FETS ON SI ........................................................................................................................ 590Liu, C.W. ; I-Hsieh Wong ; Yen-Ting Chen ; Wen-Hsien Tu ; Shih-Hsien Huang ; Shu-Han Hsu

Page 10: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

DOWNSCALED GRAPHENE NANODEVICES: HELIUM ION BEAM BASED NANOFABRICATION, GRAPHENE SINGLE-CARRIER TRANSISTORS (GSCTS) AND NANO-ELECTRO-MECHANICAL (GNEM) SWITCHES ...................................................................................................... 594

Mizuta, H. ; Iwasaki, T. ; Kalhor, N. ; Jian Sun ; Muruganathan, M.

A SUPERJUNCTION INSULATED GATE BIPOLAR TRANSISTOR WITH BILATERAL HK INSULATORS: A SOLUTION TO CHARGE IMBALANCE...................................................................................... 598

Hang Wei ; Jiang, Frank X.C. ; Xinnan Lin

SINGLE-LAYER GRAPHENE FIELD-EFFECT TRANSISTORS WITH FERROELECTRIC PZT GATE.................................................................................................................................................................................. 601

Xiaowen Zhang ; Dan Xie ; Jianlong Xu ; Haiming Zhao ; Cheng Zhang ; Yilin Sun ; Yuanfan Zhao ; Tingting Feng ; Gang Li ; Tianling Ren

LOW TEMPERATURE STUDY OF GAAS MOSFETS WITH ATOMIC LAYER EPITAXIAL LA2O3 ................................................................................................................................................................................ 604

Sichao Li ; Lin Dong ; Xuefei Li ; Peide Ye ; Yanqing Wu

A COMPARATIVE STUDY OF GE MOSFET USING AL2O3/GEOX/GE STACKS-FORMING HIGH QUALITY GEOX INTERFACE LAYER TO BOOST DEVICE PERFORMANCE ...................................... 607

Xu Yang ; Sheng-Kai Wang ; Bing Sun ; Wei Zhao ; Hu-Dong Chang ; Zhen-Hua Zeng ; Xiong Zhang ; Yi-Ping Cui ; Hong-Gang Liu

REDUCING MEMORY REQUIREMENTS IN CSA-BASED SCALABLE MONTGOMERY MODULAR MULTIPLIERS ........................................................................................................................................... 610

Tao Wu

PERFORMANCE OF ELECTRONIC DISPERSION COMPENSATOR FOR 10-GB/S MULTIMODE FIBER LINKS ......................................................................................................................................... 613

Kai Xu ; Bo Wang ; Torfs, G. ; Xin'an Wang ; Jiali Hou ; Chaoxun Wang ; Mo Wang ; Bauwelinck, J. ; Xin Yin

SYSTEM LEVEL POWER MODELING OF RECONFIGURABLE PROCESSOR USING THE LEAST SQUARE METHOD ........................................................................................................................................... 616

Yang Liu ; Chenchen Deng ; Leibo Liu ; Shouyi Yin ; Shaojun Wei

EXPLORING POTENTIALS OF PERPENDICULAR MAGNETIC ANISOTROPY STT-MRAM FOR CACHE DESIGN ..................................................................................................................................................... 619

Xiaolong Zhang ; Yuanqing Cheng ; Weisheng Zhao ; Youguang Zhang ; Todri-Sanial, A.

A TESTING SYSTEM FOR HIGH SPEED MULTI-CHANNEL ADC WITH LVDS DATA OUTPUT BASED ON FPGA ............................................................................................................................................................. 622

Qiang Zhang ; Yongzhen Chen ; Yuan Su ; Ye Fan ; Junyan Ren

A NEW ECC SCALAR MULTIPLICATION ALGORITHM WITH RANDOMIZED POWER CONSUMPTION............................................................................................................................................................... 625

Liping Wang ; Weike Wang ; Rong Zhang ; Xiang Wang

INTEGRATED CIRCUIT INTERCONNECT SYSTEM PRINCIPAL PARAMETER ABSTRACT BASED ON NEURAL NETWROK ................................................................................................................................. 628

Xinsheng Wang ; Chenxu Wang ; Yu Mingyan

DIGITAL INTEGRATED TEMPERATURE SENSORS FOR VLSI THERMAL MANAGEMENT ...................... 631Shuang Xie ; Wai Tung Ng

CMOS EQUIVALENT TIME SAMPLING CIRCUIT FOR BREAST CANCER DETECTION ............................. 635Kikkawa, T. ; Toya, A. ; Xia Xiao

MANAGEMENT OF THE LAST LEVEL CACHE FOR MULTIMEDIA APPLICATION SYSTEM ................... 639Lei Li ; Wei Zhang ; Huiyao An ; Xing Zhang

THE IMPLEMENTATION OF LARGE FFT ON HOMOGENEOUS MULTI-CORE SYSTEM ........................... 643Duoli Zhang ; Yang Zhang ; Yukun Song

A 25GB/S ADAPTIVE DECISION FEEDBACK EQUALIZER FOR 100G ETHERNET IN 65NM CMOS TECHNOLOGY ................................................................................................................................................... 647

Jinxing Guo ; Liji Wu ; Shuai Yuan ; Xuqiang Zheng ; Ziqiang Wang

LDPC DECODER FOR WIMAX ON NOC BASED MULTIPROCESSOR PLATFORM ....................................... 650Lingyun Zeng ; Tengyue Yuan ; Jun Han ; Xiaoyang Zeng

STABILITY ANALYSIS FOR INTEGRATED DC/DC CONVERTERS.................................................................... 653Xuefang Lin-Shi ; Allard, B. ; Jinshun Bi ; Bo Li

NOVEL BIDIRECTIONAL IO MULTIPLEXING CIRCUIT DESIGN ..................................................................... 656Junteng Zhang ; Jinhui Wang ; Na Gong

A SYSTEMATIC DESIGN METHODOLOGY FOR YIELD-DRIVEN NEAR-THRESHOLD SRAM DESIGN.............................................................................................................................................................................. 659

Chengzhi Jiang ; Zuochang Ye ; Yan Wang

A NEGATIVE VOLTAGE GENERATOR FOR THE SAMPLE-AND-HOLD CIRCUIT IN CHARGE-DOMAIN PIPELINED ADCS ....................................................................................................................... 662

Dong Li ; Hong Zhang ; Qing Wang ; Xiaowei Wang ; Ang Gao ; Jun Cheng

Page 11: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

NOVEL CMOS SRAM VOLTAGE LATCHED SENSE AMPLIFIERS DESIGN BASED ON 65 NM TECHNOLOGY ................................................................................................................................................................ 665

Zikui Wei ; Xiaohong Peng ; Jinhui Wang ; Haibin Yin ; Na Gong

A NOVEL 40-GB/S PAM4 TRANSMITTER WITH POWER-EFFICIENT PRE-EMPHASIS................................ 668Yang Wang ; Weixin Gai ; Liangxiao Tang

A 2 MHZ BUCK CONVERTER WITH DUAL-MODE CONTROL FOR POWER MANAGEMENT UNIT ................................................................................................................................................................................... 671

Yao Qian ; Danzhu, L.V. ; Qiuli Li ; Zhiliang Hong

A 19DBM 5.8GHZZ PA DEMONSTRATOR WITH A NOVEL LOW RON HIGH FT RF LDMOS ...................... 674Chaojiang Li ; Zierak, M. ; Wolf, R. ; Wang, D. ; Boenke, M. ; Hanyi Ding ; Feilchenfeld, N. ; Letavic, T.

A MINI UHF TAG WITH ON CHIP ANTENNA BASED ON GOLD BUMP PROCESS......................................... 678Hongwei, S. ; Huijun, C. ; Yuhui, H.

A LO GENERATION SYSTEM WITH OPTIMIZED AFC SCHEME FOR MULTIMODE GNSS RECEIVERS ...................................................................................................................................................................... 682

Songting Li ; Jiancheng Li ; Xiaochen Gu ; Hongyi Wang ; Zhaowen Zhuang

A 2.1MW, LOW PHASE NOISE WIDEBAND VOLTAGE CONTROLLED OSCILLATOR WITH SMALL KVCO FLUCTUATION....................................................................................................................................... 685

Weilei Feng ; Lili Zhou ; Xuwei Ye ; Jianhua Feng ; Hongfei Ye ; Xing Zhang

A LC QUADRATURE VCO WITH WIDE TUNING RANGE FOR TRPC-UWB APPLICATION IN 0.13-µM CMOS.................................................................................................................................................................. 688

Yiming Huo ; Xiaodai Dong ; Ping Lu

A RF-MEMS BASED DUAL-BAND TUNABLE FILTER WITH INDEPENDENTLY CONTROLLABLE PASSBANDS ................................................................................................................................... 691

Tianyu Jia ; Jing Ye ; Zewen Liu

A 4.28 PJ/ACCESS HIGH-DENSITY AVERAGE-8T SUB-THRESHOLD SRAM WITH REVERSE NARROW-WIDTH EFFECT (RNWE)-AWARE SIZING ........................................................................................... 694

Khayatzadeh, M. ; Yong Lian

DESIGN OF D FLIP-FLOPS WITH LOW POWER-DELAY PRODUCT BASED ON FINFET............................. 698Kai Liao ; Xiaoxin Cui ; Nan Liao ; Tian Wang

AN ADAPTIVE VOLTAGE SCALING DC-DC CONVERTER WITH EMBEDDED MINIMUM ENERGY POINT TRACKING........................................................................................................................................ 701

Dongjun Wang ; Ping Luo ; Jianluo Chen ; Pengfei Liao ; Shaowei Zhen

A 192NW INVERTER-BASED CHOPPER INSTRUMENTATION AMPLIFIER FOR MICROPOWER ECG APPLICATIONS........................................................................................................................ 704

Shi Wang ; Yixiao Wang ; Long Chen ; Jiayi Wang ; Xiaozhe Liu ; Le Ye ; Ru Huang ; Huailin Liao

A MINIMUM ENERGY POINT TRACKING CONVERTER BASED ON CONSTANT ENERGY PULSE ................................................................................................................................................................................ 707

Ping Luo ; Dongjun Wang ; Yikun Mo ; Shaowei Zhen ; Yajuan He

STATISTICAL ANALYSIS OF FOUR WRITE STABILITY METRICS IN FULLY DEPLETED SILICON-ON-THIN-BOX (SOTB) AND BULK SRAM CELLS AT LOW SUPPLY VOLTAGE ........................... 710

Hao Qiu ; Mizutani, T. ; Yamamoto, Y. ; Makiyama, H. ; Yamashita, T. ; Oda, H. ; Kamohara, S. ; Sugii, N. ; Saraya, T. ; Kobayashi, M. ; Hiramoto, T.

BIPOLAR RESISTIVE SWITCHING BEHAVIOR WITH HIGH ON/OFF RATIO OF TRANSPARENT MGTINIOX FILMS ........................................................................................................................... 713

Yeong-Her Wang ; Yu-Chi Chang

SIMULATION OF THE RRAM BASED NONVOLATILE SRAM CELL................................................................. 717Yang Zheng ; Peng Huang ; Haitong Li ; Xiaoyan Liu ; Jinfeng Kang ; Gang Du

INVESTIGATION OF CHARGE LOSS MECHANISMS IN 3D TANOS CYLINDRICAL JUNCTION-LESS CHARGE TRAPPING MEMORY.................................................................................................. 720

Xinkai Li ; Zongliang Huo ; Lei Jin ; Yan Wang ; Jing Liu ; DanDan Jiang ; Xiaonan Yang ; Ming Liu

A FIRST-PRINCIPLE ANALYSIS OF RESISTIVE SWITCHING ENHANCEMENT OF HFO2 THIN FILM INDUCED BY ZINC DOPING METHOD ............................................................................................... 723

Mingyi Rao ; Lin Chen ; Qing-Qing Sun ; Peng Zhou ; Zhang, D.W.

ULTRASMALL-VOLUME-CHANGE CHALCOGENIDE FOR PERFORMANCE IMPROVEMENT OF PHASE-CHANGE MEMORY ................................................................................................... 726

You Yin ; Hosaka, S.

NOVEL 1T-DRAM WITH FIN-GATE AND PILLAR STRUCTURE FOR HOLE STORAGE AND DATA RETENTION TIME IMPROVEMENT.............................................................................................................. 729

Yu-Chun Wang ; Jyi-Tsong Lin ; Po-Hsieh Lin ; Shih-Chuan Tseng ; Hung-Pei Hsu ; Dai-Rong Lu ; Yong-Huang Lin ; Jyun-Min Syu ; Zih-Hao Huang

Page 12: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

ORIGIN AND SUPPRESSING METHODOLOGY OF INTRINSIC VARIATIONS IN METAL-OXIDE RRAM BASED SYNAPTIC DEVICES............................................................................................................. 732

Bin Gao ; Peng Huang ; Bing Chen ; Lifeng Liu ; Xiaoyan Liu ; Jinfeng Kang

COMPACT MODELING OF III–V/SI FETS ................................................................................................................ 735Xing Zhou ; Siau Ben Chiah ; Syamal, B. ; Ajaykumar, A. ; Xu Liu ; Hongtao Zhou

ULTRA-LOW NOISE HEMTS FOR DEEP CRYOGENIC LOW-FREQUENCY AND HIGH-IMPEDANCE READOUT ELECTRONICS .................................................................................................................. 739

Jin, Y. ; Dong, Q. ; Cavanna, A. ; Gennser, U. ; Couraud, L. ; Ulysse, C.

IMPACT OF N- PLASMA TREATMENT ON THE CURRENT COLLAPSE OF ALGAN/GAN HEMTS............................................................................................................................................................................... 743

Zhihua Dong ; Ronghui Hao ; Zhili Zhang ; Yong Cai ; Baoshun Zhang ; Zhiqun Cheng

IMPACT OF PEALD ALN INTERFACIAL PASSIVATION LAYER ON THIN BARRIER ALGAN/GAN HEMTS ..................................................................................................................................................... 746

Xin Tan ; Yuanjie Lv ; Shaobo Dun ; Guodong Gu ; Zhihong Feng

STUDY ON SIMULTANEOUS FORMATION OF OHMIC CONTACTS ON P- AND N- TYPE 4H-SIC USING NI/TI/AL TERNARY SYSTEM .................................................................................................................. 749

Xufang Zhang ; Yidan Tang ; Huajun Shen ; Yun Bai ; Ruibin Huo ; Wenwu Wang ; Su Liu

TOWARDS FULL 3D, ZERO VARIABILITY AND ZERO POWER FUTURE MICRO/NANO-ELECTRONICS ................................................................................................................................................................ 752

Deleonibus, S.

DC AND ANALOG/RF INVESTIGATION ON GERMANIUM MOSFET WITH DOUBLE-SCHOTTKY-BARRIER SOURCE/DRAIN ................................................................................................................... 756

Hao Xu ; Lei Sun ; Yi-Bo Zhang ; Yu-Qian Xia ; Jing-Wen Han ; Yi Wang ; Sheng-Dong Zhang

HIGH PERFORMANCE GE-PMOSFET INTEGRATED ON SI PLATFORM ........................................................ 759Wang, S.K. ; Yang, X. ; Gong, Z. ; Liang, R. ; Sun, B. ; Zhao, W. ; Chang, H. ; Wang, J. ; Liu, H.G.

SURFACE PRETREATMENT AND PASSIVATION UTILIZING HIGH CONCETRATED HCL AND NH4F SOLUTION FOR GERMANIUM-BASED TECHNOLOGY................................................................... 762

Yuxuan Xia ; Bingxin Zhang ; Min Li ; Meng Lin ; Pengqiang Liu ; Yang Zhao ; Ming Li ; Xia An ; Xing Zhang ; Ru Huang

OBSERVATION OF FROZEN ELECTRONIC SATAES AT EPITAXIAL LA2O3/GAAS HETEROSTRUCTURE.................................................................................................................................................... 765

Liang Song ; Lin Dong ; Peide Ye ; Yanqing Wu

THE EFFECT OF IN-SITU OZONE ANNEALING PER CYCLE ON AL2O3 GATE DIELECTRIC DEPOSITED BY ATOMIC LAYER DEPOSITION USING TMA AND H2O FOR INGAAS MOS CAPACITOR ..................................................................................................................................................................... 768

Sun, B. ; Zhao, W. ; Li, S.Y. ; Chang, H.D. ; Wang, S.K. ; Pan, J.Q. ; Liu, H.G.

ANALYTICAL MODEL OF THE SUBTHRESHOLD BEHAVIOR IN SHORT-CHANNEL JUNCTIONLESS CYLINDRICAL SURROUNDING-GATE MOSFETS .................................................................. 771

Baili Zhang ; Haijun Lou ; Dan Li ; Xinnan Lin ; Mansun Chan

THE IMPACT OF THE THREE-DIMENSIONAL GATE ON THE TRIGATE FINFETS...................................... 774Chung, S.S.

FINFET AND GATE-ALL-AROUND DEVICE DESIGN AND PERFORMANCE/YIELD OPTIMIZATION .............................................................................................................................................................. 778

Gossmann, H.-J.L.

INVESTIGATION OF SPIN-ON-DOPANT FOR FABRICATING HIGH ON-CURRENT TUNNELING FIELD EFFECT TRANSISTOR............................................................................................................. 782

Wei-Chao Zhou ; Xi Lin ; Xiao-Yong Liu ; Xiang-Ming Xu ; Chun-Min Zhang ; Jin-Shan Shi ; Peng-Fei Wang ; Zhang, D.W.

A NOVEL BULK-FINFET WITH DUAL-MATERIAL GATE ................................................................................... 785Yang Hong ; Yufeng Guo ; Hui Yang ; Jiafei Yao ; Jun Zhang ; Xincun Ji

ATGPS USING REAL VALUE LOGIC SIMULATION .............................................................................................. 788Suzuki, G.

3D RC MODELING OF SUBSTRATE COUPLING NOISE IN HIGH-SPEED FLASH A/D CONVERTERS ................................................................................................................................................................. 792

Yongsheng Wang ; Hualing Yang ; Min Wang ; Yunfei Du

TRANSIENT SIMULATION OF ALGAN/GAN HEMT INCLUDING TRAPPING AND THERMAL EFFECTS ........................................................................................................................................................................... 795

Xingye Zhou ; Zhihong Feng ; Yuangang Wang ; Guodong Gu ; Xubo Song ; Shujun Cai

A NUMERICAL ANALYSIS ON THE THERMAL EFFECT IN GAN BASED GUNN DIODE ............................. 798Yong-Hong Huang ; Ying Wang ; Lin-An Yang ; Hui-Fang Zhai ; Zhi-Zhe Wang ; Yue Hao

Page 13: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

THE PATTERN ENGINEERING BEFORE WAFER BONDING IN SMART-CUT SOI FOR HIGH VOLTAGE APPLICATIONS .......................................................................................................................................... 801

Chia-Hui Cheng ; Gong, J.

HIGH PERFORMANCE ALGAN/GAN POWER DIODE WITH EDGE-TERMINATED HYBRID ANODE............................................................................................................................................................................... 804

Qi Zhou ; Ling Wang ; Xu Bao ; Jinyu Mou ; Yuanyuan Shi ; Zhaoyang Liu ; Wanjun Chen ; Bo Zhang

THE DESIGN METHODOLOGY OF A HIGH-PERFORMANCE DATAFLOW SUPERCOMPUTER ON A RECONFIGURABLE CHIPSET FOR USE IN 3D GRAPHICS APPLICATIONS ............................................................................................................................................................... 807

Li Yongsheng ; Chen, S.L. ; Zhang Wenhao ; Li Xiaojun ; Xu Tao ; Zhang Limin ; Yuan Shiming ; Chu Jingfeng

A HIGH PARALLEL MOTION COMPENSATION IMPLEMENTATION ON A COARSE-GRAINED RECONFIGURABLE PROCESSOR SUPPORTING H.264 HIGH PROFILE DECODING ....................................................................................................................................................................... 810

Wei Liu ; Leibo Liu ; Shouyi Yin ; Wei, S.

AN ALU-TO-GO ULTRA-LOW POWER DUAL MODE PROCESSOR ................................................................... 813Jing Qiu ; Yande Xiang ; Jianyi Meng ; Xiaolang Yan

MULTICORE BASED HIGHLY PARALLEL AND FLEXIBLE FRAMEWORK FOR HEVC MOTION ESTIMATION ................................................................................................................................................. 816

Yufeng Bai ; Yibo Fan ; Yanheng Lu ; Xiaoyang Zeng

ASYNCHRONOUS RESET DESIGN ARCHITECTURE ............................................................................................ 819Xiaoni Wei

A 1-G SAMPLE/S 71-DB SFDR CMOS S/H CIRCUIT................................................................................................. 822Chang Guo ; Rui Guan ; Dongpo Chen

HYBRID PSEUDO TYPE-III COMPENSATED AVS-ENABLED REGULATOR FOR ENERGY-EFFICIENT COMPUTING ............................................................................................................................................. 825

Shaowei Zhen ; Ji Wang ; Dongjie Yang ; Songlin Fu ; Ping Luo ; Yajuan He ; Bo Zhang

A FVF LDO REGULATOR WITH DAMPING-FACTOR-CONTROL FREQUENCY COMPENSATION FOR SOC APPLICATION ............................................................................................................. 828

Guoyi Yu ; Yelei Deng ; Xuecheng Zou ; Zhaoxia Zheng

A SELF-STARTING INTERNAL POWER SUPPLY SYSTEM SUITABLE FOR HIGH-VOLTAGE APPLICATIONS ............................................................................................................................................................... 831

Yue Shi ; Jie Cheng ; Zekun Zhou ; Jiangang Huang ; Bo Zhang

A NOVEL MAKOWSKI CHARGE PUMP AND ITS OPTIMIZATION USING LAGRANGE THEOREM ........................................................................................................................................................................ 834

Hesheng Lin ; Min Zhang ; Wing Chun Chan ; Wai Kwong Lee ; Mansun Chan

OBJECT-ORIENTED AND EVENT-DRIVEN AUDIO DSP SOLUTION ................................................................. 837Xueming Zhao

A LOW POWER ANALOG FRONT-END FOR PORTABLE BIOPOTENTIAL ACQUISITION SYSTEMS .......................................................................................................................................................................... 840

Wenhui Qin ; Keren Ke ; Yanchao Wang ; Yajie Qin ; Ting Yi ; Zhiliang Hong

THE DESIGN OF 1MCPS CMOS ANALOG FRONT-END CIRCUIT FOR RADIATION DETECTOR....................................................................................................................................................................... 843

Huabing Zhu ; Yacong Zhang ; Jie Liang ; Luya Zhang ; Xiaoliang Li ; Wengao Lu ; Zhongjian Chen

A NEW ANALOG DIMMING CIRCUIT USED IN LED DRIVER ............................................................................ 846Lingling Feng ; Yingna Zhou ; Yong Peng ; Wei Li

MEMRISTOR-BASED PROGRAMMABLE DELAY ELEMENT ............................................................................. 849Xiao Zhang ; Zhuo Ma ; Jinshan Yu ; Lunguo Xie

A NOVEL SEU-TOLERANT MRAM LATCH CIRCUIT BASED ON C-ELEMENT.............................................. 852Deming Zhang ; Wang Kang ; Yuanqing Cheng ; Geifei Wang ; Ravelosona, D. ; Youguang Zhang ; Klein, J.-O. ; Weisheng Zhao

LOW POWER DIGITALLY VARIABLE GAIN AMPLIFIER TECHNIQUES BASED ON SIGE BICMOS TECHNOLOGY ............................................................................................................................................... 855

Kiat Seng Yeo ; Kumar, T.B. ; Kaixue Ma

A TRPC-UWB TRANSMITTER FRONT-END BASED ON WIDEBAND IQ MODULATOR IN 0.13-µM CMOS.................................................................................................................................................................. 859

Yiming Huo ; Xiaodai Dong ; Ping Lu

A PROGRAMMABLE GAIN AMPLIFIER FOR MULTI-MODE MULTI-STANDARD WIRELESS RECEIVERS ...................................................................................................................................................................... 862

Kuan Bao ; Xiangning Fan ; Li Tang ; Zaijun Hua ; Zhigong Wang

AN IMPROVED 433MHZ LOW POWER TRANSMITTER FOR BATTERYLESS TIRE PRESSURE MONITOR SYSTEM .................................................................................................................................. 865

Qinmiao Kang ; Liji Wu ; Hanjun Jiang ; Xiangmin Zhang

Page 14: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A NEWPHASE NOISE CANCELLING TECHNIQUE FOR FRACTIONAL-N PLL............................................... 868Hao Yan ; Peng Qin ; Dongpo Chen

A LOW-POWER NOISE-CANCELING LNA WITH DOWNWARD IMPEDANCE TRANSFORMER AND RESISTIVE FEEDBACK ....................................................................................................... 871

Ran Zhang ; Zhijian Lu ; Dongpo Chen

A WIDEBAND AND HIGH-SPEED FREQUENCY DIVIDER ................................................................................... 874Jiansheng Yang ; Jiangyi Shi ; Peijun Ma ; Shanshan Zhang

PREDICTIVE SIMULATION OF FUTURE CMOS TECHNOLOGIES AND THEIR IMPACT ON CIRCUITS.......................................................................................................................................................................... 877

Asenov, A. ; Cheng, B. ; Adamu-Lema, F. ; Shifren, L. ; Sinha, S. ; Riddet, C. ; Alexander, C. ; Brown, A.R. ; Wang, X. ; Amoroso, S.M.

THE HISIM COMPACT MODELS OF HIGH-VOLTAGE/POWER SEMICONDUCTOR DEVICES FOR CIRCUIT SIMULATION........................................................................................................................................ 881

Mattausch, H.J. ; Umeda, T. ; Kikuchihara, H. ; Miura-Mattausch, M.

INVESTIGATION ON RANDOM CHARGING/DISCHARGING OF SINGLE OXIDE TRAP IN SIO2: AN AB -INITIO STUDY ........................................................................................................................................ 885

Jingwei Ji ; Runsheng Wang ; Yingxin Qiu ; Ru Huang

3D COUPLED ELECTRO-THERMAL SIMULATIONS FOR SOI FINFET WITH STATISTICAL VARIATIONS INCLUDING THE FIN SHAPE DEPENDENCE OF THE THERMAL CONDUCTIVITY ............................................................................................................................................................. 888

Wang, L. ; Brown, A.R. ; Nedjalkov, M. ; Alexander, C. ; Cheng, B. ; Millar, C. ; Asenov, A.

ANALYTIC MODEL FOR SUBTHRESHOLD CHANNEL POTENTIAL AND THRESHOLD VOLTAGE OF THE SCHOTTKY-BARRIER SURROUNDING-GATE MOSFETS ............................................... 891

Guang-Xi Hu ; Shu-Yan Hu ; Pei-Cheng Li ; Ran Liu ; Ling-Li Wang ; Xing Zhou

MODELING AND SIMULATIONS OF SU-8 UV LITHOGRAPHY USING A NOVEL 3-D STRING ALGORITHM ................................................................................................................................................................... 894

Haochen Qi ; Jian Zhang ; Lei Xiao

OVERVIEW OF 3D NAND FLASH AND PROGRESS OF SPLIT-PAGE 3D VERTICAL GATE (3DVG) NAND ARCHITECTURE .................................................................................................................................. 897

Pei-Ying Du ; Hang-Ting Lue ; Yen-Hao Shih ; Kuang-Yeu Hsieh ; Chih-Yuan Lu

CHALLENGES AT CIRCUIT DESIGNS FOR RESISTIVE-TYPE NONVOLATILE MEMORY AND NONVOLATILE LOGICS IN MOBILE AND CLOUD APPLICATIONS ....................................................... 901

Meng-Fan Chang ; Lee, A. ; Chia-Chen Kuo ; Shyh-Shyuan Sheu ; Chen, F.T. ; Tzu-Kun Ku ; Yong-Pan Liu ; Hua-Zhong Yang ; Ping-Cheng Chen

OVERVIEW AND HIGH DENSITY APPLICATION OF HFOX BASED RRAM.................................................... 905Lien, C.H. ; Tsai, K.H. ; Chen, Y.S. ; Lee, H.Y. ; Chen, P.S. ; Chen, F.T. ; Tsai, M.-J.

SIMULATION STUDY OF A NEW CAPACITOR-LESS DRAM WITH VERTICAL NANOMETER PILLAR .............................................................................................................................................................................. 909

Jyun-Min Syu ; Jyi-Tsong Lin ; Chan-Hsiang Chang ; Yu-Chun Wang ; Dai-Rong Lu ; Yong-Huang Lin ; Zih-Hao Huang ; Po-Hsieh Lin

A DYNAMIC REFERENCE SCHEME TO IMPROVE THE SENSING RELIABILITY OF MAGNETIC RANDOM ACCESS MEMORY ............................................................................................................... 912

Wang Kang ; Zheng Li ; Yuanqing Cheng ; Klein, J.-O. ; Youguang Zhang ; Ravelosona, D. ; Chappert, C. ; Weisheng Zhao

TOTAL IONIZING DOSE EFFECT INVESTIGATED BY IN-SITU MEASUREMENTS FOR A 65NM FLASH TECHNOLOGY ...................................................................................................................................... 915

Lei Jin ; Zongliang Huo ; DanDan Jiang ; Xinkai Li ; Zhihong Yao ; ZhaoAn Yu ; Ming Liu

A RADIATION HARDENED SCAN FLIP-FLOP DESIGN WITH BUILT-IN SOFT ERROR RESILIENCE .................................................................................................................................................................... 918

Qiushi Wang ; Lin Jin

THE AVENUE OF FDSOI RADIATION HARDENING.............................................................................................. 921Kai Zhao ; Zhongli Liu ; Fang Yu

INVESTIGATION ON TID TOLERANCE OF 65NM BULK SILICON NMOSFETS ............................................. 924Yehua Chen ; Xia An ; Weikang Wu ; Zhibin Yao ; Xing Zhang ; Ru Huang

3-D GEANT4 SIMULATION OF DEEP SUB-MICRON SOI SRAM IRRADIATED BY PROTON ....................... 927Shuo Guo ; Jinshun Bi ; Jiajun Luo ; Zhengsheng Han

THE INFLUENCE OF PROTON IRRADIATION ON THE INTERFACE STATES IN INP/INGAAS HETEROJUNCTION STRUCTURE .............................................................................................................................. 930

Li Chenghuan ; Lu Hongliang ; Zhang Yuming ; Zhang Yimen ; Liu Min

DSOI FET - A NOVEL TID TOLERANT SOI TRANSISTOR.................................................................................... 933Kai Zhao ; Xing Zhao ; Jiantou Gao ; Jinshun Bi ; Jiajun Luo ; Fang Yu ; Zhongli Liu

Page 15: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

AN SIMPLE APPROACH TO EVALUATE TID RESPONSE IN HIGH VOLTAGE MOSFET FOR 65NM FLASH TECHNOLOGY ...................................................................................................................................... 936

DanDan Jiang ; Zongliang Huo ; Lei Jin ; Xiaonan Yang ; Yan Wang ; Xinkai Li ; Zhihong Yao ; ZhaoAn Yu ; Ming Liu

HETEROGENEOUS 3D INTEGRATION FOR INTERNET OF THINGS................................................................ 939Koyanagi, M.

SELF-HEATING AND RELIABILITY ISSUES IN FINFET AND 3D ICS ................................................................ 943Khan, M.I. ; Buzdar, A.R. ; Fujiang Lin

THERMAL ANALYSIS OF MULTIPLE LIGHT SOURCES BASED ON THE SUPERPOSITION METHOD ........................................................................................................................................................................... 946

Dong Shi ; Shiwei Feng ; Yamin Zhang ; Junwei Yang

THERMAL ANALYSIS AND THERMAL OPTIMIZATION OF THROUGH SILICON VIA IN 3D IC ........................................................................................................................................................................................ 949

Jingyan Fu ; Ligang Hou ; Bo Lu ; Jinhui Wang

SIMPLIFIED EMPIRICAL FORMULA ON TSV THERMAL ANALYSIS FOR 3D IC EDA ................................ 952Bo Lu ; Ligang Hou ; Jingyan Fu ; Jinhui Wang

ESSENTIAL CAMERA SENSOR FRAMWORK IN EMBEDDED SYSTEM ........................................................... 955Su, Jiaquan ; Zhou, Bin ; Zhang, Xin ; Zhao, Xueming

THE INFLUENCE OF TEMPERATURE ON DYNAMIC GATE-BIAS STRESS INSTABILITY IN AMORPHOUS SILICON THIN FILM TRANSISTORS .............................................................................................. 958

Wen Yu ; Wang, L.L. ; Xiang Xiao ; Wenjie Li ; Shengdong Zhang

IMPACTS OF SUBSTRATE HEATING SCHEMES ON CHARACTERISTICS OF AMORPHOUS INDIUM-GALLIUM-ZINC-OXIDE (A-IGZO) TFTS FABRICATED ON FLEXIBLE SUBSTRATES ................................................................................................................................................................... 961

Xiang Xiao ; Lei Xie ; Yang Shao ; Xin He ; Peng Zhang ; Weizhi Meng ; Zheyuan Chen ; Wei Deng ; Letao Zhang ; Shengdong Zhang

LIFETIME PREDICTION OF TFT INTEGRATED GATE DRIVERS ..................................................................... 964Wenjie Li ; Congwei Liao ; Xiang Xiao ; Zhijin Hu ; Junmei Li ; Shengdong Zhang

FABRICATION OF P-TYPE COPPER OXIDE THIN-FILM TRANSISTERS AT DIFFERENT OXYGEN PARTIAL PRESSURE ................................................................................................................................... 967

Zheyuan Chen ; Xiang Xiao ; Yang Shao ; Weizhi Meng ; Shuguang Zhang ; Lunlun Yue ; Lei Xie ; Peng Zhang ; Huiling Lu ; Shengdong Zhang

PERFORMANCE AND MICROSTRUCTURE ANALYSIS OF VACUUM ANNEALED ZNO THIN-FILM TRANSISTORS...................................................................................................................................................... 970

Jing-Wen Han ; Lei Sun ; Hao Xu ; Yi-Bo Zhang ; Sheng-Dong Zhang ; Yi Wang

A-IGZO THIN FILM TRANSISTORS WITH CHANNEL LAYER DEPOSITED AT ROOM TEMPERATURE AND 250° C ........................................................................................................................................ 973

Wei Deng ; Xin He ; Xiang Xiao ; Ling Wang ; Weizhi Meng ; Shengdong Zhang

SUPPRESSION OF LEAKAGE CURRENT OF LOW-TEMPERATURE POLYCRYSTALLINE SILICON THIN-FILM TRANSISTORS BY NEGATIVE BIAS SWEEPING............................................................ 976

Dongli Zhang ; Mingxing Wang ; Huaisheng Wang ; Qi Shan

EFFECTS OF RADIO FREQUENCY POWER ON PROPERTIES OF TITANIUM-DOPED ZINC OXIDE BASED TFTS ....................................................................................................................................................... 979

Nannan Zhao ; Dedong Han ; Zhuofa Chen ; Jing Wu ; Yingying Cong ; Junchen Dong ; Feilong Zhao ; Shengdong Zhang ; Xing Zhang ; Yi Wang

A LOW POWER PULSE SIGNAL ACQUISITION ASIC FOR MEMS SWITCH ................................................... 982Xiaoliang Li ; Wengao Lu ; Mingxing Liu ; Hai Chu ; Guangchong Shen ; Zhongjian Chen ; Yacong Zhang

A FAST COMPRESSIVE SENSING RECONSTRUCTION HARDWARE BASED ON MODIFIED SUBSPACE PURSUIT ...................................................................................................................................................... 985

Yicheng Zhang ; Hui Chen ; Jun Han ; Xiaoyang Zeng

UVM-AMS BASED SUB-SYSTEM VERIFICATION OF WIRELESS POWER RECEIVER SOC ....................... 988Chao Liang ; Geng Zhong ; Song Huang ; Bei Xia

A RECONFIGURABLE DIGITAL INTERMEDIATE FREQUENCY MODULE FOR SOFTWARE DEFINED RADIO TRANSMITTERS ............................................................................................................................ 991

Yanqiang Gao ; Yun Yin ; Wen Jia ; Baoyong Chi

A GENERAL CASCADED NOISE-COUPLED ARCHITECTURE FOR ΔΣ MODULATORS WITH SEGMENTED QUANTIZATION ................................................................................................................................... 994

Fang Long ; Yuncheng Zhang ; Lin He ; Fujiang Lin

SPECIFIC MCU DESIGN OF ON BOARD UNIT IN ELECTRONIC TOLL COLLECTION SYSTEM............................................................................................................................................................................. 997

Chunhui Yang ; Ligang Hou ; Junwei Yang ; Miao Liu ; Jinhui Wang ; Peiyuan Wan

Page 16: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A DAISY-CHAIN SPI INTERFACE IN A BATTERY VOLTAGE MONITORING IC FOR ELECTRIC VEHICLES ................................................................................................................................................. 1000

Xiaofei Wang ; Hong Zhang ; Long Zhang ; Jianrong Zhang ; Yue Hao

A CONFIGURABLE SVM HARDWARE ACCELERATOR FOR EMBEDDED SYSTEMS ................................ 1003Tengyue Yuan ; Gaowei Xu ; Yao Zou ; Jun Han ; Xiaoyang Zeng

ANALYSIS AND DESIGN OF CMOS CHARGE PUMP FOR EEPROM................................................................ 1006Haibin Yin ; Xiaohong Peng ; Jinhui Wang ; Zikui Wei ; Na Gong

A PAGE BUFFER DESIGN BASED ON STABLE AND AREA-SAVING EMBEDDED SRAM FOR FLASH APPLICATIONS ............................................................................................................................................... 1009

Huamin Cao ; Zongliang Huo ; Yu Wang ; Ting Li ; Jing Liu ; Lei Jin ; Dengjun Zhang ; Di Li ; Ming Liu

NOVEL LOCAL BIT LINE DESIGN BASED ON FORCED-KEEPER TECHNIQUE FOR ON-CHIP MEMORIES.......................................................................................................................................................... 1012

Zezhong Yang ; Jinhui Wang ; Lina Wang ; Ligang Hou ; Na Gong

A DIGITAL DC-DC BUCK CONVERTER WITH ADAPTIVE ON-TIME PWM/PFM CONTROL ................... 1015Chun-Hsiao Teng ; Chien-Hung Tsai

A DIGITAL DIRECT CONTROLLER FOR BUCK CONVERTER......................................................................... 1018Bo Li ; Jinshun Bi ; Zhengsheng Han ; Jiajun Luo ; Xuefang Lin-Shi ; Allard, B. ; Li Chen

DATA MAPPING SCHEME AND IMPLEMENTATION FOR HIGH-THROUGHPUT DCT/IDCT TRANSPOSE MEMORY ............................................................................................................................................... 1021

Zheng Xie ; Yanheng Lu ; Yibo Fan ; Xiaoyang Zeng

DESIGN AND IMPLEMENTATION OF PARALLEL MULTI-ACCESS MEMORY INTERFACE ................... 1024Yukun Song ; Ling Sun ; Duoli Zhang ; Gaoming Du ; Yanhui Yang

NOVEL RF CMOS SYMMETRIC INDUCTOR WITH STACKED MULTI LAYER/FINGER STRUCTURE .................................................................................................................................................................. 1028

Jen-Hao Cheng ; Waisum Wong ; Xi-Ning Wang ; Zhenghao Gan ; Yun Yue ; Ling Liu ; Lin Zhong

A 23.2 DBM LINEAR POWER AMPLIFIER USING PRE-DISTORTION TECHNIQUE FOR LTE APPLICATIONS ............................................................................................................................................................. 1031

Chung-Ching Lin ; Tso-Yu Wu ; Jeng-Rern Yang

A COMPACT LOW-POWER LINEAR-IN-DB CMOS AGC .................................................................................... 1034Kui Zhang ; Sheng-Xu Wang ; Jun-Yu Shi ; Yong Liu ; Li-Ming Si ; Xin Lv

A 124μW, 70DB-DR PGA FOR LOW POWER AUDIO APPLICATION................................................................. 1037Dailan ; Chen Cheng Ying ; Hu Xiao Yu ; Hei Yong

DIGITAL CONTROLLED DEAD-TIME FOR TRI-MODE BUCK-BOOST DC-DC CONVERTERS ................ 1040Silu Cheng ; Suying Yao ; Ge Jin ; Ng, W.T.

BALLISTIC TRANSPORT MODELING IN ADVANCED TRANSISTORS ........................................................... 1043Khan, M.I. ; Buzdar, A.R. ; Fujiang Lin

AN IMPROVED COMPACT MODEL FOR RADIATION EFFECT CHARACTERIZATION IN SOI MOSFETS ................................................................................................................................................................ 1046

Yuqing Zhang ; Wenjun Li ; Jun Liu ; Xuan Lin

A DISTRIBUTED MODEL FOR GAN FISH-BONE STRUCTURE HEMTS.......................................................... 1049Zhifu Hu ; Yuxing Cui ; Guangwei Du ; Jiaxing Fang ; Jibin Wu ; Shujun Cai

TRANSIENT SIMULATION OF NANO-SCALE UTBB NMOSFETS BY DETERMINISTICALLY SOLVING BTE................................................................................................................................................................ 1052

Shao-yan Dia ; Kai Zhao ; Tiao Lu ; Gang Du ; Xiao-yan Liu

COMPACT MODELLING AND SIMULATION OF EXTENDED-GATE ION-SENSITIVE FIELD-EFFECT-TRANSISTOR ................................................................................................................................................ 1055

Yueyou Ma ; Chenyu Wen ; Ruixue Zeng ; Ming Xu ; Jiangfeng Pan ; Dongping Wu

SIMULATION STUDY OF TERAHERTZ DETECTORS WITH UNGATED REGIONS AND DIFFUSION CURRENT................................................................................................................................................. 1058

Sung-Min Hong ; Jae-Hyung Jang

CASE STUDY OF SRAM THRESHOLD VOLTAGE SHIFT CAUSED BY DOPING DEFECTS........................ 1061Yang, May ; Lee, JH ; Gao, Smith ; Zhang, Mark ; Zhao, Gary ; Chien, Kary

INSTABILITIES INDUCED BY ELECTRON TRAPPING/DETRAPPING IN HIGH-K GATE DIELECTRICS OF FLASH MEMORIES: EVALUATION AND SUPPRESSION ................................................. 1064

Robinson, C. ; Zhang, W.D. ; Baojun Tang ; Zheng, X.F. ; Zhang, J.F.

ON THE PROPERTIES OF CONDUCTING FILAMENT IN RERAM ................................................................... 1068Xiaojuan Lian ; Lanza, M. ; Rodriguez, A. ; Miranda, E. ; Sune, J.

THE ROLE OF DIANGLIN BONDS IN RESISTANCE SWITCHING BEHAVIORS OF SIOX - BASED RRAM ................................................................................................................................................................ 1072

Kunji Chen ; Yuefei Wang ; Zhonghui Fang ; Wei Li ; Jun Xu ; Xinfan Huang

Page 17: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A NOVEL CAPACITOR-LESS DRAM WITH RAISED SOURCE STRUCTURE ................................................. 1076Dai-Rong Lu ; Jyi-Tsong Lin ; Shih-Chuan Tseng ; Po-Hsieh Lin ; Zih-Hao Huang ; Jyun-Min Syu ; Yu-Chun Wang ; Yong-Huang Lin

A MODEL FOR SINGLE POLY EEPROM CELLS................................................................................................... 1079Cong Li ; Xiaochen Gu ; Jiancheng Li ; Ling Liu ; Guomin Li

LOW FREQUENCY NOISE CHARACTERIZATION OF ADVANCED AND END OF THE ROADMAP DEVICES.................................................................................................................................................... 1082

Claeys, C. ; Simoen, E.

LOW-FREQUENCY NOISE ANALYSIS OF DRAM PERIPHERAL TRANSISTORS WITH LA CAP................................................................................................................................................................................... 1088

Simoen, E. ; Ritzenthaler, R. ; Schram, T. ; Aoulaiche, M. ; Spessot, A. ; Fazan, P. ; Na, H.-J. ; Lee, S.-G. ; Son, Y. ; Noh, K.B. ; Arimura, H. ; Horiguchi, N. ; Thean, A. ; Claeys, C.

A PREJUGMENT BASED LOW POWER R-PEAK DETECTION ALGORITHM ............................................... 1091Chaojun Zhao ; Jianyi Meng ; Zhijian Chen ; Xiaolang Yan

PROGRAM CHARGE EFFECT ON RANDOM TELEGRAPH NOISE BEHAVIOR IN MULTI-LEVEL FLOATING GATE FLASH MEMORY ......................................................................................................... 1094

Xiaonan Yang ; Zongliang Huo ; Lei Jin ; Zongyong Wang ; DanDan Jiang ; Yan Wang ; Ming Liu

IMPROVED SPEED AND ENDURANCE CHARACTERISTICSCS UNDER ILLUMINATION IN A MEMORY USING STACKED HIGHK BLOCKING LAYER .................................................................................. 1097

Lin Jin ; Qiushi Wang

STUDY OF ΔID/ID OF A SINGLE CHARGE TRAP IN UTBOX SILICON FILMS.............................................. 1100Wen Fang ; Simoen, E. ; Aoulaiche, M. ; Jun Luo ; Chao Zhao ; Claeys, C.

DC AND RF CHARACTERISTICS STUDY OF MIM CAPACITORS WITH VARIOUS AL2O3 THICKNESSES ............................................................................................................................................................... 1103

Jiahui Zhou ; Hudong Chang ; Xufang Zhang ; Zhenhua Zeng ; Xu Yang ; Haiou Li ; Honggang Liu

STRAINED SILICON NANOWIRE TUNNEL FETS AND NAND LOGIC ............................................................. 1106Qing-Tai Zhao ; Knoll, L. ; Richter, S. ; Schulte-Braucks, C. ; Gia Vinh Luong ; Blaser, S. ; Schafer, A. ; Trellenkamp, S. ; Mantl, S.

ELECTRON MOBILITY IN SILICON NANOWIRE MOSFETS............................................................................. 1110Granzner, R. ; Schwierz, F.

RESEARCH PROGRESS ON CORE-SHELL NANOWIRE FETS .......................................................................... 1114Jin He ; Lining Zhang ; Xiangyu Zhang ; Wen Wu ; Wenping Wang ; Miaomiao Ma ; Yun Ye ; Mansun Chan

ANALYTICAL MODELING FOR DOUBLE-GATE TFET WITH TRI-MATERIAL GATE............................... 1119Ping Wang ; Yiqi Zhuang ; Cong Li ; Zhi Jiang

EFFICIENT PATTERN GENERATION FOR TRANSITION-FAULT DIAGNOSIS USING COMBINATIONAL CIRCUIT MODEL...................................................................................................................... 1122

Yi-Da Wang ; Kuen-Jong Lee

TOP-DOWN METHODOLOGY BASED LOW-DROPOUT REGULATOR DESIGN USING VERILOG-A .................................................................................................................................................................... 1126

Chia-Cheng Pao ; Yan-Chih Chen ; Chien-Hung Tsai

A LOW DIMENSIONAL INTENSITY-BASED FEATURE DESCRIPTOR FOR FAST IMAGE MATCHING .................................................................................................................................................................... 1129

Zhi Hu ; Yibo Fan ; Xiaoyang Zeng

NEW WORD-BASED MONTGOMERY ALGORITHM FOR HIGHER RADICES .............................................. 1133Tian Wang ; Xiaoxin Cui ; Kai Liao ; Nan Liao

THE CONFIGURABLE AND HIGH-PERFORMANCE ARCHITECTURE DESIGN OF 2D IN-PLACE IDWT IN JPEG2000 ......................................................................................................................................... 1136

Han Jinheng ; Lu Song ; Wang Jinxiang ; Xu Weizhe ; Fu Fangfa

A 12.9-µW 2-D ECG COMPRESSION CORE BASED ON NLSPIHT...................................................................... 1139Gaowei Xu ; Lingyun Zeng ; Yao Zou ; Jun Han ; Xiaoyang Zeng

DESIGN OF SILICON-BASED TRANSIENT VOLTAGE SUPPRESSOR TO MEET IEC SYSTEM-LEVEL ESD SPECIFICATION FOR RS485 TRANSCEIVER ................................................................................. 1142

Xiangliang Jin ; Huihui Yuan ; Qi Jiang ; Yang Wang

STUDY OF ANTICORROSIN MECHANISM OF FE/N-TIO2 FILM ON STAINLESS STEEL............................ 1145Yang Wang ; Zhenhong Wu ; Liting Ma ; Pei Feng

SPRAY PYROLYSIS DEPOSITION FOR GAS SENSOR INTEGRATION IN THE BACKEND OF STANDARD CMOS PROCESSES ................................................................................................................................ 1149

Filipovic, L. ; Selberherr, S.

ETCHING OF VOX THIN FILM IN HF SOLUTION................................................................................................. 1153Rong-Hong Chen ; Yu-Long Jiang

Page 18: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION ULTRALOW DIELECTRIC CONSTANT FILMS USING TRIETHOXYMETHYLSILANE AND LIMONENE AS PRECURSORS ................................................................................................................................................................ 1156

Zi-Jun Ding ; Shi-Jin Ding ; Wei Zhang

EFFECT OF ANNEALING ORIENTATION ON THE GIANT MAGNETOIMPEDANCE IN MICRO-PATTERNED CO-BASED RIBBON ............................................................................................................. 1159

Zhen Yang ; Yong Zhou ; Chong Lei ; Xue-cheng Sun

FAILURE ANALYSIS AND ELIMINATION METHOD OF PITTING PROBLEM ON MICROCHIP AL BOND PADS IN WAFER FABRICATION................................................................................... 1162

Jingwei Peng ; Po Li ; Guoguang Rong ; Xinrui Xu

A THREE STAGE, FULLY DIFFERENTIAL D-BAND POWER AMPLIFIER ..................................................... 1165Le Zhang ; Jincai Wen ; Lingling Sun ; Ting Wu

ANALYSIS OF RF IMPAIRMENTS ON EVM PERFORMANCE OF THE OFDM PHY OF IEEE 802.11AD STANDARD ................................................................................................................................................... 1168

Jin Qin ; Lei Zhang ; Li Zhang ; Yan Wang ; Zhiping Yu

A NOVEL LOW-POWER 802.11 WIRELESS COMMUNICATION SYSTEM FOR POWER SUBSTATION APPLICATIONS................................................................................................................................... 1171

Xitian Long ; Yuxiang Yuan ; Xueping Jiang

A LOW-PHASE-NOISE AND WIDE-TUNING-RANGE LC DIGITAL CONTROLLED OSCILLATOR................................................................................................................................................................. 1174

Hongtao Qi ; Yuan Wang ; Song Jia ; Ganggang Zhang ; Xing Zhang

STACKED-CASCODE CLASS-E POWER AMPLIFIER WITH DELAY-CONTROLLED AUXILIARY BRANCHES IN 65NM CMOS ............................................................................................................... 1177

Fan Yang ; Yu Liao ; Tao Xia ; Runhua Wang ; Ru Huang ; Huailin Liao

AN INGAP/GAAS HBT POWER AMPLIFIER FOR 4.9–5.9 GHZ WIRELESS-LAN APPLICATIONS ............................................................................................................................................................. 1180

Liang Huang ; Zhihao Zhang ; Sizhen Li ; Zhang, G.

60GHZ CMOS COUPLED OSCILLATOR NETWORK BY ZERO-PHASE-SHIFTERS...................................... 1183Shunli Ma ; Guangyao Zhou ; Ning Li ; Fan Ye ; Junyan Ren

A 60GHZ BROADBAND PLANAR ANTENNA ARRAY COMPOSED OF GAP-COUPLED T-TRANSMISSION LINE FED PATCH ELEMENT ON PEPEC SUBSTRATE ........................................................ 1186

Zongyu Zuo ; Bin Lu ; Jun Luo ; Li Zhang ; Yan Wang ; Ruifeng Yue

THREE DIMEMSIONAL ELECTRO-THERMAL COUPLED MONTE CARLO DEVICE SIMULATION ................................................................................................................................................................. 1189

Xiaoyan Liu ; Kangliang Wei ; Longxiang Yin ; Gang Du ; Hai Jiang ; Kai Zhao ; Lang Zeng ; Xing Zhang

FIB METHODOLOGIES TO ANALYZE BURIED DEFECTS IN WAFER MANUFACTURE PROCESS......................................................................................................................................................................... 1193

Yaobin Zhao ; Haibo Dai ; Lee, J.H. ; Zhang, M. ; Yang, M. ; Chian, K.

A GATE LEAKAGE MODEL FOR DOUBLE GATE TUNNELING FIELD-EFFECT TRANSISTORS ............................................................................................................................................................... 1196

Ying Zhu ; Lining Zhang ; Aixi Zhang ; Mansun Chan

PUNCH THROUGH STOP LAYER OPTIMIZATION IN BULK FINFETS .......................................................... 1199Rui Li ; Yunfei Liu ; Keke Zhang ; Chao Zhao ; Huilong Zhu ; Haizhou Yin

A RIGOROUS ANALYTICAL MODEL FOR SHORT-CHANNEL JUNCTIONLESS DOUBLE-GATE MOSFETS............................................................................................................................................................ 1202

Chunsheng Jiang ; Renrong Liang ; Jing Wang ; Jun Xu

ELECTRONIC STRUCTURES OF STRAINED MOS2 NANORIBBONS............................................................... 1205Ruan, Si ; Xin, Zheng ; Zeng, Lang ; Kang, Jinfeng ; Du, Gang ; Liu, Xiaoyan

IMPROVEMENT OF RETENTION AND ENDURANCE CHARACTERISTICS OF SI NANOCRYSTAL NONVOLATILE MEMORY DEVICE.......................................................................................... 1208

Jie Yu ; Zhongyuan Ma ; Yuefei Wang ; Sheng Ren ; Zhonghui Fang ; Xinfan Huang ; Kunji Chen ; Guanping Wu ; Yongxing Zhang ; Lingling Wang

CHARACTERIZATION OF THE SONOS NONVOLATILE MEMORY CELL USING L-SHAPED CHANNEL STRUCTURE.............................................................................................................................................. 1211

Po-Hsieh Lin ; Jyi-Tsong Lin ; Hung-Pei Hsu ; Dai-Rong Lu ; Yu-Chun Wang

INVESTIGATION OF THE FORMING PROGRAM FAILTURE IN 1T1R STRUCTURE .................................. 1214Xiaoxin Xu ; Hangbing Lv ; Hongtao Liu ; Meiyun Zhang ; Guoming Wang ; Shibing Long ; Qi Liu ; Ming Liu

INVESTIGATION OF FORMING PROCESS FOR METAL OXIDE-BASED RESISTIVE SWITCHING MEMORY BY STOCHASTIC SIMULATION ................................................................................... 1217

Peng Huang ; Xiaoyan Liu ; Yudi Zhao ; Bing Chen ; Bin Gao ; Gang Du ; Jinfeng Kang

Page 19: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

INFLUENCE OF SUBSTRATE ON RESISTIVE SWITCHING BEHAVIOR OF YMNO3 FILMS IN BOTTOM-TOP CONTACT CONFIGURATION ....................................................................................................... 1220

Bogusz, A. ; Blaschke, D. ; Skorupa, I. ; Scholz, A. ; Burger, D. ; Schmidt, O.G. ; Schmidt, H.

BIPOLAR RESISTIVE SWITCHING BEHAVIORS IN AN AL/DLC/W STRUCTURE ....................................... 1223Xu, Jianlong ; Xie, Dan ; Peng, Pinggang ; Zhang, Xiaowen ; Ren, Tian-ling

ADAPTIVE BLOCK LEVEL MANAGEMENT FOR HYBRID MAIN MEMORY ................................................ 1226Renhua Yang ; Xiaoyong Xue ; Yufeng Xie ; Yinyin Lin

DESIGN OF A NEW VOLTAGE-CONTROLLED MAGNETIC MEMORY .......................................................... 1229Boyu Zhang ; Weisheng Zhao ; Yu Zhang ; Youguang Zhang

AN ORGANIC RECTIFIER DIODE BASED ON POLY-PYRROLE (PPY) ELECTRODE.................................. 1232Wei Wang ; Zhuoyu Ji ; Congyan Lu ; Long Wang ; Guangwei Xu ; Ling Li ; Ming Liu

IMPACT OF GATE COUPLING AND MISALIGNMENT ON PERFORMANCE OF DOUBLE-GATE ORGANIC THIN FILM TRANSISTORS ........................................................................................................ 1235

Jing-Wen Han ; Lei Sun ; Hao Xu ; Yi-Bo Zhang ; Sheng-Dong Zhang ; Yi Wang

FULL-PRINTED OTFT MODELING: IMPACTS OF PROCESS VARIATION .................................................... 1238Sankhare, M.A. ; Guerin, M. ; Bergeret, E. ; Pannier, P. ; Coppard, R.

ULTRALOW SPECIFIC ON-RESISTANCE TRENCH LATERAL POWER MOSFETS..................................... 1241Xiaorong Luo ; Kun Zhou ; Zhaoji Li ; Bo Zhang

A SUPERJUNCTION SNAPBACK-FREE REVERSE-CONDUCTING INSULATED GATE BIPOLAR TRANSISTOR WITH ANTI-PARALLEL P-I-N DIODE ........................................................................ 1245

Ji Yu ; Jiang, F.X.C. ; Hang Wei ; Xinnan Lin

INVESTIGATION OF LAYOUT EFFECT ON ESD PERFORMANCE OF SCR-NLDMOS DEVICES ......................................................................................................................................................................... 1248

Yang Wang ; Xiangliang Jin ; Huihui Yuan ; Qi Jiang ; Liu Yang

NOVEL SUPERJUNCTION COLLECTOR POWER SIGE HBTS WITH HIGH THERMAL STABILITY ..................................................................................................................................................................... 1251

Dongyue Jin ; Xinyi Zhao ; Wanrong Zhang ; Xiao Wang ; Ruixin Hu ; Qiang Fu

A LOW LOSS SOI LATERAL TRENCH IGBT AND SUPERJUNCTION DEVICE WITH INSULATED TRENCH BARRIER............................................................................................................................... 1254

Hang Meng ; Jian Chen ; Jiang, F.X.C. ; Xinnan Lin

HIGH CURRENT GAIN 4H-SIC BJT FOR LIMITING SURFACE STATES EFFECT ........................................ 1257ChengChun Sun ; YouRun Zhang ; Xiaochuan Deng ; Bo Zhang

SEGMENTED UNBALANCED SUPER JUNCTION LDMOS FOR POWER INTEGRATED CIRCUITS........................................................................................................................................................................ 1260

Wenlian Wang ; Zhenhua Jia ; Yu Wang

A 12B 200KS/S SAR ADC WITH NOVEL CAPACITOR SWITCHING PROCEDURE AND DIGITAL BACKGROUND CALIBRATION............................................................................................................... 1263

Chen Lai ; Menglian Zhao ; Hanyang Su ; Xiaobo Wu

CONSTANT BANDWIDTH GAIN-LINEAR PROGRAMMABLE GAIN AMPLIFIER........................................ 1266Xiaoming Tian ; Jianhui Wu

A NEW DDFS BASED ON UNEQUAL LENGTH PIECEWISE LINEAR APPROXIMATION WITH ONE BIT ERROR CORRECTION ............................................................................................................................... 1269

Ruitao Zhang ; Gang Chen ; Junan Zhang ; Guangbing Chen ; Jinshan Yu

DESIGN AND EXPLORATION OF LOW-POWER SUB-NYQUIST PROCESSING WITH MODULATED WIDEBAND CONVERTER ............................................................................................................... 1272

Sujuan Liu ; Meihui Zhang ; Wenshu Jiang

A LOW POWER CHARGE-REDISTRIBUTION SAR ADC WITH A MONOTONIC SWITCHING PROCEDURE .................................................................................................................................................................. 1275

Li Lun ; Liu Dongsheng ; Lei Weila ; Hu Yu ; Zou Xuecheng ; Li Dawei

HIGHLY-LINEAR TIME-DIFFERENCE AMPLIFIER ............................................................................................ 1278Wang Bin ; Yao Suying ; Nie Kaiming ; Zhu Kunkun ; Gao Jing

A LOW-NOISE CMOS FRONT-END AMPLIFIER BASED ON AUTO CORRECTION FEEDBACK FOR BIOMEDICAL SYSTEMS ............................................................................................................. 1281

Hanyang Su ; Menglian Zhao ; Chen Lai ; Haozhou Zhang ; Xiaobo Wu

A LOW POWER LOW NIOSE AFE WITH CONTINUOUS TIME SELF-CALIBRATION FILTERS FOR ECG MONITOR AND HEART BEAT DETECTION ..................................................................... 1284

Jiali Hou ; Weibo Hu ; Ke Lin ; Bo Wang ; Chaoxun Wang ; Mo Wang ; Kai Xu ; Xin'an Wang

A 0.885PPM/°C DIGITALLY CALIBRATED BANDGAP VOLTAGE REFERENCE WITH ROBUST START-UP CIRCUIT .................................................................................................................................... 1287

Ji He ; Long Zhao ; Yuhua Cheng

Page 20: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A NOVEL POWER/GROUND STRUCTURE BY ETCHING WITH COMPLEMENTARY SPLIT RING RESONATORS FOR WIDEBAND SIMULTANEOUS SWITCHING NOISE SUPPRESSION................. 1290

Jian Pan ; Yushan Li ; Jianmin Lu ; Dongchu Jiang

AN OUTPUT CURRENT WAVEFORM COMPENSATION TECHNIQUE FOR ULTRA-HIGH SPEED VCSEL DRIVER ............................................................................................................................................... 1293

Zhou, Yuanlong ; Feng, Jun ; Yang, Chuanshi

A LOW KICKBACK NOISE AND OFFSET CALIBRATED DYNAMIC COMPARATOR FOR 2B/C SAR ADC ................................................................................................................................................................ 1296

Jian Mei ; Xiaoying Shen ; Hao Zhou ; Fan Ye ; Junyan Ren

LOW POWER AND HIGH ACCURATE DUAL-SLOPE ADC USING CHOPPER STABLIZED TECHNIQUE................................................................................................................................................................... 1299

Renwei Zhang ; Ke Liu ; Zhankun Du

DESIGN OF COMPACT CN DELAY-BASED PUFS IN 65NM CMOS ................................................................... 1302Yuejun Zhang ; Pengjun Wang ; Jianrui Li ; Gang Li

JOINT ESTIMATION FOR CARRIER FREQUENCY OFFSET AND SAMPLING FREQUENCY OFFSET IN OFDM SYSTEMS...................................................................................................................................... 1305

Jing Lin ; Fan Ye ; Junyan Ren

DESIGN AND REALIZATION OF UHF RFID READER DIGITAL BASEBAND ................................................ 1308Zhaoyang Guo ; Xin'an Wang ; Shanshan Yong ; Fangni Zhang ; Zheng Zheng

CHARGE PUMP BASED PLL DESIGN FOR IEEE 1394B PHY.............................................................................. 1311Qiang Lu ; HaiQi Liu ; Qiang Li

IMPLEMENTATION OF DISCRETE WAVELET TRANSFORM .......................................................................... 1314Yuanfa Wang ; Zunchao Li ; Chuang Wang ; Lichen Feng ; Zhiyun Zhang

OPTIMUM BOUNDARY DESIGN FOR ULTRA-HIGH SPEED INTERPOLATION AND AVERAGING ADC......................................................................................................................................................... 1317

Ke Liu ; Zhankun Du ; Xiao Ma ; Li Shao ; Jian Fu ; Jianpeng Bi

A 14-BIT 500MS/S LOW POWER TIME-INTERLEAVED ANALOG-TO-DIGITAL CONVERTER IN 0.18-µM CMOS TECHNOLOGY WITH BACKGROUND CALIBRATION ..................................................... 1320

Jie Pu ; Xiaofeng Shen ; Xingfa Huang ; Dongbing Fu ; Ruitao Zhang

A CROSS-BASED ADAPTIVE COST SOC ARCHITECTURE FOR STEREO MATCHING PROCESSOR................................................................................................................................................................... 1323

Yuan Xu ; Junbin Zhang ; Haodong Yao

A HIGH ACCURACY LOW-POWER BANDGAP VOLTAGE RREFERENCE WITH TRIMMING ................. 1326Chaobo Cai ; ShuXiang Song ; Yulin Luo ; Xiaolian He ; Dongdong Fan

A LOW OVERHEAD DPA COUNTERMEASURE OF ECC BASED ON RANDOMIZED MODULAR MULTIPLICATION ................................................................................................................................. 1330

Dongmei Xue ; Xiaole Cui ; Chung-Len Lee ; Lifei Liu ; Shijie Zhang

ANALYSIS AND DESIGN OF DOUBLE NESTING GAIN BOOSTED AMPLIFIER IN 14 BITS 50 MS/S PIPELINE ADC .................................................................................................................................................... 1333

Yanwei Wu ; Xiaohong Peng ; Yang Dong ; Miao Liu

A FOREGROUND DIGITAL CALIBRATION BY SWITCHING CONTROL SCHEME FOR A 12-BIT SAR ADC.................................................................................................................................................................. 1336

Huabin Chen ; Jixuan Xiang ; Chixiao Chen ; Fan Ye ; Jun Xu ; Junyan Ren

A HIGH SPEED VISION PROCESSOR FOR CHIP PACKAGE VISUAL INSPECTION .................................... 1339Bo Li ; Jie Yang ; Yongxing Yang ; Nanjian Wu

HIGH-PRECISION VOLTAGE MEASUREMENT IP CORE FOR BATTERY MANAGEMENT SOC OF ELECTRIC VEHICLES ................................................................................................................................. 1342

Tai Yue ; Liji Wu ; Xiangmin Zhang ; Guangyu Tian

DESIGN OF PROGRAMMABLE GAIN AMPLIFIER WITH ACCURATE GAIN ............................................... 1345Miao Liu ; Xiaohong Peng ; Jinhui Wang ; Yanwei Wu

DESIGN OF A POWER OPTIMIZED 1024-POINT 32-BIT SINGLE PRECISION FFT PROCESSOR................................................................................................................................................................... 1348

Yangming Li ; Yajuan He ; Yanming He ; Ziji Zhang ; Shaowei Zhen ; Ping Luo

PARALLELISM EXPLOITATION OF MONTGOMERY MULTIPLICATION IN RNS ON NOC-BASED PLATFORM ...................................................................................................................................................... 1351

Renfeng Dou ; Jun Han ; Xiaoyang Zeng

A NOVEL BUS SECURITY SOLUTION FOR BANK IC CARD WITH FPGA...................................................... 1354Cheng Chen ; Shihao You ; Liji Wu ; Xiangmin Zhang

A 16/17 PRESCALER BASED ON NOVEL TSPC 2/3 DEVIDER SCHEME........................................................... 1357Shilin Yan ; Song Jia ; Wenyi Tang ; Jiyu Chen ; Ziyi Wang ; Weiting Li

10-BIT 20MS/S DIFFERENTIAL SAR ADC FOR IMAGE SENSOR ...................................................................... 1360Yang-yu Guo ; Zhao-han Li ; Jing Li ; Xin-yang Wang ; Yu-chun Chang

Page 21: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

AN ADAPTIVE BITLOAD OFDM SYSTEM FOR LOW VOLTAGE POWER LINE COMMUNICATION ...................................................................................................................................................... 1363

Zeyou Wu ; Xiaofang Zhou ; Qingqing Yang ; Linshan Zhang

A MIXED VOLTAGE BIDIRECTIONAL I/O IP COREWITH LOW POWER MODIFICATION FOR AUTOMOTIVE BCM SOC .................................................................................................................................. 1366

Xiaohai Xu ; Liji Wu ; Xiuqiang Xu ; Jilong Zhu ; Xiangmin Zhang ; Woogeun Rhee

A Σ-Δ FRACTIONAL-N FREQUENCY SYNTHESIZER IN 0.18µM CMOS TECHNOLOGY ............................ 1369Changchun Zhang ; Wei Song ; Libo Zheng ; Yufeng Guo ; Gang Bai ; Jing Chen ; Jiang Zhao

A NOVEL HIGH-SPEED CMOS FULLY-DIFFERENTICAL RING VCO ............................................................. 1372Changchun Zhang ; Zhizhen Li ; Junliang Fang ; Jiang Zhao ; Yufeng Guo ; Jing Chen

A CMOS THIRD-ORDER 2-1 CASCADE SIGMA-DELTA MODULATOR........................................................... 1375Rui Liang ; Zhaohan Li ; Baijun Zhao ; Shuang Cui ; Gengyun Wang ; Yuchun Chang

A FAST MULTI-CORE VIRTUAL PLATFORM FOR PERFORMANCE EVALUATION OF NETWORK ON CHIP .................................................................................................................................................... 1378

Ma, Xichao ; Zhou, Haijie ; Wang, Zongyan ; Yu, Zhiyi ; Zeng, Xiaoyang

AN LDO/PFM DUAL-MODE AUTOMATIC SWITCHING METHOD USED IN BUCK DC-DC CONVERTERS ............................................................................................................................................................... 1381

Fei Xie ; Qi Wu ; Linghao Zhu ; Hao Min

BIST-BASED DIGITAL DESIGN FOR IEEE 1394B PHY......................................................................................... 1384Zhang Wen ; HaiQi Liu ; Qiang Li

A MULTI-RATE TRANSMITTER BASED ON IEEE 1394B .................................................................................... 1387Shirong Wang ; HaiQi Liu ; Qiang Li

BLIND TIMING ERROR ESTIMATION BASED ON THE PHASIC RELATIONSHIP BETWEEN NONOVERLAPPING FREQUENCY POINTS IN TIME-INTERLEAVED ADCS ................................................ 1390

Sujuan Liu ; Jiashuai Cui ; Haixiao Ma ; Yuexian Zou

AN LED DRIVER WITH THERMAL CONTROL FUNCTION ............................................................................... 1393Zhenxu Zha ; Hei Wong ; Yan Han

A NEWRAIL-TO-RAIL OPAMP WITH BULK-DRIVEN INPUT STAGE AND INTERLEAVED CLASS-AB OUPUT STAGE .......................................................................................................................................... 1396

Ma Jianping ; Yuan Chen ; Tian Tong

A TRUE SINGLE-PHASE CLOCKED FULL-ADDER USING FLOATING-GATE MOS TRANSISTOR ................................................................................................................................................................. 1399

Guoqiang Hang ; Xiaohui Hu ; Danyan Zhang ; Yang Yang ; Jianzhong Wu

DESIGN OF DYNAMIC TERNARY LOGIC USING FLOATING-GATE MOS TRANSISTOR ......................... 1402Guoqiang Hang ; Xiaohua Li ; Xiaohui Hu

DESIGN OF A LOW-POWER MULTISTAGE AMPLIFIER WITH LARGE BANDWIDTH USING IMPEDANCE ADAPTING COMPENSATION TECHNIQUE.................................................................................. 1405

Gengyu Zhang ; Xia Xiao

FULLY INTEGRATED HIGH DENSITY SPAD ARRAY DETECTOR .................................................................. 1408Heng Yue ; Yue Xu ; Yang Huang ; Xiaopeng Xie

A DIGITALLY CONTROLLED OSCILLATOR FOR LOW VOLTAGE ENERGY HARVESTERS.................. 1411Chuang Wang ; Zunchao Li ; Qiang Guo ; Yuanfa Wang ; Kai Zhao

CLOCK GATING -A POWER OPTIMIZATION TECHNIQUE FOR SMART CARD......................................... 1414Yongwang Zhou ; Xiaohong Peng ; Ligang Hou ; Peiyuan Wan ; Pingfen Lin

A LOW POWER 64K-BIT EEPROM FOR BATTERY-LESS TPMS SOC.............................................................. 1417Zhi-Yuan Tu ; Li-Ji Wu ; Xiang-Min Zhang ; Li-Yang Pan

A HIGH-EFFICIENT ENERGY HARVEST CHAIN FOR ULTRA-LOW POWER PASSIVE UHF RFID TAGS ..................................................................................................................................................................... 1420

Ke Lin ; Bo Wang ; Xin'an Wang ; Jiali Hou ; Chaoxun Wang ; Xing Zhang

NFC QUADRATURE CARRIER CANCELLING RECEIVER DESIGN AND IMPLEMENTATION ................ 1423Longxiang Zhang ; Hantian Xu ; Hao Min

A 32×32B 65NM 4R/2W REGISTER FILE FOR LOW-POWER OPERATION ..................................................... 1427Zeyuan Zou ; Jun Han ; Zhiyi Yu ; Xiaoyang Zeng

A LATTICE-BASED PUBLIC-KEY ENCRYPTION SCHEME FOR RFID APPLICATIONS............................. 1430Lin Hui ; Dong Yahui ; Liu Dongsheng ; Liu Zilong ; Hou Dawei ; Tong Hengqing

A POWER-EFFICIENT 4:1 DYNAMIC FREQUENCY DIVIDER WITH PERIODICAL INJECTION TECHNIQUE ............................................................................................................................................ 1433

Yan, Yiyu ; Liu, Baobao ; Zhang, Runxi ; Shi, Chunqi ; Ding, Yanfang ; Lai, Zongsheng

A HIGH LINEARITY CMOS UP-CONVERSION MIXER FOR UHF RFID READER APPLICATION ............................................................................................................................................................... 1436

Jianhang Fan ; Chunqi Shi ; Runxi Zhang

Page 22: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A Q-BAND CMOS LNA WITH COMMON SOURCE TOPOLOGY BASED ON ALGORITHMIC DESIGN METHODOLOGIES....................................................................................................................................... 1439

Sheng Chen ; Runxi Zhang ; Chunqi Shi ; Yanlin Shi ; Zongsheng Lai

BORADBAND 60GHZ 32-WAY RING-CAVITY POWER COMBINER................................................................. 1442Ying Zhang ; Kaixue Ma ; Wanxin Ye ; Kiat Seng Yeo

A K-BAND LOW PHASE NOISE AND WIDE TUNING RANGE LC VCO............................................................ 1445Ting Yan ; Yu-Ming Zhang ; Hong-Liang Lu ; Yi-Men Zhang ; Yue Wu

RF WIDE-BAND AMPLIFIER MULTICHIP MODULE........................................................................................... 1448Xiubo Liu ; Hongjiang Wu

DESIGN OF A WIDEBAND DIGITALLY CONTROLLED OSCILLATOR .......................................................... 1451Junhui Xiang ; Lei Ma ; Linghao Zhu ; Hao Min

AN ALL-PASS TRUE TIME DELAY CIRCUIT FOR WIDEBAND PHASED ARRAY APPLICATION ............................................................................................................................................................... 1454

Lai He ; Wei Li ; Ning Li ; Junyan Ren

NIO: A FAST AND ACCURATE VERIFICATION METHOD FOR PVT VARIATIONS .................................... 1457Minghua Li ; Dian Zhou ; Xuan Zeng

NOISE AWARE CLOCK TREE SYNTHESIS FOR 3D ICS ..................................................................................... 1460Xiaofeng Pan ; Jing Xie ; Qin Wang ; Zhigang Mao

A NOVEL READ TRACKING CIRCUIT DESIGN BASED ON COMPENSATION CIRCUIT ........................... 1463Li Erliang ; Zhang Lijun ; Li Youzhong ; Zhang Qixiao ; Jiang Wei ; Hu Yuqing

MATERIAL VARIATION AWARE PARASITIC CAPACITANCE EXTRACTION USING STOCHASTIC FINITE ELEMENT METHOD........................................................................................................... 1466

Xiaoyu Xu

DESIGN OF ANTI-DISTINGUISH ATTACK FOR SHA-3 ALGORITHM BASED ON IMPROVED CP-KERNEL.................................................................................................................................................................... 1469

Jianrui Li ; Pengjun Wang ; Zhidi Jiang ; Yuejun Zhang

AN EFFICIENT PARALLEL METHOD FOR CANOPY CLUSTEING WITH MULTI-CORE PLATFORM .................................................................................................................................................................... 1472

Haijie Zhou ; Xichao Ma ; Lijun Zhou ; Zhiyi Yu ; Xiaoyang Zeng

A TANTALUM OXIDE MEMRISTOR FOR ARTIFICIAL SYNAPSE APPLICATIONS .................................... 1475Zhenxing Zhang ; Yimao Cai ; Muxi Yu ; Yue Pan ; Yichen Fang ; Binbin Guo ; Ru Huang

ASSOCIATIVE LEARNING BASED ON SYMMETRIC SPIKE TIME DEPENDENT PLASTICITY................ 1478Binbin Guo ; Yimao Cai ; Yue Pan ; Zhenxing Zhang ; Yichen Fang ; Ru Huang

OPTIMIZED TTSV STRUCTURE FOR HEAT MITIGATION AND ENERGY HARVESTING ........................ 1481Gagan, G.C. ; Singh, S.G. ; Dutta, A.

COST-EFFECTIVE AMORPHOUS SILICON HARD MASK PATTERNING SUB-45NM CONTACT TRENCH ..................................................................................................................................................... 1484

Lingkuan Meng ; Jianfeng Gao ; Xiaobin He ; Chunlong Li ; Jiang Yan

THE STUDY ON METAL-INSULATOR-METAL CAPACITOR PERFORMANCE IMPROVEMENT ............................................................................................................................................................ 1487

Po Li ; Jingwei Peng ; Zhang, D.W.

AN AREA EFFICIENT CHARGE PUMP AND A CHARGE PUMP HAVING ADJUSTABLE VOLTAGE OUTPUT FOR EMBEDDED NOR FLASH MEMORY......................................................................... 1490

Shengbo Zhang ; Jun Xiao ; Guangjun Yang ; Jian Hu ; Ming Li ; Shichang Zou

IMPACT OF STRESS TIME OF PROGRAM OPERATION ON THE ENDURANCE PERFORMANCE............................................................................................................................................................ 1493

Guoming Wang ; Baohe Yang ; Meiyun Zhang ; Shibing Long ; Ming Liu

TRIVALENT ION-DOPING EFFECT IN TIO2-BASED RESISTIVE SWITCHING MEMORY ......................... 1496Baiwen Zeng ; Dinglin Xu ; Yuzhou Zhou ; Minghua Tang

THE NITROGEN INCORPORATED ZRO2 CHARGE TRAPPING LAYERS IN NONVOLATILE MEMORY APPLICATIONS ......................................................................................................................................... 1499

Chih Ju Lin ; Ming Ling Lee ; Kow Ming Chang ; Shan Wei Chang ; Hsiang Chen ; Chyuan Haur Kao ; Wei Kung Sung ; Min Hau Kuo ; Che Wei Chang ; Chia Lun Chang ; Chun Fu Lin

DESIGN AND IMPLEMENTATION OF FEFET-BASED LOOKUP TABLE ........................................................ 1502Kai Li ; Ying Xiong ; Minghua Tang ; Ya Qin ; Zheng Li ; Yichun Zhou

SIMULATION OF FEFET-BASED BASIC LOGIC CIRCUITS AND CURRENT SENSE AMPLIFIER .................................................................................................................................................................... 1505

Ya Qin ; Ying Xiong ; Kai Li ; Minghua Tang

A NOVEL FINFET-BASED 1T-DRAM WITH EXTENDED BODY USING GATE-INDUCED DRAIN LEAKAGE MECHANISM............................................................................................................................... 1508

Zih-Hao Huang ; Jyi-Tsong Lin ; Po-Hsieh Lin ; Cheng-Hsien Chang

Page 23: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

NOVEL 2T GAIN CELL WITH ENHANCED RETENTION TIME FOR EMBEDDED DRAM APPLICATION ............................................................................................................................................................... 1511

Hui Li ; Yinyin Lin ; Huang, R. ; Lijun Song ; Qingtian Zou ; Jingang Wu

TRANSPORT THROUGH DOPANT ATOM ARRAYS IN SILICON JUNCTIONLESS NANOWIRE TRANSISTOR.......................................................................................................................................... 1514

Weihua Han ; Hao Wang ; Xiang Yang ; Liuhong Ma ; Wenting Hong ; Qifeng Lyu ; Fuhua Yang

INVESTIGATION OF ZRGE SCHOTTKY SOURCE/DRAIN ON N-GE SUBSTRATES..................................... 1517Haigui Yang ; Jinsong Gao ; Nakashima, H.

NOVEL METHOD TO DETERMINE BASE RESISTANCE IN SIGE HBT HICUM BASED ON RATIONAL FUNCTION FITTING .............................................................................................................................. 1520

Yabin Sun ; Jun Fu ; Ji Yang ; Jun Xu ; Yudong Wang ; Wei Zhou ; Wei Zhang ; Jie Cui ; Zhihong Liu

COMPARATIVE STUDY OF TRIANGULAR-SHAPED SILICON NANOWIRE TRANSISTORS .................... 1523Yi-Bo Zhang ; Lei Sun ; Hao Xu ; Jing-Wen Han ; Yi Wang ; Sheng-Dong Zhang

INVESTIGATION OF BAND-TO-BAND TUNNELING PARAMETERS IN SIGE BY USING MOSFET GIDL CURRENT ANALYSIS...................................................................................................................... 1526

Chang Liu ; Wenjie Yu ; Bo Zhang ; Xi Wang ; Qing-Tai Zhao

THE HETERO MATERIAL GATEAND HETERO-JUNCTION TUNNEL FIELD-EFFECT TRANSISTOR WITH POCKET ................................................................................................................................... 1529

Zhi Jiang ; Yiqi Zhuang ; Cong Li ; Wang Ping

SCHOTTKY BARRIER HEIGHT MODULATION IN METAL/N-GE SYSTEM .................................................. 1532Gong, Z.J. ; Wang, S.K. ; Yang, X. ; Sun, B. ; Zhao, W. ; Chang, H.D. ; Liu, H.G.

CU SEED, CMP PROCESS DEVELOPMENT AND VIA RESISTANCE EXTRACTION IN THROUGH SILICON VIA TECHNOLOGY............................................................................................................... 1535

Zheng-Jun Hu ; Xin-Ping Qu ; Hong Lin ; Ren-Dong Huang ; Qing-Yun Zuo ; Ming Li ; Shou-Mian Chen ; Yu-Hang Zhao

A NOVEL RESIDUAL STRESS TEST STRUCTURE FOR MEMS THIN FILMS ................................................ 1538Yichao Zhou ; Zaifa Zhou ; Ninghuan Wang

ETHANOL SENSORS BASED ON GRAPHENE/TIN OXIDE.................................................................................. 1541Weiwei Zhang ; Dajun Wu ; Yiping Zhu ; Shaohui Xu ; Lianwei Wang

DESIGN OF A HIGH-LINEAR MEMS PRESSURE SENSOR AVAILABLE FOR TPMS.................................... 1544Jian Zhang ; Jie Zhang ; Haochen Qi ; Zhiwen Hu

A NEW CURRENT MODE PIXEL FOR ACTIVE-MATRIX ORGANIC LIGHT-EMITTING DIODE DISPLAYS ......................................................................................................................................................... 1547

Chao Liu ; Li Tian ; Ning Wang ; Hui Wang ; Songlin Feng

THE CEO2 SENSING MEMBRANE IN ELECTROLYTE-INSULATOR-SEMICONDUCTOR FOR BIO-SENSOR APPLICATIONS.................................................................................................................................... 1550

Liu, Che Chun ; Lee, Ming Ling ; Chang, Kow Ming ; Chang, Shan Wei ; Chen, Hsiang ; Kao, Chyuan Haur ; Sung, Wei Kung ; Kuo, Min Hau ; Chang, Che Wei ; Chang, Chia Lun ; Lin, Chun Fu

STABLITY RESEARCH AND APPLICATION OF AMORPHOUS INDIUM GALLIUM ZINC OXIDE TFTS FOR ACTIVE MATRIX ORGANIC LIGHT-EMITTING DIODE DISPLAY................................ 1553

Feilong Zhao ; Dedong Han ; Junchen Dong ; Longyan Wang ; Nannan Zhao ; Yingying Cong ; Zhuofa Chen ; Xing Zhang ; Shengdong Zhang ; Yi Wang

STUDY OF ONE ENHANCED NMOSFET PHOTODETECTOR FABRICATED IN TRIPLE WELL PROCESS............................................................................................................................................................ 1556

Fuwei Wu ; Dong Yu ; Xiaoli Ji ; Feng Yan

A NEW ARCHITECTURE TEMPERATURE SENSOR WITH 0.03 C° RESOLUTION FROM -55° C TO 125° C ..................................................................................................................................................................... 1559

Dongyuan Xu ; Meng Zhao ; Wengao Lu ; Hai Chu ; Yacong Zhang ; Zhongjian Chen

AU-SWCNTS-HF SCHOTTKY DIODES FABRICATED BY DIELECTROPHORESIS....................................... 1562Mengge Li ; Jinwen Zhang

FIRST-PRINCIPLES STUDY OF DOPING PHOSPHORENE SUPERCELL AND ELECTRICAL TRANSPORT PROPERTIES ........................................................................................................................................ 1565

Jianming Fang ; Sicong Zhu ; Yanqing Wu

TRANSPARENT PENTACENE ORGANIC THIN FILM TRANSISTORS WITH POLYIMIDE DIELECTRICS................................................................................................................................................................ 1568

Tingting Feng ; Dan Xie ; Mengqiang Wu

BIAS STRESS INDUCED THRESHOLD VOLTAGE INSTABILITY IN SOLUTION PROCESSED ORGANIC THIN FILM TRANSISTOR....................................................................................................................... 1571

Yin Sun ; Lining Zhang ; Ahmed, Z. ; Kabir, D. ; Mansun Chan

BROADBAND MODELING FOR INP DHBT OVER 0.2 – 220 GHZ ....................................................................... 1574Zhijiang Zhou ; Jun Liu ; Lingling Sun ; Wei Cheng ; Haiyan Lu

Page 24: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

IMPACT OF GEOMETRIC DIMENSIONS ON THE BEHAVIOR OF GAN MIS-HEMT FABRICATED ON PATTERNED SAPPHIRE SUBSTRATE ................................................................................... 1579

Zan Li ; Xiao-Yong Liu ; Lin-Qing Zhang ; Sheng-Xun Zhao ; Hong-Fan Huang ; Jin-Shan Shi ; Min-Zhi Lin ; Haoxiang Zhang ; Zhang, D.W. ; Peng-Fei Wang

IMPACTS OF ANNEALING PROCESSES ON THE ELECTRICAL PROPERTIES OF GASB METAL-OXIDE-SEMICONDUCTOR DEVICES ...................................................................................................... 1582

Zhenhua Zeng ; Bing Sun ; Hudong Chang ; Wei Zhao ; Xu Yang ; Jiahui Zhou ; Shengkai Wang ; Xiong Zhang ; Yiping Cui ; Honggang Liu

HIGH NEGATIVE BIAS STABILITY GADOLINIUM-DOPED ALUMINUM-ZINC-OXIDE THIN FILM TRANSISTORS.................................................................................................................................................... 1585

Junchen Dong ; Dedong Han ; Feilong Zhao ; Nannan Zhao ; Jing Wu ; Zhuofa Chen ; Yingying Cong ; Shengdong Zhang ; Xing Zhang ; Lifeng Liu ; Yi Wang

ON-WAFER DEEMBEEDDING TECHNIQUES WITH APPLICATION TO HEMT DEVICES CHARACTERIZATION ................................................................................................................................................ 1588

Haiyan Lu ; Weibo Wang ; Jianjun Zhou ; Tangshen Chen ; Chen Chen

LIGHT OUTPUT IMPROVEMENT OF INGAN/GAN LEDS BY SELF-ASSEMBLED AG NANO-PARTICLES AND SINX SURFACE ROUGHENING ................................................................................................ 1591

Wenjun Xu ; Peng Zuo ; Longgui Dai ; Yang Jiang ; Jiahui Zhou ; Qi Li ; Haiou Li ; Simin Li ; Hong Chen

INGAAS COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR FABRICATED ON GAAS SUBSTRATE USING AL2O3 AS GATE OXIDE.......................................................................................................... 1594

Chang, H.D. ; Zhou, J.H. ; Liu, G.M. ; Zeng, Z.H. ; Zhao, W. ; Sun, B. ; Wang, S.K. ; Zhou, X.L. ; Pan, J.Q. ; Liu, H.G.

INVESTIGATION OF CARRIER LIFETIMES IN A THIN 4H-SIC EPILAYER .................................................. 1597Yang, Haigui ; Gao, Jinsong ; Nakashima, Hiroshi

INFLUENCE OF THE THERMAL OXIDATION ON MINORITY CARRIER LIFETIME OF 6H-SIC .................................................................................................................................................................................... 1600

Ting Zhou ; Yu-Ming Zhang ; Lei Yuan ; Qing-Wen Song ; Xiao-Yan Tang

STUDIES OF GROWTH TECHNICS AND HOMOGENOUS OF GRAPHENE FORMED ON 4°OFF-AXIS 4H-SI-FACE SIC ...................................................................................................................................... 1603

Yanfei Hu ; Yuming Zhang ; Hui Guo

INFLUENCE OF SIH4 TREATMENT TIME ON THE PROPERTIES OF GAN FILMS GROWN ON IN-SITU SINX PATTERNED SAPPHIRE SUBSTRATE .................................................................................... 1606

Daqing Peng ; Zhonghui Li ; Dongguo Zhang ; Chuanhao Li ; Liang Li ; Xun Dong ; Jinyu Ni ; Lei Pan ; Weike Luo

FAILURE ANALYSIS OF A BIPOLAR INTEGRATED CIRCUIT ......................................................................... 1609Sheng Xing ; Peng Kewu ; Zhang Zhengyuan ; Liu Luncai ; Liu Fan ; Wu Lina

TOTAL DOSE EXPERIMENT ON NOR FLASH AND ANALYSIS OF CHARGE PUMP FAILURE MACHANISM ................................................................................................................................................................. 1612

Minqi Zheng ; Yi Liu ; Chao Duan ; Mintao Luo

REDUCING SINGLE EVENT UPSET BY LOWERING THE THRESHOLD VOLTAGE OF TRANSISTORS ............................................................................................................................................................... 1615

Zheng, Zhongshan ; Li, Zhentao ; Qiao, Ning ; Zhao, Kai ; Yu, Fang

ANALYSIS OF NONUNIFORM DAMAGE FOR THE FULLY ISOLATED MULTI-FINGER RECTIFIER LATERAL DMOS TRANSISTOR IN DC-DC CONVERTER ............................................................ 1618

Bing Yu ; Siyang Liu ; Ran Ye ; Weifeng Sun

ESD CLAMP PROTECTION STRUCTURE WITH CURRENT MIRROR TRIGGER CIRCUIT IN SUBMICRON CMOS TECHNOLOGY........................................................................................................................ 1621

Xiaozong Huang ; Luncai Liu ; Wengang Huang ; Fan Liu ; Jun Luo ; Dongmei Zhu

FAILURE MECHANISMS OF GUARD-DRAIN IN MITIGATING N-HIT SINGLE-EVENT TRANSIENT VIA 45-NM CMOS PROCESS............................................................................................................... 1624

Xinyu Xu ; Ying Xiong ; Minghua Tang ; Zheng Li ; Yichun Zhou

ANALYSIS OF THE THERMAL RESISTANCE AND PERFORMANCE DEGRADATION OF 4H-SIC PIN POWER DIODE............................................................................................................................................... 1627

Jia-Chang Li ; Qing-Wen Song ; Yi-Meng Zhang ; Xiao-Yan Tang ; Yu-Ming Zhang

AREA SAVED AND CLAMP EFFICIENT MULTI-RC-TRIGGERED POWER CLAMP CIRCUIT FOR ON-CHIP ESD PROTECTION ............................................................................................................................ 1630

Haibing Guo ; Yuan Wang ; Guangyi Lu ; Song Jia ; Xing Zhang

A RING OSCILLATOR BASED RELIABILITY STRUCTURE FOR DUTY-CYCLE MEASUREMENT UNDER BTI STRESSES ................................................................................................................ 1633

Lei Ai ; Yandong He ; Fang Qiao ; Ganggang Zhang ; Xing Zhang

Page 25: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

A RADIATION-HARDENED STANDARD CELL LIBRARY FOR COMMERCIAL 0.18 µM CMOS TECHNOLOGY .............................................................................................................................................................. 1636

Jia Liu ; Weidong Yang ; Yao Li ; Ruitao Zhang ; Jing Yang ; Xiaogang Feng ; Yuxin Wang ; Dongbing Fu ; Guangbing Chen ; Ruzhang Li

RECOVERY OF PMOSFET NBTI AT DIFFERENT CYCLES................................................................................ 1639Yanrong Cao ; Yi Yang ; Cheng Cao ; Wenlong He ; Xuefeng Zheng ; Xiaohua Ma ; Yue Hao

STUDY ON THE CONDUCTION MECHANISM OF SURFACE LEAKAGE CURRENT FOR ALGAN/GAN HEMTS UNDER REVERSE GATE BIAS .......................................................................................... 1642

Xuefeng Zheng ; Shuang Fan ; Di Kang ; Weiwei Sun ; Xiaohua Ma ; Yue Hao

A COMPREHENSIVE NBTI DEGRADATION MODEL BASED ON RING OSCILLATOR CIRCUIT.......................................................................................................................................................................... 1645

Fang Qiao ; Yandong He ; Leilei Ai ; Ganggang Zhang ; Xing Zhang

THE BEAT-FREQUENCY CIRCUIT FOR MONITORING DUTY-CYCLE SHIFT BASED ON BTI EFFECT ........................................................................................................................................................................... 1648

Fang Qiao ; Yandong He ; Ganggang Zhang ; Xing Zhang

AN ANALYTICAL MODEL FOR THE FIELD DISTRIBUTION OF SIPOS-FIELD-PLATE TRIPLE RESURF LDMOS ............................................................................................................................................ 1651

Luda Zheng ; Guojun Zhang ; Zhiqin Zhong ; Shuya Wang ; Liping Dai

A SIMULATION MODEL FOR PDSOI MOSFETS ................................................................................................... 1654Jianhui Bu ; Ying Li ; Jiajun Luo ; Zhengsheng Han

LOW-LOSS BALUN DESIGN AND COMPACT MODELING FOR RF/MILLIMETER-WAVE CIRCUIT APPLICATION ............................................................................................................................................. 1657

Jian Yao ; Zuochang Ye ; Yan Wang

HIGH FREQUENCY MODELING OF TAPERED TSV CONSIDERING MOS EFFECT AND FREQUENCY-DEPENDENT BEHAVIOR.................................................................................................................. 1660

Song Liu ; Guangbao Shan ; Chengmin Xie

DESIGN AND OPTIMIZATION OF FIELD LIMITING RINGS TERMINATION FOR 1200V 4H-SIC VDMOSFETS........................................................................................................................................................... 1663

Ruibin Huo ; Huajun Shen ; Yun Bai ; Xufang Zhang ; Chengzhan Li

IMPROVED PERFORMANCE OF 4H-SIC MOSFETS WITH NONUNIFORM P WELL IMPLANTATION ........................................................................................................................................................... 1666

Ruibin Huo ; Yun Bai ; Huajun Shen ; Chengzhan Li

A WIDEBAND ARTIFICIAL MAGNETIC CONDUCTOR YAGI ANTENNA FOR 60-GHZ STANDARD 0.13-µM CMOS APPLICATIONS .......................................................................................................... 1669

Yiming Huo ; Xiaodai Dong ; Bornemann, J.

THREE-DIMENSIONAL SIMULATION OF PROFILE EVOLUTION IN PLASMA ETCHING OF POLYSILICON ............................................................................................................................................................... 1672

Yu Xiao ; Zhou Zai Fa ; Li Wei Hua

DESIGN OF A 800V VDMOS TERMINATION STRUCTURE ................................................................................ 1675Kepang Wu ; Quanyuan Feng ; Xiaorong Gao ; Xiaopei Chen

ON-CHIP STACKED PUNCHTHROUGH DIODE DESIGN FOR 900V POWER MOSFET GATE ESD PROTECTION........................................................................................................................................................ 1678

Seong Bin Kim ; Jongmin Geum ; Sinsu Kyoung ; Man Young Sung

DESIGN AND SIMULATION OF A 2-AXIS LOW G ACCELERATION SWITCH WITH MULTI-FOLDED BEAMS ........................................................................................................................................................... 1681

Luxing Lin ; Qiancheng Zhao ; Zhenchuan Yang ; Dacheng Zhang ; Guizhen Yan

TCAD SIMULATION OF GATE-ENCLOSED MOSFET FOR TERAHERTZ DETECTOR ............................... 1684Yingjie Zhu ; Xiaoli Ji ; Yiming Liao ; Fuwei Wu ; Feng Yan

A BEHAVIOR MODELING METHOD OF INTEGRATED CMOS HALL ELEMENT FOR CIRCUIT SIMULATION ............................................................................................................................................... 1687

Li Shao ; Ke Liu ; Renwei Zhang ; Zhankun Du ; Rongjiang Liu ; Xiaomin Pang

MODELING SLOW-WAVE CPWS ON SILICON UP TO 60 GHZ ......................................................................... 1690Cuicui Yao ; Wenjuan Zhang ; Fujiang Lin

A ONE-PIECE COMPACT MODEL FOR TUNNELING FETS............................................................................... 1693Zelei Sun ; Li Zhang ; Jinyu Zhang ; Zhiping Yu

DUAL TRENCH GATES SOI LIGBT WITH LOW CONDUCTION LOSS ............................................................ 1696Meihua Liu ; Jiang, F.X.C. ; Xinnan Lin

PARAMETER OPTIMIZATION OF PT-IGBT BREAKDOWN VOLTAGE.......................................................... 1699Tzu-Lang Shih ; Chih-Chuan Chang ; Wen-Hsi Lee

A FIVE-ITEM MSLD WINDOWED TRIPLE-SPECTRUM-LINE INTERPOLATED FFT ALGORITHM FOR MEASURING SFDR ................................................................................................................... 1702

Qin He ; Pu Huang ; Linna Zhao ; Zhiguo Yu ; Jinghe Wei ; Xiaofeng Gu

Page 26: 2014 12th IEEE International Conference on Solid …toc.proceedings.com/25084webtoc.pdfGuilin, China 28-31 October 2014 IEEE Catalog Number: ISBN: CFP14829-POD 978-1-4799-3284-9 2014

DESIGN OF KEY TECHNOLOGY IN REFLECTIVE MEMORY NETWORK COMMUNICATION MODULE.................................................................................................................................... 1705

Yong Chen ; Yan Wang ; Xiaofeng Tang ; Wenbo Wu

FINITE-DIFFERENCE TIME-DOMAIN MODELING OF EARLY BREAST CANCER DETECTION WITH UWB ANTENNA ARRAY......................................................................................................... 1710

Hang Song ; Xia Xiao ; Liang Wang ; Qinwei Li ; Kikkawa, T.

A SIMPLE METHOD TO REDUCE LINE CURRENT ZERO-CROSSING DISTORTION (LCZCD) FOR SINGLE-STAGE FLYBACK LED DRIVER ...................................................................................................... 1713

Nie Weidong ; Zhu Weimin ; Ma Xiaohui ; Yu Zongguang

LOW COST FULL-MODE CHANNEL ESTIMATION FOR DTMB SYSTEM...................................................... 1716Yuanzhou Hu ; Kai Ma ; Yun Chen ; Xiaoyang Zeng

ENHANCED FIELD EMISSION PROPERTIES OF CARBON NANOTUBES BY COATING DIAMOND-LIKE CARBON LAYER ........................................................................................................................... 1719

He, Feng ; Li, Zhenjun ; Li, Chi ; Zhou, Hang ; Dai, Qing

Author Index