baitapdientuso- le anh tien

48
1 Họ và tên: Lê Anh Tiến SHSV: 20092698 Lớp Tin học công nghiệp - kĩ sư chất lượng cao K54 Số điện thoại: 01696572668 ĐIỆN TỬ SỐ BÀI TẬP - Bài tập trong cuốn : Điện Tử số - Của tác giả Lương Ngọc Hải-Lê Hải Sâm- Nguyễn Trịnh Đường- Trần Văn Tuấn PHẦN I: BÀI TẬP TRONG SÁCH Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ 1.1 - Trong điều khiển kĩ thuật, điều khiển kinh tế... ta thường gặp những bài toán mà thông tin cho trước (dữ liệu vào) và các đáp ứng của bài toán, đều chỉ có thể ở một trong hai trạng thái đối kháng nhau: đúng/sai, nóng/lạnh... Các bài toán điều khiển như vậy gọi là bài toán logic. - Đại số Boole là công cụ để giải những bài toán logic. Các biến trong đại số Boole gọi là biến logic. Nó chỉ có 2 giá trị, kí hiệu là 1/0, đặc trưng cho hai trạng thái đối kháng của một hiện tượng. Hai giá trị của biến logic hoàn toàn không có ý nghĩa về lượng. - Trong các mạch logic điện, điện áp mang thông tin về hai giá trị của biến logic, và nó chỉ có thể nằm ở hai miền giá trị hoàn toàn phân biệt nhau, gọi là hai mức logic, gồm mức cao H và mức thấp L. 1.2 - Mạch logic gồm những linh kiện, chủ yếu là các khóa đóng/mở, ghép nối với nhau; nhằm thực hiện những quan hệ logic cho trước. Tuyệt đại đa số các mạch logic hiện nay là mạch logic điện. Nếu các khóa đóng/mở trong mạch logic điện là tiếp điểm của các rơ le điện từ, thì mạch gọi là mạch logic tiếp điểm (hay mạch logic rơ le). Nếu dùng trangzito hay điot làm khóa đóng mở thì gọi là mạch logic điện tử. - Nếu một mạch logic, mức thấp L đặc trưng cho giá trị 0 logic, mức cao H đặc trưng cho mức 1 logic thì mạch gọi là mạch logic dương. Ngược lại, mức cao H đặc trưng cho giá trị 0 logic, mức thấp L đặc trưng cho mức 1 logic thì mạch gọi là mạch logic âm.

Upload: le-tien

Post on 02-Aug-2015

73 views

Category:

Documents


7 download

DESCRIPTION

Bài làm chi tiết.

TRANSCRIPT

Page 1: BaiTapDienTuSo- Le Anh Tien

1

Họ và tên: Lê Anh Tiến SHSV: 20092698 Lớp Tin học công nghiệp - kĩ sư chất lượng cao K54 Số điện thoại: 01696572668

ĐIỆN TỬ SỐ

BÀI TẬP

- Bài tập trong cuốn : Điện Tử số - Của tác giả Lương Ngọc Hải-Lê Hải Sâm- Nguyễn Trịnh Đường-

Trần Văn Tuấn

PHẦN I: BÀI TẬP TRONG SÁCH

Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ

1.1 - Trong điều khiển kĩ thuật, điều khiển kinh tế... ta thường gặp những

bài toán mà thông tin cho trước (dữ liệu vào) và các đáp ứng của bài toán, đều chỉ có thể ở một trong hai trạng thái đối kháng nhau: đúng/sai, nóng/lạnh... Các bài toán điều khiển như vậy gọi là bài toán logic.

- Đại số Boole là công cụ để giải những bài toán logic. Các biến trong đại số Boole gọi là biến logic. Nó chỉ có 2 giá trị, kí hiệu là 1/0, đặc trưng cho hai trạng thái đối kháng của một hiện tượng. Hai giá trị của biến logic hoàn toàn không có ý nghĩa về lượng.

- Trong các mạch logic điện, điện áp mang thông tin về hai giá trị của biến logic, và nó chỉ có thể nằm ở hai miền giá trị hoàn toàn phân biệt nhau, gọi là hai mức logic, gồm mức cao H và mức thấp L.

1.2 - Mạch logic gồm những linh kiện, chủ yếu là các khóa đóng/mở, ghép

nối với nhau; nhằm thực hiện những quan hệ logic cho trước. Tuyệt đại đa số các mạch logic hiện nay là mạch logic điện. Nếu các khóa đóng/mở trong mạch logic điện là tiếp điểm của các rơ le điện từ, thì mạch gọi là mạch logic tiếp điểm (hay mạch logic rơ le). Nếu dùng trangzito hay điot làm khóa đóng mở thì gọi là mạch logic điện tử.

- Nếu một mạch logic, mức thấp L đặc trưng cho giá trị 0 logic, mức cao H đặc trưng cho mức 1 logic thì mạch gọi là mạch logic dương. Ngược lại, mức cao H đặc trưng cho giá trị 0 logic, mức thấp L đặc trưng cho mức 1 logic thì mạch gọi là mạch logic âm.

Page 2: BaiTapDienTuSo- Le Anh Tien

2

1.3

A B C A B AB AB A B+

A B+ ................

A B C A B AA B B+ A.1 B+1

Page 3: BaiTapDienTuSo- Le Anh Tien

3

A B C A B ABC A+B+C

ABC

A B C+ +

A BÅ A BÅ A BÅ A B CÅ Å

1.4 a- Bữa trưa ở nhà máy: Đầu vào: -Mua/Không mua bánh mì kẹp. -Lấy /Không láy canh. -Lấy /Không lấy rau trộn. Đầu ra: -Đưa/Không đưa bánh mì kẹp. -Đưa/Không đưa canh. -Đưa/Không đưa rau trộn. b- Đăng kí giáo trình: Đầu vào:

Page 4: BaiTapDienTuSo- Le Anh Tien

4

-Đăng kí/ Không đăng kí học luật. -Đăng kí/ Không đăng kí học sử. -Đăng kí/ Không đăng kí học Anh. -Đăng kí/ Không đăng kí học Pháp. Đầu ra: -Xác nhận học/ không học sử. -Xác nhận học/ không học luật. -Xác nhận học/ không học Anh. -Xác nhận học/ không học Pháp. c- Người công nhân sơn tường: Đầu vào: -Chọn sơn/không sơn tường màu vàng. -Chọn sơn/không sơn tường màu xanh. Đầu ra: -Nhà được/không được sơn màu vàng. -Nhà được/không được sơn màu xanh. 1.5 a- A AD A(D 1) A+ = + = b- A AD A D+ = + c- XYZ XY X(YZ Y) X(Y Z)+ = + = + d- A B AB A B A B 1+ + = + + + = e- B BE B E+ = + f- ABC ABC B AB B A B+ + = + = + g- ABC AC C C(AB A) C CA C A C+ + = + + = + = + 1.6 a- ABC ABC C BC C B C+ + = + = + b- ABC ABC ABC ABC BC C(AB B) C(A B) CAB+ + = + = + = + =

c- ABC ABC ABC ABC (ABC ABC) (ABC ABC) (ABC ABC)

AB BC CA

+ + + = + + + + += + +

d- AB BC AC ABC ABC BC AB AB BC+ + = + + + = + 1.7 a- (A B)(A B) AB B BA B+ + = + + =

b- (A B C)(A B C)(A B C) (A B)(A B C)

A BA AB AC BC A AC BC A BC

+ + + + + + = + + +

= + + + + = + + = +

Page 5: BaiTapDienTuSo- Le Anh Tien

5

c- (A B)(B C)(C A) (AB AC BC)(C A)

CBA AC BC AB AC ABC AC BC AB

+ + + = + + +

= + + + + + = + +

1.8 a-

U1

AND_2

U2

AND_2

U3

NOT U4

OR

Q

C

A

B

Q(A, B,C) CA BC= +

A B C CA BC Q 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1

b-

U1

OR_3

U2

OR_3

U3

OR_3

U4

AND_3

U5

NOT

U6

NOT

A

B

C

DQ

Q(A, B,C, D) (A B C)(A B D)(B A D)= + + + + + + A B C D A B C+ + A B D+ + B A D+ + Q(A, B,C, D) 0 0 0 0 1 1 0 0 0 0 0 1 1 1 1 1 0 0 1 0 1 1 0 0 0 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 0 1 1 1 1 1

Page 6: BaiTapDienTuSo- Le Anh Tien

6

0 1 1 0 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 1 1 0 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1.9

a- Q(A, B,C) CA BC CA BC CACB= + = + = U1

NAND_2

U2

NAND_2

U3

NAND_2

U4

NAND_2

A

B

CQ

b-Q(A, B,C, D) (A B C)(A B D)(B A D)

(A B C) (A B D) (B A D)

= + + + + + +

= + + + + + + + +

U1

NOR_2

U2

NOR_2

U3

NOR_3

U4

NOR_3

U5

NOR_3

U6

NOR_3

A

B

C

D

Q

1.10 a-

1Q (A, B,C) AC AB BC ACABBC= + + =

Page 7: BaiTapDienTuSo- Le Anh Tien

7

U1

NAND_2

U2

NAND_2

U3

NAND_2U4

NAND_2U5

NAND_2

A

B

C

U6

NAND_2 U7

NAND_2

Q

b-

2Q (A,B,C) (A B)(B C)(C A) (A B) (B C) (C A)= + + + = + + + + +

U1

NOR_2U2

NOR_2

U3

NOR_2U4

NOR_2

U5

NOR_2

U6

NOR_2

U7

NOR_2

A

B

C

Q

1.11 Bảng trạng thái

C B A Q 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1

Q(A, B,C) CBA CBA CBA CBA BA CA CBA

CA B(A CA) CA B(A C) CA BA BC

= + + + = + +

= + + = + + = + +

U1

AND_2U2

AND_2U3

AND_2

U4

NOT

U5

OR_3

ABC

Q

Page 8: BaiTapDienTuSo- Le Anh Tien

8

Q(A, B,C) CA BA BC CABABC= + + =

U1

NAND_2

U2

NAND_2

U3

NAND_2

U4

NAND_2

U5

AND_3

A

B

CQ

1.12 Gọi (A1,A2) ,(B1,B2), (C1,C2), (D1,D2) là tín hiệu của hệ thống an

toàn và báo cháy của xe taxi tại 4 vị trí trong xe. U1

OR_2U2

OR_2U3

OR_2U4

OR_2

U5

OR_4

A1A2

B1B2

C1C2

D1D2

Q

1.13 - Mở cổng HOẶC, cho dữ liệu A qua:

1 2 3b b b 010= - Đóng cổng VÀ, chặn lại dữ liệu A:

1 2 3b b b 100= - Đóng cổng HOẶC, chặn dữ liệu A:

1 2 3b b b 010= -Đảo dòng dữ liệu A qua cổng NAND:

1 2 3b b b 001=

Page 9: BaiTapDienTuSo- Le Anh Tien

9

1.14 a-

U1

XOR

U2

AND_2

b1b2

AQ

1 2

1 2

1 2

b b 00 Q A

b b 01 Q A

b b 11 Q A

= => == => =

= => =

b- U1

AND_2

1

23

U2:A

4077

b1b2

AQ

1 2

1 2

1 2

b b 00 Q A

b b 01 Q A

b b 11 Q A

= => =

= => == => =

1.15 a- 111010b = 58d 100101011101b = 2397d 46AEh = 18094d FA2Ch = 64044d b- 97.75d = 1100001.11b 625.7d = 1001110001.10(1100)b c- 921d = 399h 6120d = 17E8h d- 1001011b = 4Bh 1001010101111101b = 957Dh 2ACh = 1010101100b B34Dh = 1011001101001101b 27.45d = 100111.01000101BCD 11101000110.01BCD = 746.4d 10100111b = 11110100Gray 15d = 1111b = 1000Gray 10010110Gray = 11100100

Page 10: BaiTapDienTuSo- Le Anh Tien

10

1.16 100101b + 10111b = 111100b 10011111001b + 100001111101b = 110101110110b B23CDh + 17912h = C9CDFh AFEFFEh + 2FBCADh = DFACABh 1.17 Dạng 8 bit có dấu: -120 = 1,1111000 Dạng 16 bit có dấu: -120 = 1,000000001111000 Dạng mã bù 2: 120 = 0,0001000 120 = 0,111111110001000 1.18 Chuyển dạng sang số thập phân có dấu: 1010010010001010 = -9354 78E3h = 30947 CB33h = 52019 807Fh = 32895 9AC4h = 39620 1.19

A (Nhị phân có dấu)

B (Nhị phân có dấu)

A+B (Mã bù 2)

A+B (Nhị phân có dấu)

0.0101011 1.1010101 1.1010110 1.0101010 1.0111110 0.0011001 1.1011011 1.0100101 0.1110001 0.0010111 0.1111000 ( Tràn bit )

1.20

A (Mã bù 2)

B (Mã bù 2)

A-B (Mã bù 2)

A-B (Nhị phân có dấu)

0.0111001 0.1011101 0.1011100 0.0100100 0.1000111 1.1100011 1.1100100 1.0011100 1.1000110 0.0011010 100101100

( Tràn bit ) Tràn bit

1.0001110 1.1100010 100101100 ( Tràn bit )

Tràn bit

Page 11: BaiTapDienTuSo- Le Anh Tien

11

Chương 2 – CÁC HỌ MẠCH LOGIC TTL VÀ CMOS 2.1 Mạch họ TTL là các mạch logic dùng tranzito BJT làm khóa đóng/ngắt

ở cửa vào và cửa ra. Tùy theo cấu trúc ở cửa ra, các mạch họ TTL chia thành 3 loại: Mạch TTL cửa ra totempole, mạch TTL cửa ra cực góp hở, và mạch TTL cửa ra ba trạng thái.

a- Mạch TTL cửa ra totempole: Vcc A Q B Khi ít nhất một trong hai đầu vào A, B ở mức thấp, thì đầu ra Q được

kéo lên mức cao H. Chỉ khi cả hai đầu vào đều ở mức cao H thì Q mới tụt xuống mức thấp L.

b-Mạch TTL, cửa ra cực góp hở: +U A R Q T B Cách hoạt động của mạch logic TTL cửa ra cực góp hở giống như mạch

TTL cửa ra totempole, chỉ khác: Điện trở R trong mạch TTL cực góp hở nằm ở ngoài, có thể thay đổi, còn trong TTL cửa ra totempole thì nằm ở trong, không thay đổi được.

Ưu điểm của mạch cực góp hở: -Mạch logic hở cho phép ta thay đổi mức logic cao ở đầu ra, bằng cách

thay đổi điện trở R, treo lên điện áp +U thích hợp. -Đầu ra các mạch cực góp hở có thể nối trực tiếp với nhau mà không

làm ngắn mạch nguồn cung cấp.

Page 12: BaiTapDienTuSo- Le Anh Tien

12

Nhược điểm của mạch cực góp hở: Khi tranzito cửa ra từ dẫn bão hòa chuyển sang khóa, đầu ra Q chậm treo lên mức cao => tốc độ thay đổi trạng thái chậm hơn cửa ra totempole.

2.2 A – NAND cửa ra totempole trong các IC 7400: Q ABCDEF=

1

23

U1:A

7400

4

56

U1:B

7400

10

98

U1:C

7400

U2

AND_3

AB

CD

EF

Q

B – NAND cực góp hở trong IC 7401:

Q ABCDEF ABCDEF AB CD EF= = = + +

AB

CD

EF

Q

U1

AND_2

U2

AND_2

U3

AND_2

U4

NOT

Page 13: BaiTapDienTuSo- Le Anh Tien

13

Chương 3: TỔNG HỢP MẠCH LOGIC TỔ HỢP 3.1 a) Theo tuyển chuẩn:

Q BD CBA DCA= + + b) Theo hội chuẩn:

Q (B A)(D C)(A D)(C B A)= + + + + + Có mạch: Q (B A)(D C)(A D)(C B A) BADCADCBA= + + + + + =

BA DC

00 01 11 10

00

1 1 0 1

01

1 1 X 0

11

0 0 0 0

10

1 0 0 X

BA DC

00 01 11 10

00

1 1 0 1

01

1 1 X 0

11

0 0 0 0

10

1 0 0 X

Page 14: BaiTapDienTuSo- Le Anh Tien

14

U1

NAND

U2

NAND

U3

NAND

U4

NAND_3

AB

CD

U5

NAND

U6

NAND_4

Q

3.2 E D P C 0 0 1 1 0 1 1 1 1 0 1 0 1 1 0 1 P E D

C E D

= +

= +

U1

NOT

U2

NOT

U3

OR_2

U4

OR_2

E

D

C

P

3.3 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 Ta xét mạch với 2 đầu vào A,B và 15 đầu ra tương ứng đèn 1 -> 15 Có bảng trạng thái: của các đèn ứng với các kí tự E,F,P,T

Page 15: BaiTapDienTuSo- Le Anh Tien

15

Kí tự B A 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 E 0 0 1 1 1 1 0 0 1 1 1 1 0 0 1 1 1 F 0 1 1 1 1 1 0 0 1 1 0 1 0 0 1 1 1 P 1 0 1 1 1 1 0 1 1 1 1 1 0 0 1 0 0 T 1 1 1 1 1 0 1 0 0 1 0 0 1 0 0 1 0 Có mạch điều khiển như sau:

U1NOT

U2NOT

A B

U3

OR_2

1

8

2

3

U4

OR_2

U5

AND_2

U6

AND_2

6

7

4

10

13

5

11

U7

AND_2

12

9U8

OR_2

14

15

3.4 F M Q 0 0 1 0 1 1 1 0 0 1 1 1 Q F M= +

U1

NOT

U2

OR_2

F

M

Q

Page 16: BaiTapDienTuSo- Le Anh Tien

16

3.5

Q(A, B,C) AB BC AB BC ABBC= + = + = U1

NAND_2U2

NAND_2

U3

NAND_2

U4

NAND_2

ABC

Q1

Q2

Q

Xét ABC : 111-> 101 B B

1Q

2Q Q ð Rủi ro loại 1 Để tránh rủi ro:

C BA 00 01 11 10 0 0 0 0 1 1 0 1 1 1

Q BA AC CB= + +

3.6

U1

NOT

U2

XOR_2

A

BQ

1) Phần ĐẢO không có trễ truyền đạt. 2) Phần ĐẢO có trễ truyền đạt.

Page 17: BaiTapDienTuSo- Le Anh Tien

17

U1

OR_2

U2

AND_2U3

NOT

U4

OR_3

AB

CD

E

Q

U1

OR_2

U2

AND_2U3

NOT

U4

OR_3

AB

CD

E

Q

A B 1) A Q 2) A Q 3.7

U1

NOT

U2

XOR_2

A

BQ

A A Q 0Å = = (lí thuyết) A A

A Q ð Mỗi khi A chuyển mức logic thì Q lại phát 1 xung dương

3.8 Chuyển đổi mạch phù hợp với tích cực âm =>

Page 18: BaiTapDienTuSo- Le Anh Tien

18

Chương 4: THIẾT BỊ LOGIC KHẢ TRÌNH 4.1 Một vi mạch PAL bao gồm: Một mảng AND lập trình được và một mảng NOR cố định. 4.2

23

1 U1:A74125

56

4 U1:B74125

98

10 U1:C74125

U2

OR_3

AB

C

Q

Mạch: Q ABC ABC ABC= + + 4.3 Q ABC ABC ABC= + +

23

1 U1:A74125

56

4 U1:B74125

98

10 U1:C74125

U2

OR_3

AB

C

Q

Page 19: BaiTapDienTuSo- Le Anh Tien

19

A010

A112

A213

A315

B09

B111

B214

B31

A<B2 QA<B 7

A=B3 QA=B 6

A>B4 QA>B 5

U1

7485

Chương 5: MẠCH LOGIC TỔ HỢP 5.1 Mạch cộng 2 số nhị phân 5 bit: A= 00111 và B= 10101 thực hiện như sau:

A1

B3

CI

4

S6

CO

5

U1:A74LS183

A13

B12

CI

11

S8

CO

10

U1:B74LS183

A1

B3

CI

4

S6

CO

5

U2:A74LS183

A13

B12

CI

11

S8

CO

10

U2:B74LS183

A1

B3

CI

4

S6

CO

5

U3:A74LS183

A0B0A1B1A2B2A3B3A4B4

S0S1S2S3S4 5.2 Mạch cộng với 74LS83A Dãy bit ra thể hiện trong bảng:

1A 1 0 0 1 0 1 1 0

2A 1 1 1 0 1 0 0 0 A3 0 0 0 0 1 0 1 0 A4 1 0 1 1 1 0 1 0 B1 1 1 1 1 1 0 0 0 B2 1 1 0 0 1 1 0 0 B3 1 0 1 0 1 0 1 0 B4 0 0 1 0 0 1 0 0 ∑1 1 0 0 1 1 1 1 0 ∑2 1 0 0 0 0 0 0 0 ∑3 0 0 0 0 1 1 1 0 ∑4 1 0 0 0 0 1 1 0 C4 1 1 1 1 1 0 0 0 5.3 Mạch so sánh với vi mạch 7485: Dạng xung thể hiện như hình vẽ:

Page 20: BaiTapDienTuSo- Le Anh Tien

20

A0 A1 A2 A3 B0 B1 B2 B3 A>B A=B A<B 5.4 Bộ giải mã BCD/DEC 7442A Xung trên các lối ra thể hiện trên hình vẽ

A15

B14

C13

D12

0 1

1 2

2 3

3 4

4 5

5 6

6 7

7 9

8 10

9 11

U1

7442

Page 21: BaiTapDienTuSo- Le Anh Tien

21

111

212

313

41

52

63

74

85

910

Q0 9

Q1 7

Q2 6

Q3 14

U1

74147

A0 A1 A2 A3 0 1 2 3 4 5 6 7 8 9 5.5 Vi mạch Encoder 7417 : Có mức L ở chân 2, 5, 12 và H ở các mức còn lại. ð mã BCD tương ứng (Q0Q1Q2Q3) 2 (giá trị 5) -> 0101 5 (giá trị 8) ->1110 12 (giá trị 2) ->1011

Page 22: BaiTapDienTuSo- Le Anh Tien

22

5.6

U1XOR

U2XOR

U3XOR

U4XOR

U5XOR

U6XOR

U7XOR

U8XOR

U9XOR

Gray

Binary

G0 G1 G2 G3 G4 G5 G6 G7 G8 G9

B0 B1 B2B3

B4B5

B6B7

B8 B9

Gray -> Binary 1010000000 -> 0110000000 0011001100 -> 0001000100 1111000111 -> 1010111101 0000000001 -> 1111111111 5.7 a)

1 XYZTF (2,3, 4,5,8,9,14,15)=å

X08

X17

X26

X35

X44

X53

X62

X71

X823

X922

X1021

X1120

X1219

X1318

X1417

X1516

A15

B14

C13

D11

E9

Y 10U1

74150

XY

ZT

Q

b)

2 XYZTF (0, 4,5,7,10,13,14,15)=å

X08

X17

X26

X35

X44

X53

X62

X71

X823

X922

X1021

X1120

X1219

X1318

X1417

X1516

A15

B14

C13

D11

E9

Y 10

U1

74150

XY

ZT

Q

Page 23: BaiTapDienTuSo- Le Anh Tien

23

5.8 Dùng 2 vi mạch MUX 4 và 1 vi mạch MUX 2 để thành lập mạch MAX 8

5.9

A23

B22

C21

D20

E118

E219

0 1

1 2

2 3

3 4

4 5

5 6

6 7

7 8

8 9

9 10

10 11

11 13

12 14

13 15

14 16

15 17

U1

74154

Tín hiêu vào

Tín hiệu vào: 2468 H = 0010 0100 0110 1000 BCD

Page 24: BaiTapDienTuSo- Le Anh Tien

24

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

TH.vao

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

Page 25: BaiTapDienTuSo- Le Anh Tien

25

5.10

A23

B22

C21

D20

E118

E219

0 1

1 2

2 3

3 4

4 5

5 6

6 7

7 8

8 9

9 10

10 11

11 13

12 14

13 15

14 16

15 17

U43

74154

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U44

74190

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC12

U45

74190

A23

B22

C21

D20

E118

E219

0 1

1 2

2 3

3 4

4 5

5 6

67

7 8

8 9

910

10 11

11 13

1214

13 15

14 16

15 17

U46

74154

D1

LED-BLUE

D2

LED-BLUE

D3

LED-BLUE

D4

LED-BLUE

D5

LED-BLUE

D6

LED-BLUE

D7

LED-BLUE

D8

LED-BLUE

D9

LED-BLUE

D10

LED-BLUE

D11

LED-BLUE

D12

LED-BLUE

D13

LED-BLUE

D14

LED-BLUE

D15

LED-BLUE

D16

LED-BLUE

D17

LED-BLUE

D18

LED-BLUE

D19

LED-BLUE

D20

LED-BLUE

D21

LED-BLUE

D22

LED-BLUE

D23

LED-BLUE

D24

LED-BLUE

D25

LED-BLUE

D26

LED-BLUE

D27

LED-BLUE

D28

LED-BLUE

D29

LED-BLUE

D30

LED-BLUE

D31

LED-BLUE

D32

LED-BLUE

VCC

GND

U1NOTU2

NOT

GND

Page 26: BaiTapDienTuSo- Le Anh Tien

26

Chương 6: MẠCH LOGIC DÃY 6.1 Từ yêu cầu của đề bài, thu được:

H

H

H

N [0;4] S=0; R=0;

N [5;B] S=1; R=0;

N [B;15] S=0; R=1;

Î ®Î ®Î ®

Ví dụ: Chọn B=10 S NKP MKP NKP NMKP

R KP NMK

= + + += +

U1

NOT

U2

NOT

U3

NOT

U4

NOT

M

N

P

K

U5AND_3

U6AND_3

U7AND_3

U8AND_4

U9

OR_4

U10AND_2

U11AND_3

U12

OR_2

R

S

6.2

J

CLK

Q

Q

K

U1

JKFF

D

CLK

Q

Q

U2

DTFF

5VA

Q1 Q2

B

Page 27: BaiTapDienTuSo- Le Anh Tien

27

A B J K Q1 Q2 6.3

D

CLK

Q

Q

U1

DTFF

D

CLK

Q

Q

U2

DTFF

U3

XORE

C

Q0Q1

S

U4

NOT E C Q0 C Q1 S

Page 28: BaiTapDienTuSo- Le Anh Tien

28

6.5

U1(CLK)

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U1

74190

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U2

74190

U3NAND_8

U6NOT

U7NOT

U8NOT

U4NOT

U5NOT

Nguyên lí hoạt động:

- đặt giá trị ban đầu Di của các bộ 74190 là 0. - Tín hiệu vào CLK được đưa vào 2 bộ đếm 74190. Để 2 bộ đếm này

liên hệ với nhau sao cho đếm được 2 chữ số ta nối RCO của 741901 với E của 741902.

Page 29: BaiTapDienTuSo- Le Anh Tien

29

- Để đếm bộ đếm đếm theo chiều tăng, ta tích cực âm cho D/U của cả 2 74190.

- Mỗi tín hiệu ra của 74190 được nối với 1 led 7 thanh để hiển thị xung đếm.

- Để giới hạn đếm từ 0 đến 84, dùng một NAND nhận các tín hiệu ra khi giá trị ra là 85 làm đầu vào. Khi được tích cực đúng giá trị 85, tín hiệu lra của NAND sẽ nạp lại giá trị 0 của hai IC 74190.

- Quá trình cứ thế tiếp tục. 6.6

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U2

74190

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U3

74190

U2(CLK)A

010

A1

12A

213

A3

15B

09

B1

11B

214

B3

1A

<B2

QA

<B7

A=B

3Q

A=B

6A

>B4

QA

>B5

U17485

A0

10A

112

A2

13A

315

B0

9B

111

B2

14B

31

A<B

2Q

A<B

7A

=B3

QA

=B6

A>B

4Q

A>B

5

U47485

U5

AND_2

U6

NOT

Trên hình bên đặt giá trị N = 33

Page 30: BaiTapDienTuSo- Le Anh Tien

30

6.7

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

UP5 TCU 12

DN4 TCD 13

PL11

MR14

U1

74192

GND

U2

AND

U2(D1)

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

UP5 TCU 12

DN4 TCD 13

PL11

MR14

U3

74192

U6

AND_8

U7

NOT

U5NOT

U8NOT

U9NOT

U4NOT

U10NOT

U11NOT

6.8

SW1

SW-SPDT

U1(CLK)

VCC

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U1

74LS191

GND

GND

Nguyên lí hoạt động: Với mạch trên, N chọn bằng 10. - 74191 là mạch đếm hexa. Giá trị đếm từ 0-16 - Ban đầu ta load giá trị đầu vaò là giá trị N đặt trước. Trong mỗi chu kì đếm, 74191 sẽ đếm từ N đến 15 (hiển thị chữ F). Khi tới xung 15, có 1 xung phát ra ở TC. Tín hiệu này đưa đến PL để load lại giá trị N

Page 31: BaiTapDienTuSo- Le Anh Tien

31

- Quá trình cứ như vậy tiếp tục xảy ra. Đầu ra TC sẽ cho một xung có f = f / (16-N) Cụ thể xung: CLK RCO PL TC 6.9

CLK14

E13

MR15 CO 12

Q0 3

Q1 2

Q2 4

Q3 7

Q4 10

Q5 1

Q6 5

Q7 6

Q8 9

Q9 11

U1

4017

U1(CLK)U2

OR_7

U4

NOT

GND

U3

OR_3

U5

OR_5

U6

OR_3

U7

OR_2

A

B

C

D

Page 32: BaiTapDienTuSo- Le Anh Tien

32

PHẦN II: BÀI TẬP THÊM

#Các phép toán và định lí

1- Cho hàm:

0

1

2

Q (A, B,C) (A B C)(A B C)(A B C)

Q (A, B,C, D) (AB CD)(A BCD)

Q (A,B,C,D) [ABC+(D+CB)]BC

= + + + + + +

= + +

=

a. Vẽ mạch logic chỉ sử dụng phần tử logic cỏ bản cho Q0, Q1, Q2. b. Vẽ mạch logic cho Q0, Q2. c. Vẽ mạch logic chỉ dùng phần tử NOR cho Q0.

Bài làm a.

U1

OR_3

U2

OR_3

U3

OR_3

U4

AND_3

U5

NOT

U6

NOT

A B C

Q0

U1

AND_2

U2

AND_2

U3

NOT

U4

OR_2U5

AND_2

U6

OR_2U7

AND_3

U8

NOT

A B C D

Q1

Page 33: BaiTapDienTuSo- Le Anh Tien

33

U1

AND_3

U2

OR_2

U3

NOTU4

NOT

U5

AND_2

U6

AND_2

U7

NOT

U8

OR_2U9

AND_2

A B C D

Q2

b.

0Q (A B C)(A B C)(A B C)

ABCABCABC ABC ABC ABC AC AB

= + + + + + +

= = + + = +

U1

NOT

U2

NOT

U3

NOT

U4

NOT

U5

AND_2

U6

AND_2

U7

OR_2

U8

NOT

A B C

Q0

2Q [ABC+(D+CB)]BC (ABC DBC)BC DBC= = + =

U1

AND_3

U2

NOT

DCB

Q2

Page 34: BaiTapDienTuSo- Le Anh Tien

34

c.

0Q (A, B,C) (A B C)(A B C)(A B C) AC AB A C A B= + + + + + + = + = + + +

U1

NOR_2

U2

NOR_2

U3

NOR_2

A B C

Q0

#Biểu diễn số 1. Cho N10 = 12768*2 ; N’10 = 32D

è N2 , N’2 , N16, N’16, NBCDn, N’BCDn, (N16 – N’16) theo NASCII

Bài làm: N10 = 25536 D N’10 = 32 D N2 = 110 0011 1100 0000 N’2 = 10 0000 N16 = 63C0 H N’16 = 20 H NBCDn = 0110 0011 1100 0000 B N’BCDn = 0010 0000 B P16 = N16 – N’16 = 63A0 H PASCII = 00110110 00110011 00111010 00110000

Page 35: BaiTapDienTuSo- Le Anh Tien

35

#Các phương pháp tổng hợp hàm từ bảng trạng thái 1. Cho các hàm Q sau:

0

1

2

2

Q (A, B,C, D) (0,1,4,9,10,14)

Q (A, B,C, D) (1, 2,5,8,12,13)

Q (A,B,C, D, E) (0, 2,7,12,13,18, 21,26,29,30,31)

(3,19, 28) x(Cho _ Q )

=

=

=

F =

åÕå

a. Vẽ mạch logic cho hàm Q1, Q2, Q0. b. Chỉ dùng phần tử NOR, vẽ mạch cho Q0. c. Chỉ dùng phần tử NAND, vẽ mạch cho Q1. Bài làm: a. Hàm Q0:

BA DC

00 01 11 10

00 1 1 0 0 01 1 0 0 0 11 0 0 0 1 10 0 1 0 1

0Q BAD BAC BAD= + +

U1

AND_3

U2

AND_3

U3

AND_3

U4

OR_3

U5

NOT

U6

NOT

ABCD

U8NOT

U7

NOT

Q0

Page 36: BaiTapDienTuSo- Le Anh Tien

36

Hàm Q1: BA DC

00 01 11 10

00 1 0 1 0 01 1 0 1 1 11 0 0 1 1 10 0 1 1 1

1Q (B A D)(B A D)(B A C)(B A D C)= + + + + + + + + +

U1

OR_3

U2

OR_3

U3

OR_3

U5

AND_4

U6

NOT

ABCD

U7

NOT

U4

OR_4

U8

NOTU9

NOT

Q1

Hàm Q3:

CBA DE

000 001 011 010 110 111 101 100

00 1 0 x 1 0 1 0 0 01 0 0 0 0 0 0 1 1 11 0 0 0 1 1 1 1 x 10 0 0 x 1 0 0 1 0

2Q ABCDE CBD ED ABCDE CBAE CBD= + + + + +

Page 37: BaiTapDienTuSo- Le Anh Tien

37

b.

0Q BAD BAC BAD B A D B A C B A D

B A D B A C B A D

= + + = + + + + + + + + =

= + + + + + + + +

U1

NOR_3

ABCD

U2

NOR_2

U3

NOR_3

U4

NOR_2

U5

NOR_2

U6

NOR_3

U7

NOR_3

U8

NOR_2

Q0

c.

1Q (B A D)(B A D)(B A C)(B A D C) BADBADBACABCD

BADBADBACABCD

= + + + + + + + + + =

=

Page 38: BaiTapDienTuSo- Le Anh Tien

38

U1

NOR_3

U2

NOR_3

U3

NOR_3

U4

NOR_2U5

NOR_2

ABCD

U6

NOR_4

U7

NOR_2U8

NOR_2

U9

NOR_4

Q1

#Mạch giải mã địa chỉ 1. Cho hàm Q:

0

0

Q (A, B,C, D, E) (0,1,8,9,15,19, 26,30)

Q (A, B,C, D) (1,2,5,6,12,14,15)

=

Õ

a. Xây dựng mạch logic thực hiện Q0 dùng IC 74138 b. Xây dựng mạch logic thực hiện Q1 dùng IC 74139 Bài làm:

Page 39: BaiTapDienTuSo- Le Anh Tien

39

a.

A1

B2

C3

E16

E24

E35

Y0 15

Y1 14

Y2 13

Y3 12

Y4 11

Y5 10

Y6 9

Y7 7

U1

74ALS138

A1

B2

C3

E16

E24

E35

Y0 15

Y1 14

Y2 13

Y3 12

Y4 11

Y5 10

Y6 9

Y7 7

U2

74ALS138

A1

B2

C3

E16

E24

E35

Y0 15

Y1 14

Y2 13

Y3 12

Y4 11

Y5 10

Y69

Y7 7

U3

74ALS138

A1

B2

C3

E16

E24

E35

Y0 15

Y1 14

Y2 13

Y3 12

Y4 11

Y5 10

Y6 9

Y7 7

U4

74ALS138

U5NOT

U6NOT

U7

NAND_8

AB

C

DE

Q

b.

A2 Y0 4

B3 Y1 5

Y2 6

E1 Y3 7

U1:A

74ALS139

A14 Y0 12

B13 Y1 11

Y2 10

E15 Y3 9

U1:B

74ALS139

A2 Y0 4

B3 Y1 5

Y2 6

E1 Y3 7

U2:A

74ALS139

A14 Y0 12

B13 Y1 11

Y2 10

E15 Y3 9

U2:B

74ALS139

U3NOT

U4NOT

AB

CD

U5

AND_7

Q

Page 40: BaiTapDienTuSo- Le Anh Tien

40

2. Thành lập mạch giải mã một phần tử 32 bit dùng IC 74138 Bài làm:

3. Xây dựng mạch giải mã LED 7 thanh Anot chung, 2 chữ số, dùng 7447 Bài làm:

A1

B2

C3

E1

6

E2

4

E3

5

Y0

15

Y1

14

Y2

13

Y3

12

Y4

11

Y5

10

Y6

9

Y7

7

U1

74A

LS13

8

A1

B2

C3

E1

6

E2

4

E3

5

Y0

15

Y1

14

Y2

13

Y3

12

Y4

11

Y5

10

Y6

9

Y7

7

U2

74A

LS13

8

A1

B2

C3

E1

6

E2

4

E3

5

Y0

15

Y1

14

Y2

13

Y3

12

Y4

11

Y5

10

Y6

9

Y7

7

U3

74A

LS13

8

A1

B2

C3

E1

6

E2

4

E3

5

Y0

15

Y1

14

Y2

13

Y3

12

Y4

11

Y5

10

Y6

9

Y7

7

U4

74A

LS13

8

U5

NO

T

U6

NO

T

AB

CD

E

Page 41: BaiTapDienTuSo- Le Anh Tien

41

# Mạch cộng 1. Thiết kế mạch cộng 8 bit dùng 7483 Bài làm

A1

10S

19

A2

8S

26

A3

3S

32

A4

1S

415

B1

11

B2

7

B3

4

B4

16

C0

13C

414

U17483

A1

10S

19

A2

8S

26

A3

3S

32

A4

1S

415

B1

11

B2

7

B3

4

B4

16

C0

13C

414

U27483

Page 42: BaiTapDienTuSo- Le Anh Tien

42

2. Thiết kế mạch trừ 8 bit dùng 7483 Bài làm Đánh giá:

dao bit cong1(1) (2)N NN B B¾¾¾® ¾¾¾®

3. Thiết kế mạch cộng trừ đa năng Bài làm A\S: 1: cộng 0: trừ

K=A\S A B S C 0 0 0 0 0 0 0 1 1 1 0 1 0 1 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1

A1

10S

19

A2

8S

26

A3

3S

32

A4

1S

415

B1

11B

27

B3

4B

416

C0

13C

414

U127483

A1

10S

19

A2

8S

26

A3

3S

32

A4

1S

415

B1

11B

27

B3

4B

416

C0

13C

414

U137483

U14

NOT

U17

NOT

U18

NOT

U19

NOT

U20

NOT

U21

NOT

U22

NOTU23

NOT

B0

B1

B2

B3

B4

B5

B6

B7

A1

10S

19

A2

8S

26

A3

3S

32

A4

1S

415

B1

11B

27

B3

4B

416

C0

13C

414

U247483

A1

10S

19

A2

8S

26

A3

3S

32

A4

1S

415

B1

11B

27

B3

4B

416

C0

13C

414

U257483

A0A1

A2A3

A4A5

A6A7

10

Ra

Page 43: BaiTapDienTuSo- Le Anh Tien

43

S KAB KAB KAB KAB

C KAB KAB

= + + +

= +

U1

NOT

U2

NOT

U3

NOT

A\S

A

B

U4AND_3

U5AND_3

U6AND_3

U7AND_3

U8NOR_4

S

U9AND_3

U10AND_3

U11OR_2

C 4. Thiết kế mạch cộng 2 số BCD 4bit Bài làm

A1

B3

CI4

S 6

CO 5

U1:A

74LS183

A13

B12

CI11

S 8

CO 10

U1:B

74LS183

A1

B3

CI4

S 6

CO 5

U2:A

74LS183

A13

B12

CI11

S 8

CO 10

U2:B

74LS183

A10 Y1 1

B11 Y2 2

C12 Y3 3

D13 Y4 4

E14 Y5 5

Y6 6

G15 Y7 7

Y8 9

U3

74185

A B

Binary to BCD

Page 44: BaiTapDienTuSo- Le Anh Tien

44

#Mạch FLIP-FLOP 1. Thiết kế mạch TFF đếm từ 0 => 5 Bài làm

CLK

D

Q

!QRESETSET

U1

DTFF

CLK

D

Q

!QRESETSET

U2

DTFF

CLK

D

Q

!QRESETSET

U3

DTFF

U4NOT

U5AND_3

CLK

Q1Q2 Q3

2. Thiết kế mạch TFF chia tần f/13 Bài làm Chia tần f\13 => Mạch đếm từ 0 đến 12.

CLK

D

Q

!QRESETSET

U1

DTFF

CLK

D

Q

!QRESETSET

U2

DTFF

CLK

D

Q

!QRESETSET

U3

DTFF

CLK (f)CLK

D

Q

!QRESETSET

U4

DTFF

U5NOT

U6NOT

U7AND_4

Q (f\13)

#Thanh ghi dịch 1. Thiết kế thanh ghi dịch đa năng 4 bit với yêu cầu :

- Có tín hiệu lựa chọn vào song song (1) hoặc vào nối tiếp (0) - Có tín hiệu chọn dịch trái (1) hay dịch phải (0) Bài làm

Page 45: BaiTapDienTuSo- Le Anh Tien

45

D CLK

QQ

U1 DTFF

U2 OR_2

U3 AND_

2

U4 AND_

2

D CLK

QQ

U1 DTFF

U2 OR_2

U3 AND_

2

U4 AND_

2

D CLK

QQ

U1 DTFF

U2 OR_2

U3 AND_

2

U4 AND_

2

D CLK

QQ

U1 DTFF

U2 OR_2

U3 AND_

2

U4 AND_

2

Vao

//

D

CLK

Q QU6 DTFF

U7 OR_2

U8 AND_

2U9 AN

D_2

D

CLK

Q QU6 DTFF

U7 OR_2

U8 AND_

2U9 AN

D_2

D

CLK

Q QU6 DTFF

U7 OR_2

U8 AND_

2U9 AN

D_2

D

CLK

Q QU6 DTFF

U7 OR_2

U8 AND_

2U9 AN

D_2

Vao

nt

DK //

-nt

U5 NOT

U10

OR_2

U15

AND_

2U1

6AN

D_2

U10

OR_2

U15

AND_

2U1

6AN

D_2

U10

OR_2

U15

AND_

2U1

6AN

D_2

U10

OR_2

U15

AND_

2U1

6AN

D_2

U10

OR_2

U15

AND_

2U1

6AN

D_2

U11

NOT

Ra//

Ra n

t

DK R

-L

CLK

Page 46: BaiTapDienTuSo- Le Anh Tien

46

2. Trên cơ sở 7492, thành lập bộ đếm từ 0 đến NMAX. Với NMAX = 13 Bài làm 13 = 1101

CKA14 QA 12

CKB1 QB 11

QC 9

QD 8

R0(1)6

R0(2)7

U26

7492

CLK U27

NOT

U28AND_4

Q0Q1Q2Q3

Page 47: BaiTapDienTuSo- Le Anh Tien

47

3. Thiết kế mạch đếm từ 37 – 82 dùng 74190 Bài làm Các đầu vào: 37 = 0011 0111 83 = 1000 0011

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U1

74190

GND

GND

U13(D1)

GND

A7 QA 13

B1 QB 12

C2 QC 11

D6 QD 10

BI/RBO4 QE 9

RBI5 QF 15

LT3 QG 14

U2

74247

VCC

D015 Q0 3

D11 Q1 2

D210 Q2 6

D39 Q3 7

RCO 13

CLK14

E4

D/U5

PL11

TC 12

U3

74190

A7 QA 13

B1 QB 12

C2 QC 11

D6 QD 10

BI/RBO4 QE 9

RBI5 QF 15

LT3 QG 14

U4

74247

VCC

U5AND_8

U8NOT

U10NOT

U11NOT

U12NOT

U9NOT

D

CLK

Q Q

U101DTFF

U6NOT

U13AND_2

D

CLK

Q Q

U7DTFF

U16NOT

U15NOT

U14AND_2

Page 48: BaiTapDienTuSo- Le Anh Tien

48

MỤC LỤC Điện tử số

PHẦN I: BÀI TẬP TRONG SÁCH .......................................................... 1 Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ .............................. 1 Chương 2 – CÁC HỌ MẠCH LOGIC TTL VÀ CMOS ..................... 11 Chương 3: TỔNG HỢP MẠCH LOGIC TỔ HỢP .............................. 13 Chương 4: THIẾT BỊ LOGIC KHẢ TRÌNH ....................................... 18 Chương 5: MẠCH LOGIC TỔ HỢP ................................................... 19 Chương 6: MẠCH LOGIC DÃY ......................................................... 26

PHẦN II: BÀI TẬP THÊM ..................................................................... 32 #Các phép toán và định lí ..................................................................... 32 #Biểu diễn số ........................................................................................ 34 #Các phương pháp tổng hợp hàm từ bảng trạng thái ........................... 35 #Mạch giải mã địa chỉ .......................................................................... 38 # Mạch cộng ......................................................................................... 41 #Mạch FLIP-FLOP............................................................................... 44 #Thanh ghi dịch .................................................................................... 44