breaking the 3d ic power delivery walls using voltage...

55
Breaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program Mircea Stan [email protected] HPLP lab. http://hplp.ece.virginia.edu ECE Dept., University of Virginia May 2013

Upload: others

Post on 14-May-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Breaking the 3D IC power

delivery walls using voltage

stacking

IEEE CAS DL program

Mircea Stan [email protected]

HPLP lab. http://hplp.ece.virginia.edu

ECE Dept., University of Virginia

May 2013

Page 2: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Outline

• Why, What, How?

• 3D IC

• Power Walls

• Voltage Stacking

• Voltage Regulation for Stacking

• Voltage stacking in 3D IC

2

Page 3: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Integrated circuits – 2D

Si wafers

Source: Wikipedia3

Page 4: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

What is 3D IC?

Multiple active layers - continue Moore’s law

Source: J.-Q. Lu et al., 2002 IITC, IEEE, 2002, pp. 78-804

Page 5: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Why 3D IC? – Moore’s law!

5

Could be Heterogeneous… “Stacked” 2D (Conventional) ICs

Pouya Dormiani, Christopher Lucas ,“3D IC Technology”

Page 6: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

CMOS process cross section

Source: E. Levine – IC Fabrication and Yield Control

6

Page 7: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Benefits of 3D IC

7

Yuan Xie, “ Cost/architecture/application Implication for 3D Stacking Technology

Page 8: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

3D IC “Power Walls”

• Physical stacking in 3rd

dimension exacerbates

the two-dimensional

power density explosion

• k-layered 3D IC : k-times

supply current, Lower

power pads

• TSV (Through silicon-

vias) : Adds resistance to

the PDN impedance

• TSV Area Over-head

Source :Synopsis ,Sematech Symposium 20098

Page 9: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Power Density “Wall”

• Technology scaling => Increased Power Density

• Physical stacking in 3rd dimension exacerbates the two-

dimensional power density explosion

• Overhauling Heat Dissipation Capacity

Zhiyu Zeng et al, “ Tradeoff analysis and optimization of power delivery networks

with on chip voltage regulation”, DAC, 2010. 9

Page 10: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Power Noise “Wall”

• EM effect, IR drop, Ldi/dt : with increasing current density

• Voltage Scaling : Noise margin

• Increased current demand => Lower PDN impedance needed

• IR drop triples from 45nm to 16nm

• 3.8% increase in IR drop -> 51% delay

overhead

• Slow scaling of PDN Impedance

Source: Runjhie Zhang et al, “Some Limits of Power Delivery in the Multicore Era” 10

Page 11: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

11

Thermal 3D IC bottleneck

• Power Pins at one end of the tiers, heat sink the

other end

• Current starved components placed near heat

sink, farthest from the power pins

• Current (3D)/Current(2D) = n, n number of layers

Let Rgrid = resistance of power grid

Vdrop-3D = n*Rgrid*Current(2D)

• Current starved layers getting lower voltage

headroom

Page 12: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

3D IC Power Delivery “Wall”

Heat Sink

Heat spreader

6th die

5th die

4th die

3rd die

2nd die

1st Die

Micro connect

TSV

Package

C4

Interposer

Interposer TSV

Power ~ O(Vol)

Vdd = ct.

I ~ O(Vol)

but,

C4s ~ O(Area)

TSVs ~ O(Area)

Unsustainable!

12

Page 13: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

In the past: 2D power delivery wall

Power ~ O(Area)

Vdd = ct.

I ~ O(Area)

but,

pads ~ O(Perim)

Unsustainable!

Source: http://gadgets.boingboing.net/2008/04/28/power-on-self-test-l.html13

Page 14: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

2D: Flip-chip to the rescue

Physical solution

Power ~ O(Area)

Vdd = ct.

I ~ O(Area)

C4s ~ O(Area)

2D Solved!

Not 3D though…

Source: Nextreme, Inc.

C4 - Controlled Collapse Chip Connection14

Page 15: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Power Pin “Wall”

Parameter 2009 2012 2015

Average Current Density (A/cm2) 64 108 150

Power Pins (% of total pins) 66 66 66

Off chip Data rate (Gb/s) 8 14 30

ITRS Roadmap 2009

• C4 Count ~ constant : current/pad

• For n layer 3DIC , Power pin count

~ 1/nth of 2D IC

• Electro migration can cause

open/short circuit

=> Chip failure

C4: controlled collapse chip connection

Current Per Power Pin (2D), ITRS

Source : Pingqiang Zhou et al, “ Reliable Power Delivery for 3D ICs”Source: Nextreme, Inc 15

Page 16: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Off-Chip Versus On-Chip Regulation

• Reduces Off-Chip I2R losses in PDN parasitic

• Fast On-Chip voltage scaling

Zhiyu Zeng et al, “ Tradeoff analysis and optimization of power delivery networks with on chip voltage regulation”, DAC, 2010.

16

Page 17: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

On-Chip-Regulation Efficiency “Wall”

• Off-Chip High Voltage => On-Chip Low Voltage :

Power loss

• Switching Regulator : High efficiency, Difficult to

integrate On-Chip

• LDO efficiency : constrained by Vout/Vin

• Switched Capacitor : Switch Conductance/

Switching Loss

• Existing regulation techniques not energy

efficient to generate low voltage and exploit

DVFS

Source : Yu Pu, “Misleading energy and performance claims in Sub-Near Threshold Digital System”, ICCAD 2010

17

Page 18: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

3D IC: Voltage Stacking to the rescue

Electrical solution

Kirchoff’s current

and voltage laws!

Power ~ O(Vol)

I = O(Area)

Also for N > 2

Vdd = O(N) =

O(Vol/Area)

18

Page 19: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Voltage Stacking

• 3D IC power delivery walls arise due to unsustainable increase in current

• Solution for delivering increased power without increase in current is to increase voltage

• Essentially the same idea used in macroscopic power distribution grids

• Simply increasing voltage for high voltage on-chip require explicit on-chip DC/DC regulators

• Voltage stacking uses implicit power regulation based on Kirchoff’s voltage law (Ohm’s law)

19

Page 20: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Pros and Cons

• Power Pin : Implicit Regulation; k cores stacked need same/less

number of power pins as single core

• Off-Chip I2R Power Loss : k2 times

• IR Drop : k times

• Efficiency : Depending on “Imbalance”

• 3D IC : Physical layering of 3D IC naturally maps to voltage stacked

solution reducing TSV count

• Inter-layer core activity mismatch : Internal voltage noise

20

Page 21: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Stacking for other power walls

Power efficiency wall

Active mode: parallel

During sleep: stacked

21

Page 22: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Fabricated chip die photo

A. Cabe, M. Stan, “Standby Power Reduction using Voltage Stacking” GLSVLSI 2011

22

Page 23: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Power Savings during Sleep

• 1 order of magnitude savings!

23

Page 24: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Implicit Regulation : Resistive Versus CMOS

Stacked Load

24

-100 -80 -60 -40 -20 0 20 40 60 80 100

-1.2

-1.0

-0.8

-0.6

-0.4

-0.2

0.0

0.2

0.4

0.6

0.8

1.0

1.2

CMOS Load

Resistive Load

Mid

-Voltage D

roop (

V)

Load Difference between top and bottom stack (%)

Resistive load: V α ICMOS load : V α √I

For CMOS load, less dependency of Voltage droop on load current

Voltage droop α ILoad

difference between the stacked layers

Page 25: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Stacked CMOS Load

Charge conservation Itop = Ibottom

αtop ,αbottom : Top and bottom core activity factors,

Fc: Core frequency CL: Capacitive load

Vmid the output voltage delivered.

V1= Vdd – Vmid = αbottom/ (αtop + αbottom)

V2 = Vmid = αtop/ (αtop + αbottom)

Itop = αtop CL(Vdd – Vmid)Fc Ibottom = αBottom CLVmid Fc

αtop = αBottom Vmid = 0.5 Vdd

Itop

Ibottom

Vmid

Vdd

Kaushik Mazumdar et al, “ Charge Recycling On Chip DC-DC Conversion for Near-Threshold Operation”, IEEE SubVt,, 2012

25

Page 26: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Explicit Regulation needed ?

αtop > αBottom Vmid > 0.5 Vdd :

Self-Regulation forces lower voltage

headroom for high activity cores

Unregulated Voltage Stacking oppose

DVFS

Explicit Regulator: Sink/Source

“imbalance” and compensate for the

natural “feedback”

Kaushik Mazumdar et al, “ Charge Recycling On Chip DC-DC Conversion for Near-Threshold Operation”, IEEE SubVt, Boston, 2012

26

-60 -40 -20 0 20 40 60

-5

0

5

10

15

20

25

30

35

Unregulated

Regulated

Mid

-Vo

lta

ge

Dro

op

(%

)

Load Difference between Stacked Layers (%)

Page 27: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

On-Chip Regulator

• Switched Capacitor (SC) :

Assuming Current offset: Vout

droops below Vdd

Phase 1 : flycap1 charges to Vdd

+ ∆V while flycap2 to Vdd

- ∆V

Phase 2 : flycap1 and flycap2 swap, redirecting charge to Vout

27

Page 28: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Voltage Stacking for more than 2 Layers

Page 29: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Efficiency of V-S Regulated Technique

Efficiency: depends on mismatch between the

stacked domains

Mismatch :

• Activity of the circuits,

• Evaluation node capacitance

• Voltage swing in the domains.

Efficiency = Power_logic

Power_system =

VIN Itop + VINT |Ireg |

VIN ( Itop + Ireg | + Iq )

29

Page 30: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Higher efficiency in Voltage Stacking

Implicit vs. Explicit

Regulate the current difference, not the sum!

Lower imbalance leads to higher efficiency

Reg

i1 i2

i1+i2

|i1-i2|

i1

i2

Reg

30

Page 31: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Efficiency Comparison

0

10

20

30

40

50

60

70

80

90

100

50mA 100mA 200mA 300mA 400mA

Eff

icie

ncy

(%

)

ILoad(mA)

SC Efficiency

LDO Efficiency

Voltage Stacking Efficiency

Voltage Stacking Efficiency dependent on mismatch : More than 90% Efficiency for

closely matched stacked load

Worst case V-S Efficiency ~ SC Efficiency31

Page 32: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Positive Vs. Negative Imbalance

Positive imbalance: similar to conventional regulator (Sourcing ILoad )

Negative imbalance: regulator absorbs current (Sinking ILoad )

8.5mA

10mA-1.5mA

-3mA

0.64V

1.2V

7mA

Regulator

8.5mA

7mA1.5mA

3mA

0.56V

1.2V

10mA

Regulator

32

Page 33: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Feedback Control Circuitry

• Vout

= nVin

– iout

Rout (fsw

,Di,G

i)

• Hysteretic feedback scheme with lower and upper bounds to modulate the

switching frequency

State of O/P Out1 Out2 Select O/P Clock

Vout

> Vref

+∆ Toggle Low 1 Clk_high

Vref-∆

<Vout

< Vref+∆

Low Low 0 Clk_low

Vout

<Vref-∆

Low Toggle 1 Clk_high

Output Clock: Pulsed between high/low

frequency depending on comparator

detected “Select” signal

33

Page 34: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Feedback with Conventional Load

0

10

20

30

40

50

60

70

80

90

10 50 100 150 200

Eff

icie

ncy

(%

)

ILoad (mA)

Hysteretic Feedback

No Feedback

Jain, R : S200mA switched capacitor voltage regulator on 32nm CMOS and

regulation schemes to enable DVFS,(EPE 2011)

Comparator o/p acting as

frequency modulated clockEfficiency : Improves at low power

with feedback

34

Page 35: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Open/Closed Loop for Stacked Load ?

70

75

80

85

90

95

100

100 75 50 25 0 -25 -50 -75 -100

Eff

icie

ncy

(%

)

∆I/ILoad (%)

No feedback

Hysteretic Feedback

70

75

80

85

90

95

100

100 80 60 40 20 0 -20 -40 -60 -80 -100

Eff

icie

ncy

(%

)∆I/ILoad (%)

No Feedback

Hysteretic Feedback

• Comparison of open-loop/close-loop SC circuit for high power (left: 10mW-

400mW, 2V→1V) and low power (right: 0.5mW-10mW, 1.2V→0.6V) loads

• Higher efficiency for Open loop regulation (low power loads)

35

Page 36: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Switch Capacitor Model

ROUT has 2 asymptotic limits : Slow Switching Limit (RSSL) and Fast Switching Limit (RFSL)

RSSL => Ideal Switches, Current Impulsive in nature, Impedance inversely proportional to

Switching Frequency

RFSL => Switches and capacitance resistance dominate, capacitance act as fixed voltage

source, Impedance independent of Switching frequency

ROUT

~ √(RFSL

2 +RSSL

2)

m:n No-Load

Conversion ratio

Source : Seeman, “ A Design Methodology for Switched-Capacitor DC-DC Converter”, PhD Dissertation , UC Berkeley , May 2009

Michael 36

Page 37: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Switch Capacitor Power Loss

• SSL impedance Loss : Charge transfer related loss =>

ILoad2.RSSL

• FSL impedance Loss : Switch conductance loss =>

ILoad2.RFSL

• Switch Drive Loss : Parasitic loss in the switches =>

Vswing2.N.Wswitch.Cgate.Fsw

• Bottom Plate Loss

• ESR Loss in Capacitor

Source : Michael Seeman, “ A Design Methodology for Switched-Capacitor DC-DC Converter”, PhD Dissertation , UC Berkeley , May 2009

37

Page 38: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Power Loss Optimization

Source : Hanh-Phuc et al, “Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters”

38

Page 39: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

20

30

40

50

0

2

4

6

0

2

4

6

8

10

12

0

10

20

30

40

PTotal L

os

s (

mW

) X

10-3

PSSL L

os

s (

mW

) X

10-3

PFSLL

os

s (

mW

) X

10-3

PSwitching L

os

s (

mW

) X

10-3

X-Axis => Switch Area Y-Axis => Switching Frequency

Power Loss Breakdown

X

Y

39

Page 40: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

1.1

2.2

3.2

4.3

5.4

6.5

45

50

55

60

65

70

75

80

85

60

140

220

300380

460

Eff

icie

ncy (

%)

Switching Frequency (MHz)Switch Area (mm 2

)x 10 -3

1.1

2.2

3.2

4.3

5.4

6.5

45

50

55

60

65

70

75

80

85

60140

220300

380460

Eff

icie

ncy (

%)

Switching Frequency (MHz)

Switch Area (mm 2

)x 10 -3

Efficiency Versus Design Knobs

ILoad

= 200mA ILoad

= 50mA

40

Page 41: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Output Impedance with imbalance

i1 (Layer1 current ) = i2 (Layer 2 current)

Rssl = 1/(2*fsw*Cfly)

Rfsl = 4R

i1 > i2 or i1<i2

Rssl = 1/(2*fsw*Cfly) + [2(∆V/Vin)]2

Rfsl = 4R[1+4 (∆V/Vin)2]

Increase in Rout with increase in imbalance and

lowering of Vin

Page 42: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Output Impedance

0.00 0.02 0.04 0.06 0.08 0.10

1.35

1.36

1.37

1.38

1.39

1.40

1.41

Vin = 2V

Vin = 1V

Rfsl

Vdelta

(V)

More the imbalance, more Vdelta and more the loss

0.00 0.02 0.04 0.06 0.08 0.10

1.25

1.26

1.27

1.28

1.29

1.30

Vin: 1V

Vin: 2V

Rssl

Delta V (V)

Page 43: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

70

75

80

85

90

95

100

100 75 50 25 0 -25 -50 -75 -100E

ffic

ien

cy

(%

)

∆I/ILoad (%)

MIM Cap

Ideal Cap

Impact of Capacitor Parasitic

MIM cap Model (Including top/bottom plate

capacitance, plate and contact resistance

MOS Cap -> Highest Density(12nF/mm2), Max Bottom Plate Parasitic (7-10%)

MIM Cap -> Lower Density (2nF/mm2), Less parasitic (2-3%)

43

Impact of Capacitor Parasitic

Page 44: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Interleaving – Ripple Mitigation

No interleaving

v

V/2 + ∆V

V/2 - ∆V

V/2 + ∆V

Phase 1

V/2 - ∆V

V/2 + ∆V

V/2 - ∆V

Phase 2

v

C1

C2

C2

C1

Fly caps never come parallel,

No energy loss through charge sharing

2-way interleaving

v

V/2 - ∆V

V/2 + ∆V

V/2 - ∆V

Phase 1

V/2 - ∆V

V/2 + ∆V

v

C1/2

C2/2

C2/2

C1/2

Fly caps come parallel to each other sharing 2∆V of charge

between them, leading to energy Loss 44

Page 45: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Power Loss with Interleaving

Energy Loss (interleaving 2 way) =

1/2*c*(1/2*vin+vdel)^2+1/2*c*(1/2*vin-

vdel)^21/2*c*(vin1/2*(c*(1/2*vin+vdel)+

c*(1/2*vin-vdel))/c)^2-

1/8*(c*(1/2*vin+vdel)+c*(1/2*vin-vdel))^2/c

As ∆V increases, Powerloss due to charge sharing increases

More interleaving, less ∆V and less the intrinsic loss, but more the extrinsic loss

(from additional buffers and control circuitry needed for interleaving)

0.00 0.02 0.04 0.06 0.08 0.10

0.000

0.001

0.002

0.003

0.004

0.005

0.006

0.007

EnergyLoss/125MHz

Po

we

rLo

ss (

W)

Vdelta

(V)

45

Page 46: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Finding Optimum Interleaved Stages

1way 2 way 4 way 8 way 16 way

0.070

0.072

0.074

0.076

0.078

0.080

0.082

0.084

0.086

0.088

0.090

0.092

Power Loss

Ripple

Interleaving

Po

wer

Lo

ss (

W)

0

20

40

60

80

100

120

140P

-P R

ipp

le (m

V)

46Tradeoff between P-P Ripple (performance) and Power Loss (Efficiency

Page 47: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Efficiency : Conventional Versus

Stacked Load

50

55

60

65

70

75

80

85

90

50 100 150 200

Eff

icie

ncy

(%

)

ILoad (mA)

70

75

80

85

90

95

100

0 25 50 75 100

Eff

icie

ncy

(%

)∆I/ILoad (%)

Efficiency with varying conventional load (left) and stacked load (right). In Figure

(b), X-axis indicates relative imbalance (%) between the domains.

Source : Mazumdar, K.; Stan et al, Breaking the 3-D IC Power Delivery Wall. Asilomar Conference on Signals, Systems and Computers

(a) (b)

47

Page 48: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

3D IC scaling: more stacked layers

48

Page 49: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

SC Clock

49

3V-2V

2V-1V

1V-0V

Cross coupled rows

of oscillators lock in

Phase

Horizontal and

vertical row buffer-

inverter delay

equivalent

Page 50: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Phase-Frequency Locked Clock

50Time (ns)

Voltage(V)

Page 51: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

3D IC Power Delivery- TSV Bottleneck

• Smaller footprint : Fewer Power bumps

• Big P/G TSVs to deliver power to all the

stacked layers, causing congestion

• TSVs contribute to IR drop, reducing supply rail

integrity

Source: Sung Kyu Lim, “ 3D IC Circuit Design with Through-Silicon-Via : Challenges

and Opportunities “, GTCAD Laboratory 51

Page 52: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

TSV Trade-Offs

Change in IR drop with increasing

number of 3D IC layers and TSV

density

P-P Ripple improves due to TSV/3D

layers capacitive effect

52

Page 53: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Layer1

Layer2

Layer3

Layer4

Layer5

Layer6

Power Grid

Layer1

Layer2

Layer3

Layer4

Layer5

Layer6

Power Grid

RegulatorsLayer1

Layer2

Layer3

Layer4

Layer5

Layer6

Power Grid

Conventional 3D:

Max No of TSVs

2_Layered 3D

Least No of TSVs

Tradeoffs between TSV count and

regulators

Clustered Voltage Stacking

3_Layered 3D

53

Clustered Voltage Stacking

Page 54: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Summary : Voltage Stacking in 3D IC

• 3D IC power delivery wall: at constant voltage cubic

increase in power/current but only quadratic

area/pins

• Voltage stacking can help break wall: quadratic

current and linear voltage

• Implicit regulation + explicit for imbalance

• Clustered Voltage Stacking

54

Page 55: Breaking the 3D IC power delivery walls using voltage stackingljilja/cnl/guests/DL_3DIC_May_2013.pdfBreaking the 3D IC power delivery walls using voltage stacking IEEE CAS DL program

Acknowledgments

• Funding from SRC, NSF, AMD, Intel

• Collaborators: Kaushik Mazumdar, Runjie

Zhang, Kevin Skadron

• IEEE CAS

• Universities of Victoria, British Columbia,

Washington and Portland State

55