科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设...

60
科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设备国产进程加速(更新报告) 证券研究报告机械行业深度研究 吕娟 [email protected] 18616875823 执业证书编号:S1440519080001 发布日期:2019年9月23日

Upload: others

Post on 02-Aug-2020

66 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

科技产业自主可控之半导体设备:乘自主可控趋势东风,半导体设备国产进程加速(更新报告)

证券研究报告机械行业深度研究

吕娟

[email protected]

18616875823

执业证书编号:S1440519080001

发布日期:2019年9月23日

Page 2: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

科技产业自主可控之路框架与逻辑

1.1 OLED行业快速释放,上游

材料领域机遇无限

1.1.1 万润股份

1.1.2 濮阳惠成

1.2 IC产业加速向中国大陆转

移,半导体化学品迎发展良机

1.2.1 华特股份

1.2.2 江丰电子

1.3 产业链汇总

1.化工

2.1 国产替代产业链一:连接

2.1.1 航天电器

2.1.2 中航光电

2.2 国产替代产业链二:碳钎

2.2.1 光威复材

2.3 国产替代产业链三:红外

探测器

2.3.1高德红外

2.军工领域

3.1 为何当前急需国产替代:

产业供需格局错配、贸易战卡

脖子

3.2 国产替代三大推动因素:

产业支撑、政策扶持、资金配

3.3 半导体各类细分设备竞争

格局与国产化空间

3.4 重点推荐及受益标的:A

股4家公司盈利能力测算

3.半导体设备

4.1 海外TMT4.1.1 贸易战升级为“科技

战”,美对中技术出口管制趋严4.1.2 华为供应链替代程度梳

理4.1.3 华为供应链替代机会梳

理4.2 通信4.2.1 网络设备取得长足进步4.2.2 核心芯片正在加速追赶4.2.3 自主创新生态正在形成4.2.4 聚焦关键领域挖掘标的

4.3 电子4.3.1电子零组件环节实力雄

厚,上游核心突破大势所趋4.3.2 5G增量及国产化推动,

半导体板块迎发展良机4.3.3行业景气度有望触底反

弹,带动业绩修复机会4.3.4国产替代趋势正在加速,

部分子领域有望逐步突破4.3.5重点推荐及受益标的

4.4 计算机4.4.1国产替代产业现状梳理4.4.2国产替代现状与趋势4.4.3相关标的及空间测算

5.医疗器械

5.1 医疗器械国产替代逻辑分

析:三因素共振

5.2 关键技术突破推动产品力

提升,仍有较大改善空间

5.3 医疗设备:监护、DR及彩

超等已快速追赶

5.4 高值耗材:封堵器、支架

及骨科耗材已快速追赶

5.5 IVD:化学发光、POCT处

于快速替代阶段

5.6 重点公司推荐

4.TMT

Page 3: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

科技产业自主可控之路产业图谱

推荐公司

万润股份 濮阳惠成 华特股份 江丰电子

航天电器 中航光电 光威复材 高德红外

① OLED行业快速释放,上游材料领域机遇无限② IC产业加速向中国大陆转移,半导体化学品迎发展良机

至纯科技 长川科技 精测电子

化工

1

军工领域

2

半导体设备

3

产业观点

① 在技术封锁下自力更生,军用核心元器件与原材料获突破,军转民空间广阔② 连接器行业特点与发展趋势:国内连接器企业集中度提升与产业升级是大势所趋③ 碳纤维行业特点与发展趋势:高技术与资本壁垒,政策与禁运推动国产化率提升④ 红外探测器行业特点与发展趋势:军品渗透率不断提升,民品拓展依赖成本下降

① 我国半导体市场供需不匹配,急需国产替代② 全球半导体行业景气度有望触底回暖③ 上游半导体设备销售有望随之向好④ 我国政策、资金、市场环境三面扶持

医疗器械

4

TMT

5

① 医疗器械国产替代逻辑分析:三因素共振② 关键技术突破推动产品力提升,仍有较大改善空间③ 医疗设备和高值耗材已快速追赶④ IVD:化学发光、POCT处于快速替代阶段

1.通信① 我国网络设备取得长足进步,国内已涌现一批世界级优秀企业② 网络设备的安全可控,除设备本体外,更为关键的是核心芯片③ 从网络设备到核心芯片的自主创新生态正在形成,应用从G到B2.电子① 电子零组件环节实力雄厚,上游核心突破大势所趋② 国产替代趋势下,看好半导体/PCB/面板环节成长机会3.计算机① 产能不是大问题,市场已经明确,产能能够快速提升,今年底之前产

业链各环节全力准备应对② 基础软硬件不成熟也不是问题,Windows成熟稳定也经历了15年迭代,

关键还是售后服务要跟得上

1.通信 2.电子 3.计算机

恒为科技 光迅科技 紫光股份 和而泰

生益科技 华正新材 TCL集团 卓胜微 韦尔股份 闻泰科技 三安光电

中国软件 金山办公 中国长城 东方通 中孚信息 北信源 浪潮信息 航天信息 太极股份 浪潮软件 华宇软件

迈瑞医疗 开立医疗 万东医疗 乐普医疗

安图生物 艾德生物 迈克生物 艾德生物

Page 4: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

目录

• 一、为什么当前亟需提升国产化率?

• 二、国产化的推动因素——政策、资金、产业

• 三、半导体设备市场竞争格局与国产化进度

• 四、相关公司发展逻辑梳理

• 五、风险提示

4

Page 5: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

• 我国半导体市场供需两层不匹配,国产化率亟需提升

一方面,终端产品供需不匹配。2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美

元,国产化率仅约15%;另一方面,制造端的设备供需不匹配。国内半导体设备市场规模约145亿美元,但国

产设备规模仅14亿美元不到,国产化率仅约10%。因此,从产业发展的角度,一方面,国内半导体制造领域

仍有较大发展空间;另一方面,制造领域的设备仍有较大的国产提升空间。

• 贸易战对我国半导体核心技术“卡脖子”

半导体产业链中,上游半导体设备和中游制造对美依存度极高,核心芯片的国产化比率极低,存在受技术限

制的可能性;相比之下,中游封测和下游终端市场领域对美依存度小,受到影响较小。贸易战背景下,一方

面设备企业前瞻布局非美国地区零部件采购,另一方面国内代工厂/存储器厂评估国内设备厂商的意愿增强。

根据某下游大厂近期的设备采购规划,其2018年国产装备的采购额占总装备采购额的比例仅13%,但从19年

开始,国产化率快速提升,预计至2020年将达到30%左右。

• 下游厂商加速扩产,带动国内半导体设备需求

当前大陆成为全球新建晶圆厂最积极的地区,以长江存储/合肥长鑫为代表的的存储器项目和以中芯国际/华

力为代表的代工厂正处于加速扩产的阶段,预计带来大量的设备投资需求。判断2020年国内半导体晶圆制造

设备市场空间达1000亿以上,而封装和测试设备市场空间约200亿左右。

• 细分设备的国产化空间

以刻蚀、成膜、量测、清洗、测试设备为例,分析细分领域设备的国产化进程及成长空间。

总体判断

5

Page 6: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

一、为什么当前亟需提升国产化率

6

1.1 我国半导体市场规模和占比不断提升

我国半导体市场供需两层不匹配

贸易战对我国半导体核心技术“卡脖子”

1.2

1.4

Page 7: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.1 我国半导体市场规模和占比不断提升

1. 2010年起,全球半导体行业保持稳步增长,过去十年( 2009-2018年)全球半导体销售额CARG为7.55%,全球GDP CAGR为3.99%,而我国集成电路销售额CARG为25.03%,我国行业整体增速为全球半导体行业增速的3.3倍,而全球半导体行业整体增速是全球GDP增速的2倍左右;

2. 与此同时,在PC、智能手机等领域强大的整机组装制造能力使我国成为全球最大的半导体消费市场,在全球占比达到了33%,比第二名的美洲高出11个百分点,我国半导体市场无论是绝对规模增速

还是占比都不断提升。

21% 22% 23%25% 25%

27% 27% 27%30% 31%

33%

0%

5%

10%

15%

20%

25%

30%

35%

0.00

500.00

1,000.00

1,500.00

2,000.00

2,500.00

3,000.00

3,500.00

4,000.00

4,500.00

5,000.00

2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018

全球半导体销售额(亿美元) 中国集成电路市场规模(亿美元)

占比

图表:我国半导体规模和占比不断提升

数据来源:SEMI、中信建投证券研究发展部

7

数据来源:WSTS、中信建投证券研究发展部

中国, 33%

美洲, 22%欧洲, 9%

日本, 8%

其他, 27%

中国 美洲 欧洲 日本 其他

图表:2018年全球半导体产业市场规模分布

Page 8: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.2 我国半导体市场供需不匹配

1. 一方面,终端产品供需不匹配。2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美元,国产化率仅约15%;

2. 另一方面,制造端的设备供需不匹配。2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计,2018 年国产半导体设备销售额预计为 109 亿元,自给率仅约为12%。考虑到以上数据包括集成电路、 LED、面板、光伏等设备,实际上国内集成电路设备的国内自给率仅有 5%左右,在全球市场仅占 1-2%份额。半导体设备进口依赖长期看将严重阻碍中国半导体行业的自主发展,国内需求与国内供给的缺口昭示着巨大的国产化空间。

图表:2018年国产半导体集成电路自给率仅15%

数据来源:IC insights、中信建投证券研究发展部

510 490

680 740 740820

920 9201010

1280

1550

49 42 58 79 88 103 117 134 130 193 238

10%9% 9%

11%12% 13% 13%

15%13%

15% 15%

0%

2%

4%

6%

8%

10%

12%

14%

16%

18%

0

200

400

600

800

1000

1200

1400

1600

1800

2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018

中国集成电路市场规模(亿美元) 国产集成电路市场规模

国产化率

8

0%

2%

4%

6%

8%

10%

12%

14%

16%

0

100

200

300

400

500

600

700

800

900

1,000

2013年 2014年 2015年 2016年 2017年 2018年

中国半导体设备销售额(亿元) 国产半导体设备销售额(亿元)

自给率

图表:2018年国产半导体设备自给率仅12%

数据来源:SEMI、中国电子专用设备工业协会、、中信建投证券研究发展部

Page 9: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.2 我国半导体市场供需不匹配

1. 一方面,终端产品供需不匹配。2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美元,国产化率仅约15%;

2. 另一方面,制造端的设备供需不匹配。2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计,2018 年国产半导体设备销售额预计为 109 亿元,自给率仅约为12%。考虑到以上数据包括集成电路、 LED、面板、光伏等设备,实际上国内集成电路设备的国内自给率仅有 5%左右,在全球市场仅占 1-2%份额。半导体设备进口依赖长期看将严重阻碍中国半导体行业的自主发展,国内需求与国内供给的缺口昭示着巨大的国产化空间。

9

图表:我国半导体市场层层供需不匹配

数据来源:SEMI、中国电子专用设备工业协会、、中信建投证券研究发展部

中国半导体市场 中国半导体芯片制造 中国半导体装备关键零部件

~ 45% ~ 10%~ 1-2% ~ 0%

中国半导体装备

Page 10: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.3 贸易战对我国半导体核心技术“卡脖子”

1. 美国制裁中兴华为反映创新“短板”,华为事件影响深远,引发全球半导体供应链“地震”,暴露出核心技术被“卡脖子”的风险,催化国内半导体等核心科技领域发展,国产自主可控替代有望加速;

2. 半导体行业产业链中上游为我国薄弱环节,其中上游半导体设备和中游制造对美依存度高,核心领域国产芯片占有率多数为0%;相比之下,中游封测和下游终端市场领域对美依存度小,受到影响相对较小。

10

技术限制18年4月16日

美宣布向中兴禁售高端软件及零件,为期七年,7.13正式解除

18年8月13日FIRRMA法案正式生效,加强对核心技术的外国投资审查范围

19年5月16日

BIS将华为纳入“实体名单”

18年11月20日BIS根据《出口管制改革法案》公布14类关键技术出口管制框架,涉及AI、芯片、生物技术等

19年6月中科曙光被列入禁运名单,美宣布可以继续向华为供货

电子材料电子设备

半导体材料(硅、锗)

磁性材料

化工制品

金属材料

半导体设备(光刻机)

被动元件

电容

电阻

电感

半导体

设计

制造

封测

终端市场

PC

手机消费电子

通讯设备工业军事汽车电子

电声器件

其他

连接器

显示器件

覆铜板→PCB

集成电路

分立器件

图半导体产业链受贸易战影响分化

数据来源:中信建投证券研究发展部

Page 11: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.3 贸易战对我国半导体核心技术“卡脖子”

• 在美国开出的清单中有半导体设备和零部件,但对出口影响微乎其微

8543.70.20,物理气相沉积(PVD)8543.90.12,物理气相沉积零部件8543.70.97,等离子清洗设备8486.10.00,晶圆制造设备8486.20.00,半导体器件和集成电路制造设备8486.30.00,平板显示制造设备8486.40.00,光刻掩模板制造设备8486.90.00,半导体,集成电路,平板显示制造设备相关零部件

就目前而言,我国半导体和面板设备大部分依靠进口,其中北方华创出口产品销售额占比仅1%,而中微出口的MOCVD设备不在列表中。

11

Page 12: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.4 后贸易战时期,国内半导体设备厂商的一些变化

• 设备企业前瞻布局非美国地区零部件采购

1. 一般来说,半导体设备的零部件分为四大部分。在这四大类中,精密加工件、普遍加工件现在基本没有制约,通用外购件(包括接头、气缸、马达等)占比比较小,因此现阶段供应管理关注的重点是外购大模块,包括设备专用模块和通用模块(机械手、泵等)。外购大模块数量上占比不高,可能只有10-20%,但价值占比60-80%;

2. 所以我们讲零部件的国产化,主要是讲外购大模块的国产化。预防产业风险和成本控制需要通过对外购大模块进行供应链拓展、批量采购等方式实现。

12

图表:外购大模块受产业影响风险较大

数据来源:中电科,中信建投证券研究发展部

物资类别 质量控制 交付能力 成本控制 产业风险

1 精密加工件标准化作业质量可控性好

批量生产交付能力较好

整机占比小成本影响小

物资来源国内产业影响风险小

2 普通加工件非标准单质量可控性差

非批量生产交付能力较差

整机占比较小成本影响较小

物资来源国内产业影响风险小

3 通用外购件标准化作业质量可控性好

批量生产交付能力较好

整机占比小成本影响较小

进口非特殊产品产业影响风险小

4 外购大模块标准化作业质量可控性好

批量生产交付能力不确定

整机占比大成本影响大

物资来源进口产业影响风险大

Page 13: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.4 后贸易战时期,国内半导体设备厂商的一些变化

• 设备企业前瞻布局非美国地区零部件采购1. 在各类零部件中,成本占比最大的包括直流电源、离子分析系统、低温泵、EFEM等;

2. 大部分品类现阶段国内基础差,没有成熟技术,没有产品。从进口比例来看,前十大子系统供应商中,美国市场和日本市场占比最高。设备企业正逐渐将采购链条从美国转移至日本、英国等地区。

13

图表:前十大零部件采购需求占比及前十大子系统供应商占比

数据来源:中电科,中信建投证券研究发展部

0 500 1000 1500 2000 2500 3000

直流电源

冷泵系统

真空阀

剂量控制系统

静电吸盘

十大零部件需求量预测/件

0 5000 10000 15000 20000

直流电源

冷泵系统

真空阀

剂量控制系统

静电吸盘

十大零部件需求价值预测/万元

美国, 41.30%

日本, 29.80%

中国, 11.80%

欧洲, 11.40%

中国台湾, 3.70% 韩国, 1.90%

前十大子供应商占比

Page 14: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.4 后贸易战时期,国内半导体设备厂商的一些变化

• 下游客户评估国内厂商设备的意愿增强

14

图表:下游客户评估国内厂商设备的意愿增强

数据来源:SMIC

Page 15: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

1.4 后贸易战时期,国内半导体设备厂商的一些变化

15

1.实验室测试实验片达到要求

3.Pattern wafer测试耗时3-6个月

工艺匹配和优化

4.小量产品验证

耗时3-6个月

5.大量产品验证

耗时3-6个月

2.free demo进入晶圆厂马拉松测试

Blanket wafer测试

6.规模量产

一单验证通过成为baseline,或者在mini fab里通过,后续新建/扩产时

就省去了前序工序

• 下游客户评估国内厂商设备的意愿增强1. 国产设备替代原有设备验证周期较长,一切顺利的话需要一年左右时间;2. 一旦验证通过成为baseline,新建工厂就省去了这些流程,可以沿用母厂设备和工艺。

图表:国产半导体设备验证流程

数据来源:中信建投证券研究发展部

Page 16: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

二、国产化的推动因素

16

2.1 全球半导体行业景气度有望触底回升

上游半导体设备销售有望随之向好

我国政策、资金、市场环境三面扶持

2.2

2.3

Page 17: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

17

• 理论上看,全球半导体行业具有技术呈周期性发展、市场呈周期性波动的特点1. 1998~2000年,随着手机的普及和互联网兴起,全球半导体产值不断上升,尤其在2000年增长38.3%;随着互联网泡沫

的破裂,2001年全球半导体市场下跌32%;随后Window XP的发布,全球开始新一轮PC换机潮,半导体市场2002~2004年处于高速增长阶段;2005年半导体市场出现了周期性回落,2008年和2009年受金融危机的影响出现了负增长;

2. 2010年,随着全球经济的好转,全球半导体产值增长34.4%。2011-2012年受欧债危机、美国量化宽松货币政策、日本地震及终端电子产品需求下滑影响,半导体销售增速分别下降为 0.4%和-2.7%;

3. 2013年以来,PC、手机、液晶电视等消费类电子产品需求不断增加,全球半导体产业恢复增长,增速达 4.8%。2014年全球半导体销售市场继续保持增长态势,增速达 9.9%;2015-2016年,全球半导体销售疲软。

4. 2017年,随着AI芯片、5G芯片、汽车电子、物联网等下游的兴起,全球半导体行业重回景气周期。5. 2018年下半年,受到存储器价格下降、全球需求疲软和中美贸易战的影响,全球半导体发展动力不足。但展望2019年

下半年,受益于消费领域、智能手机需求回暖,全球半导体市场发展趋稳并有望实现增长。

2.1 全球半导体行业景气度有望触底回暖

图表:全球半导体行业呈现周期性波动的特点

数据来源:IC Insights、中信建投证券研究发展部

Page 18: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

• 数据上看,2019年全球半导体设备销售同比负增长,2020年将大幅反弹1. 2018年,全球半导体设备销售额达645亿美元,同比增速高达14%,创下历史最高;受到多因素影

响,2019年半导体设备厂商短期承压,SEMI预计2019年全球半导体设备销售下降18.4%至529亿美元。

2. 展望2020年,由于存储器投资复苏和在中国大陆新建及扩建工厂,SEMI预计半导体制造设备2020年的全球销售额为588亿美元,比2019年增长12%。其中,包括外资工厂在内的对中国大陆销售将达到145亿美元,预计中国大陆成为半导体制造设备的最大市场。

2.2 上游半导体设备销售有望随之向好

数据来源:SEMI、中信建投证券研究发展部

图表:北美半导体设备制造商出货额7月份下降速度继续收窄

18

-100%

-50%

0%

50%

100%

150%

200%

250%

300%

0.00

5.00

10.00

15.00

20.00

25.00

30.00

19

92

-01

19

93

-05

19

94

-09

19

96

-01

19

97

-05

19

98

-09

20

00

-01

20

01

-05

20

02

-09

20

04

-01

20

05

-05

20

06

-09

20

08

-01

20

09

-05

20

10

-09

20

12

-01

20

13

-05

20

14

-09

20

16

-01

20

17

-05

20

18

-09

北美半导体设备制造商出货额 同比增速

Page 19: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

19

• 对标海外:政策支持、资金帮扶、下游产业支撑是推动行业进步不可或缺的几个方面1. 80年代工业PC时代,日本半导体以存储器(DRAM为主)为切入口,在日本政府和产业界联合推动

下,吸收美国技术并整合日本工业高质量品控体系,实现IC产品超高可靠性,顺利实现赶超美国;2. 90年代消费电子大潮,韩国半导体在韩国政府和财团的共同推动下,积极开拓高性价比IC产品,带

动亚洲电子产业链崛起,实现了长达20多年的持续崛起。而此时的台湾则通过创新的产业模式,从IDM转为垂直分工,依靠大量投资建成了世界领先的晶圆代工厂台积电和联电,在技术水平上达到世界顶尖;

2.3 我国政策、资金、市场环境三面扶持

美国

日本

韩国

中国大陆

成立半导体协会,并在贸易领域出台了一系列政策,如与日本签

订《半导体协定》

吸收美国技术并整合国内高质量品控制体系,制定《电子工业振兴临时措施法》等,采用严格的产

业保护制度等

成立韩国电子技术学院, 启动“长期半导体产业促进计划”,制订了半导体信息技术开发方向的投资

计划等

出台《进一步鼓励软件和集成电路产业发展的若干政策》等一系列产业优惠政策和研发项目等扶

持政策

每年投资近亿美元

计划投资1500亿美元

重点投资

图表:政策支持、资金帮扶、下游产业支撑是推动行业进步不可或缺的几个方面

数据来源:Ofweek、中信建投证券研究发展部

成立半导体协会,并在贸易领域出台了一些列政策,如与日本签订《半导体协

定》

重点投资吸收美国技术并整合国内高质量品控制体系,制定《电子工业振兴临时措施法》等,采用严格的产业保护制度等。

成立韩国电子技术学院,启动长期半导体产业促进计划,制定了半导体信息技

术开发方向的投资计划。

出台《进一步鼓励软件和集成电路产业发展的若干侦测》等一些列产业优惠政

策和研发项目等扶持政策。

每年投资近亿美元

计划投资1500亿美元

Page 20: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

20

• 政策:产业政策频发,彰显扶持半导体产业决心1. “十二五”期间,政府开始大力支持IC产业发展,先后出台了《国家IC产业发展推进纲要》和“国家

重大科技专项”等政策。其中以2014年发布的纲要最为详细,被视为国家为IC产业度身定制的一份纲要,明确显示了政策扶持半导体产业的决心。

2. 2014年9月,国家IC产业基金正式成立。以直接入股方式,对半导体企业给予财政支持或协助购并国际大厂。

3. 目前我国半导体产业的自给率才只有不到15%,《中国制造2025》的目标是2020年自给率达40%,2050年达到50%

3500亿元

行动通讯

网络通讯

32/28nm

制程量产

中高阶封测占比30%

65-40nm制程关键设备

12寸晶圆材料

8710亿元

行动通讯、网络通讯、云计算

物联网、大数据

16/14nm

制程量产

封测技术达国际大厂水平

打入国际采购供应链

IC产业产值

晶片

软体

整机

系统

资讯服务

IC设计

晶圆代工

封装测试

设备材料

CAGR20%

政策支持

重大科技专项

税收优惠

半导体产业投资基

国际合作

两岸合作

建立从晶片至终端产品产业线

2015年政策目标 2020年政策目标

图表:根据规划,2015-2020年,IC产业产值CAGR达20%以上

数据来源:中国电子网、中信建投证券研究发展部

2.3 我国政策、资金、市场环境三面扶持

Page 21: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

21

• 资金:截至2018年5月,一期大基金已累计投资70个项目,承诺出资1200亿,实际出资1387亿1. 已实施项目覆盖设计、制造、封装测试、设备、材料、生态建设各环节;2. 一期大基金主要投向芯片制造环节,占全部承诺投资额的67%,目前已经支持了中芯国际、上海华

虹、长江存储等;在设计领域,大基金主要在CPU、FPGA等高端芯片领域展开投资,占承诺投资额的17%;在封装测试产业方面,大基金则重点支持长电科技、华天科技、通富微电等项目,占承诺投资额的10%;

3. 相比之下,大基金在装备和材料环节的投资规模和力度要小很多,但仍然在推进光刻、刻蚀、离子注入等核心装备抓住产能扩张时间窗口,扩大应用领域。

2.3 我国政策、资金、市场环境三面扶持

设备材料(占比约6%)

北方华创 (全)、中微半导体(全)、睿励、长川(检测)、拓荆(薄膜)等

封装测试(占比约10%)

长电科技、华天科技、通富微电等(前三)

芯片设计(占比约17%)

紫光展锐、中兴微电子、艾派克、兆易创新、国科微、北斗星通、深圳国微等

集成电路制造(占比约67%)

中芯国际(先进工艺制造) 、上海华虹(先进工艺制造) 、士兰微(特色工艺制造)

长江存储(存储器制造)、三安光电(化合物半导体制造)、耐威科技等

图表:国家大基金资金主要投向集成电路制造环节

数据来源:中信建投证券研究发展部

Page 22: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

22

• 资金:大基金二期募资规模2000亿左右,加强设备领域投资1. 北方华创:非公开发行募集资金总额不超过20亿元,其中国家大基金增资9.1亿元(一期);2. 长川科技:预计大基金二期将持续支持;3. 精测电子:子公司增资5.5亿,大基金出资1亿(一期)。

2.3 我国政策、资金、市场环境三面扶持

图表:二期大基金将加强设备领域投资(二期大基金未来投资布局及规划)

数据来源:华芯投资、中信建投证券研究发展部

1.支持龙头企业做大做强,提升成线能力• 首期基金主要完成产业布局,二期基金将对在刻蚀机、薄膜设备、测试设备和清洗设备等领域已布局的企业保持高强度的持续支持,推动龙头企业做大做强,形成系列化、成套化装备产品

• 对照《纲要》继续填补空白,加快开展光刻机、化学机械研磨设备等核心设备以及关键零部件的投资布局,保障产业链安全

2.产业聚集,抱团发展,组团出海• 推动建立专属的集成电路装备产业园区,吸引装备零部件企业集中投资研发中心或产业化基地,实现产业资源和人才的聚集,加强上下游联系交流,提升研发和产业化配套能力,形成产业聚集的合力

• 积极推动国内外资源整合、重组,壮大骨干企业,培育中国大陆“应用材料”或“东京电子”的企业苗子

3.持续推进国产装备材料的下游应用

• 充分发挥基金在全产业链布局的优势,持续推进装备与集成电路制造、封测企业的协同,加强基金所投企业间的上下游结合,加速装备从“验证”到批量采购的过程,为本土装备材料企业争取更多地市场机会

督促制造企业提高国产装备验证及采购比例,为更多国产设备材料提供工艺验证条件,扩大采购规模

Page 23: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

2.3 我国政策、资金、市场环境三面扶持

• 资金:大基金撬动地方基金,集成电路产业正迎来密集投资期1. IC产业属于资本开支较重的产业,“大投入,大收益;中投入,没收益,小投入,大亏损”;2. 全球看,每年半导体资本开支接近600亿美元,而英特尔、台积电、三星等巨头每年的资本开支均在

100 亿美元左右,只凭大基金的支持仍然投入有限;3. 根据我们的统计,除了规模近1400亿的大基金之外,各集成电路产业聚集的省市亦纷纷成立地方集

成电路基金,截至到2019年4月,全国有15个以上的省市成立了规模不等的地方集成电路产业投资基金,总计规模达到了5000亿元左右。通过大基金、地方基金、社会资金以及相关的银行贷款等债券融资,未来10年中国半导体产业新增投资规模有望达到10000亿元水平。

300亿

100亿

深圳:200亿

50亿

上海:500亿

160亿

100-120亿

100亿

150亿

南京:500-600亿

无锡:200亿

昆山:100亿

300亿

300亿

数据来源:电子工程网、中信建投证券研究发展部

图表:中国各省市开始密集投资布局半导体产业

23

Page 24: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

2.3 我国政策、资金、市场环境三面扶持

• 市场:大陆建厂潮为半导体设备行业提供了巨大的市场空间1. 根据SEMI发布的全球晶圆厂预测报告预估, 2017 -2020年的四年间,全球预计新建 62 条晶圆加工

线,其中中国大陆将新建26座晶圆厂,成为全球新建晶圆厂最积极的地区,整体投资金额预计占全球新建晶圆厂的 42%,为全球之最。

图 2017-2020全球规划建设晶圆厂分布

数据来源:SEMI、中国产业信息网、中信建投证券研究发展部

24

6

5

3

1 1 1

2

13

3

1

0 0

2

1

6

1 1

2 2

0

11 1

4

2 2

1

00

2

4

6

8

10

12

14

中国 美国 台湾 东南亚 欧洲和中东 日本 韩国

2017 2018 2019E 2020E

Page 25: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

• 市场:大陆半导体资本开支持续增长,拉动半导体设备发展

数据来源:中信建投证券研究发展部25

2.3 我国政策、资金、市场环境三面扶持

公司 地点 厂 具体产线/主体 生产项目 技术节点 投资金额(亿元) 投资金额(亿美元) 月产能(万片) 生产状态

开工时间 投产时间 量产时间

紫光集团 南京 12寸紫光南京集成电路基地项目 一期 3D NAND Flash/DRAM 659 105 10 在建 2017/2/12 2021年

12寸紫光南京集成电路基地项目 二期 1311 规划

武汉 12寸国家存储器基地项目 长江存储 (一期)FAB1

3D NAND Flash 40~50nm 1585 240 30(分三期) 在建 2016/12/30 2018年H2 2020年

武汉 武汉新芯 Fab12b 三 维 特 种 工 艺 芯 片 、Norflash、逻辑产品芯片

130.3 11.5 在建 2017年12月

成都 12寸晶圆厂 DRAM 1900 规划 待定中芯国际 上海 12寸S2厂 S2(Fab8) Foundry 90-65-45nm 18.7 2.2 量产 2005年10月 2007年12月 2009年

上海 12寸二厂 SN1&SN2 Foundry 14nm-10/7nm 675 7 在建 2016年12月 2018年 2019年6月

北京 12寸B1厂 B1(Fab4) Foundry 90-55nm 210 4.5 量产 2002年9月 2004年 ########

B1(Fab6) Foundry 65-28nm 236 3.5 量产 2004年 2005年北京 12寸B2厂 B2A Foundry 28nm 224 2 量产 2013年 2016年 2017年

B2B Foundry 28nm 2 量产 2015/10/29

北京 12寸B3厂 B3 Foundry 55nm 263 3.5 在建 2016年10月 2018年

宁波 12寸晶圆厂 待定 特种工艺集成电路芯片 100 规划 待定

深圳 12寸Fab16厂 Fab16 Foundry 65/55nm 66 4 在建 2016年11月 2017年

华 力 微 电子

上海 12寸HH FAB5厂 HH FAB5 Foundry 50-40-28nm 219 3.5 量产 2010/9/8 2015年 2017年上海 12寸HH FAB6厂 HH FAB6 Foundry 28-14nm 387 4 在建 2016/12/30 2018年11月 2020年

华虹宏力 无锡 12寸晶圆厂 Fab 7一期 特色工艺集成电路芯片 90-65/55nm 159 25 4 在建 2018/4/3 2019H2 2022年

12寸晶圆厂 Fab 7二期 逻辑芯片 477 规划合肥长鑫 合肥 12寸晶圆厂 Rui-Li DRAM 19nm 494 72 12.5 在建 2017年5月 2018年

福建晋华 泉州 12寸晶圆厂 DRAM 32-20nm 370 56.5 6(年产值12亿美元) 在建 2016/7/16 2018年9月

晶合/力晶 合肥 12寸HF厂 一期N1厂 LCD驱动芯片 65-55nm 128.1 20 4 量产 2015/10/20 2017/6/29 2017/12/6

联电 厦门 12寸Fab12X厂 Fab12X Foundry 55-40-28nm 400 62 5 在建 2015年10月 2016/11/16 2017-2018

台积电 南京 12寸NJ厂 NJ Foundry 16nm 198 30 2(实际可以扩到6) 在建 2016年7月 2018年5月Intel 大连 12寸Fab68厂 Fab68 原本 处理器晶片 65nm 176 25 5.2 被改造 2007/9/8 2010年 2010年

Fab68 改造 3D NAND Flash 55 预计3-4万 量产 2015年 2016/7/25 2016年7月Fab68 扩建 3D NAND Flash 20 预计2-3万 在建 2017/5/10 2019年3月

三星 西安 12寸F1厂 一期F1X1 3D NAND Flash 20-10nm CMOS 620 100 12(年产值200多亿元) 量产 2012/9/12 2014/5/9 2016H1

二期 3D NAND Flash 463 70 10 在建 2018年3月 2019年美国AOS 重庆 12寸晶圆厂 一期 功率半导体芯片 66 10 2 在建 2016/3/30 2018H1

格罗方德 成都 12寸Fab 11厂 Fab 11(一期) Foundry 0.13-0.18 um RF-SOI 659 100 2 在建 2017年3月 2018H2

Fab 11(二期) 逻辑芯片 22nm FD-SOI 6.5 规划 待定 2019Q4

德科玛 淮安 12寸晶圆厂 一期 图像传感器芯片 65nm 150 25 2 在建 2016年3月 2019

士兰微 厦门 12寸晶圆厂 MEMS、功率半导体器件 90-65nm 170 8 规划 待定

SK海力士 无锡 12寸HC2厂 HC2一期 DRAM 90nm 80.55(包括转给华润那条)

约13(PC DRAM 10万,Mobile

DRAM 3万)量产 2005年4月 2006年11月 2007年7月

HC2二期 54nm 2009年2月 2009年HC2三期 44nm 2010年6月 2010年HC2四期 30-20nm 2011年6月 2012年7月HC2五期 DRAM 25nm 25 4 量产 2014年 2016年 2016年

无锡 12寸厂 第二座12寸厂 DRAM 10nm 86 20(年产值由19亿美元增加至33

亿美元)规划 待定 2019年

粤芯半导体

广州 12寸厂 Foundry 70 3 在建 2017年12月26

日2019年

Page 26: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

0 50 100 150 200 250 300 350 400

17Q3

17Q4

18Q1

18Q2

18Q3

18Q4

19年Q1(至17批之前)

19年Q1(第18批开始)

设备台数 招标批次量第二阶段采购,对应20K/月爬坡阶段

第一阶段采购,对应5-8K/月产能

2.3 我国政策、资金、市场环境三面扶持

• 市场:大陆半导体资本开支持续增长,拉动半导体设备发展1. 当前大陆成为全球新建晶圆厂最积极的地区,以长江存储/合肥长鑫为代表的的存储器项目和以中芯

国际/华力为代表的代工厂正处于加速扩产的阶段,预计带来大量的设备投资需求。

图表:以长江存储为例,正处于产能爬坡阶段

数据来源:中信建投证券研究发展部

Page 27: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

• 市场:大陆半导体资本开支持续增长,拉动半导体设备发展

2.3 我国政策、资金、市场环境三面扶持

图表:以长江存储为例,本土半导体设备公司纷纷实现供应

数据来源:中信建投证券研究发展部

Page 28: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

三、半导体设备市场竞争格局与国产化进度

28

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

光刻设备:光刻机是生产线上最贵的机台,ASML全球领先3.2

3.4 成膜设备:机台国产化率约10-15%,中微、北方保持领先

3.5 检测设备:重点关注长川科技、精测电子

刻蚀设备:机台国产化率已达15%,北方、中微各有突破3.3

Page 29: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

29

• 晶圆制造(前道,Front-End)

生产环节 工艺 具体设备 国外厂商 国内厂商

扩散(Thermal Process

氧化 氧化炉 Thermco、Centrothermthermal 等 七星电子、四十八所、四十五所等

热处理 RTP设备 TEL、AMAT等 七星电子、四十八所等

激光退火 激光退火设备

光刻(Photo-

lithography)(30%投资额)

涂胶 涂胶/显影设备 TEL、SUSS、EVG等 七星电子、沈阳芯源等

测量 CD SEM等 KLA、Applied Materials、Hitachi、Camtek等 睿励科学仪器等

光刻 光刻机 ASML、Nikon、Canon、Ultratech等 上海微装、四十八所、四十五所等

显影 涂胶/显影设备 TEL(90%)、SUSS、EVG等 七星电子、沈阳芯源等

刻蚀(Etch)

干刻 干法刻蚀设备 Applied Materials、Lam、JuSung、TES等 中微半导体、北方微电子、四十八所等

湿刻 湿法刻蚀设备 DNS、Applied Materials、Mattson等 七星电子、上海盛美、上海新阳、沈阳芯源、苏州伟仕泰克等

去胶 等离子去胶机

清洗 清洗设备 DNS、Applied Materials、Mattson等 盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等

离子注入(Ion Implant)

离子注入 离子注入机 AMAT(70%)、Nissin等 四十八所、中科信等

去胶 等离子去胶机

清洗 清洗设备 DNS、Applied Materials、Mattson等 盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等

薄膜沉积(Dielectric

Deposition)

CVD 化学气相沉积设备 Tokki、ASM、日本岛津、Lam Research等 中微半导体、北方微电子、四十五所等

PVD 物理气相沉积设备 Applied Materials、PVD Products、Cemecon等 北方微电子、南光实业、 四十八所、科睿设备等

RTP RTP设备

清洗 清洗设备 DNS、Applied Materials、Mattson等 盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等

抛光(CMP)

CMP CMP设备 Applied Materials、Rtec等 华海清科、盛美、四十五所等

刷片 刷片机

清洗 清洗设备 DNS、Applied Materials、Mattson等 盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等

测量 测量设备 KLA、Applied Materials、Hitachi、Camtek等 睿励科学仪器等

金属化(Metalization)

PVD 物理气相沉积设备 Applied Materials、PVD Products、Cemecon等 北方微电子、四十八所、科睿设备等

CVD 化学气相淀积设备 Tokki、ASM、日本岛津、Lam Research等 中微半导体、北方微电子、四十五所等

电镀 电镀设备 DNS、Applied Materials、Mattson等 盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等

清洗 清洗设备 DNS、Applied Materials、Mattson等 盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等

图表:晶圆制造环节具体设备及主要厂商

数据来源:中信建投证券研究发展部

Page 30: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

30

• 封装(后道,Back-End )测试

生产环节 工艺 具体设备 国外厂商 国内厂商

背面减薄(Back Grinding)

进料检测(IQC) 检测设备 KLA、Applied Materials、Hitachi、Camtek等贴膜(wafer tape) 贴膜机

背面研磨(back grinding) 切割减薄设备 DISCO、OKAMOTO、Camtek等 北京中电科等

测量 厚度/粗糙度测量仪KLA、Applied Materials、Hitachi、Camtek等

剥膜(detape) 剥膜机

晶圆切割(Wafer Saw)

晶圆安装(wafer mount) 晶圆安装晶圆切割(wafer saw) 晶圆切割机 DISCO等 四十五所、中电科、大族激光等晶圆清洗(wafer wash) 清洗设备

光学检测 AOI设备 KLA、Applied Materials、Hitachi、Camtek等

贴片/装片(Die Attach )

点浆(write epoxy)芯片粘接(die attach) 贴片机

固化(die cure) 烤箱引线键合

(Wire Bonding )(最关键工艺之

一)

引线键合(wire bond) 键合封装设备 SUSS、EVG等 上海微电子等清洗 微波/等离子清洗

光学检测 AOI设备 KLA、Applied Materials、Hitachi、Camtek等

模塑

等离子体清洗 等离子体清洗机注塑(molding) 注塑机

激光打标(laser mark) 激光打标机烘烤(post mold cure) 烤炉

检测 X-ray设备 KLA、Applied Materials、Hitachi、Camtek等

电镀去溢料/电镀(de-flash/plating

)电镀设备 DNS、Applied Materials、Mattson等 盛美、新阳、沈阳芯源、伟仕泰克等

电镀退火(annealing) 退火炉

切筋成型切筋/成型(trim/form) 切筋成型设备

光学检测 AOI设备 KLA、Applied Materials、Hitachi、Camtek等成品测试/终测 终测(final test) 测试设备 KLA、Applied Materials、Hitachi、Camtek等 长川科技/华峰测控

图表:封装测试环节具体设备及主要厂商

数据来源:中信建投证券研究发展部

Page 31: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

31

• 全球集成电路装备市场总体高度垄断

1. 特点:技术更新周期短带来的极强技术壁垒,市场垄断程度高带来的极大市场壁垒,以及客户间竞争合作带来的极高认可壁垒。因此,集成电路装备市场高度垄断,细分市场一家独大;

2. 从分布看,全球前十大集成电路装备公司基本上被美国、日本、欧洲企业占据;3. 从比例看,全球前十大拿走行业80%的份额;应用材料(美国)、ASML(荷兰)、TEL东京电子、

泛林(美国)、科磊(美国)位列前五,前五名拿走68%的份额;前30拿走92%的份额,前20拿走87%的份额。

图表:全球IC装备市场高度垄断

数据来源:IC insights、中信建投证券研究发展部

2017年全球十大IC设备供应商

排名 公司名(英文) 公司名(中文) 主要产品领域 2017年营收(亿美元) 2017/2016年增长%

1 Applied Materials 应用材料 沉积、刻蚀、离子注入、化学机械研磨等 107 38%

2 Lam Research 泛林 刻蚀、沉积、清洗等 84.4 62%

3 Tokyo Electron 东电电子 沉积、刻蚀、匀胶显影设备 72.03 48%

4 ASML 阿斯麦 光刻设备 71.86 41%

5 KLA-Tencor 科天 硅片检测、测量设备 28.2 17%

6

Screen

Semiconductor

Solutions

迪恩士 刻蚀、清洗设备 13.9 1%

7 SEMES 细美事 清洗、光刻、封装设备 10.5 142%

8Hitachi High-

Technologies日立高新 沉积、刻蚀、检测设备、封装贴片设备等 10.3 5%

9 Hitachi Kokusai 日立国际电气 热处理设备 9.7 84%

10 Daifuku 大福 无尘室搬运等 6.9 46%

11 ASM International 先域 沉积、封装缝合设备等 6.5 31%

12 Nikon 尼康 光刻设备 6.2 -16%

Page 32: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

• 全球IC制造细分设备市场也高度垄断1. 从细分设备来看,每个具体设备基本上大部分份额被前三大企业占据,基本上都是80-90%的份额;2. 前三大厂商中,也基本都是一家独大,第一占据了40-50%的份额。

图表:细分设备市场也高度垄断

数据来源:IC insights、中信建投证券研究发展部

32

氧化扩散 光刻 刻蚀 离子注入 成膜/薄膜

Thermal Process RTP LITHO ETCH Ion implant PVD CVD

日立 43.1% AMAT 50% ASM 75.3% LAM 52.7% AMAT 73% AMAT 64.9% AMAT 29.6%

TEL 37.9% TEL Nikon 11.3% TEL 19.7% Axcelis 17% Evatec 25.9% TEL 20.9%

ASM 13.8% SCREEN Canon 6.2% AMAT 18.1% Uvac 5.4% LAM 19.5%

Others 5.2% Others 7.2% Others 9.5% Others 3.8% Others 30%

TOP3 = 95% TOP3=92.8% TOP3 = 90.5% TOP2 = 90% TOP3=96.2% TOP3 = 70%

Page 33: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

33

• 我国集成电路装备市场高端占比偏小,且大部分为国外厂商1. 2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计,2018 年国

产半导体设备销售额预计为109亿元;2. 预计2020年中国半导体设备总市场规模将超1000亿。

图表:国内厂商规模普遍较小,且大部分在光伏、LED领域占比较高

数据来源:各公司公告、中信建投证券研究发展部

公司 16年半导体设备收入(亿) 占国产市场份额 主要产品

中电科集团(包含41/45/48

所、北京中科信、北京中电科)

9.28 19.8%IC、光伏、LED:离子注入机、退火炉等IC装备;扩散炉、刻蚀机、PECVD、高温烧结炉等光伏装备

晶盛机电 6.75 14.4% 光伏、LED:单晶炉等晶体生长设备

捷佳伟创新能源 7.11 15.1% 光伏:晶硅电池设备、硅片清洗设备

北方华创 8.13 17.3% IC、光伏、LED:刻蚀设备、CVD设备、晶片清洗、封装设备

中微半导体 4.85 10.3% IC、LED:高端刻蚀机、部分成膜设备

上海微电子 2.9 6.2% IC、其他:光刻机、晶圆检测设备

北京京运通 2.68 5.7% 光伏:多晶硅铸锭炉、单晶炉等晶体生长设备

天通吉成 2.14 4.6% 光伏、LED:粉末成形机

盛美半导体 1.64 3.5% IC:晶圆清洗设备

深圳格兰达 1.5 3.2% 光伏、LED:激光打标机、光检机等自动化设备

合计 46.98

Page 34: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

• 边际变化:在诸多工艺环节中,开始出现了一些国产厂商1. 分地区看,形成三个产业集群:北京:北方华创、中电科集团、天津华海清科(CMP);上海:上海微

电子、上海中微半导体、上海盛美、上海睿励科学仪器;沈阳:沈阳拓荆、沈阳芯源;

图表:主流65-28nm客户不定量的采购的12类设备清单 图表:国内已有9项应用于14nm的装备开始进入生产线步入验证

数据来源:中信建投证券研究发展部 数据来源:中信建投证券研究发展部

34

类型 厂商 技术节点 主要应用工艺 当前状态

介质刻蚀机 中微半导体 65-28nmAIO ETCH、PASS

ETCH已采购>50

硅刻蚀机 北方华创 65-28nm STI ETCH 已采购>20

PVD设备 北方华创 65-28nm HM DEP、AI DEP 已采购>20

单片退火设备 北方华创 65-28nm Anneal 已采购>20

清洗设备 北方华创 65-28nm Post-ET clean 已采购>20

清洗机 上海盛美 65-28nm Wafer recycle 已采购>20

立式炉 北方华创 65-28nm Poly DEP、AA OX 已采购>10

离子注入机 北京中科信 65-28nm WELL IMP 已采购>10

光学尺寸测量仪器 睿励科学仪器 65-28nm Film Thickness 已采购>10

PECVD设备 沈阳拓荆 65-28nm PEOX DEP 已采购>30

光照清洗设备 瑞泽微电子 90nm Mask Clean 已采购>10

化学机械研磨设备 华海清科 Wafer reclaim 已采购> 5

序号 类型 厂商 主要应用工艺

1 硅刻蚀机 北方华创 STI ETCH

2 HM PVD设备 北方华创 HM DEP

3 单片退火设备 北方华创 Anneal

4 LPCVD 北方华创 SiO2 Film Deposition

5 AI PVD设备 北方华创 AI DEP

6 ALD 北方华创 Hi-K insulator

7 介质刻蚀机 中微半导体 AIO ETCH、PASS ETCH

8光学尺寸测量仪器

睿励科学仪器 Film Thickness/OCD

9 清洗机 上海盛美 Wafer recycle

Page 35: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 IC制造流程复杂,大多数设备被国外厂商垄断

• 边际变化:在诸多工艺环节中,开始出现了一些国产厂商1. 分地区看,形成三个产业集群:北京:北方华创、中电科集团、天津华海清科(CMP);上海:上海微

电子、上海中微半导体、上海盛美、上海睿励科学仪器;沈阳:沈阳拓荆、沈阳芯源;

图表:国产装备及零部件研发已系统布局

35数据来源:SEMICON、中信建投证券研究发展部

工艺 设备种类 重点企业 所在地区 技术节点(nm) 情况光刻 光刻机 上海微装 上海 90/65 先进封装光刻机已出货40余台,占国内先进封

装光刻机的80%市场份额

曝 光( Litho )

匀胶机(TRACK) 沈阳芯源 沈阳 90/65 产品涵盖2寸、4寸、6寸、8寸和12寸晶圆的匀胶和显影

ETCH 介质刻蚀机(CCP) 上海中微 上海 65/45/28/14/7 7纳米设备进入台积电全球五大供应商之一硅刻蚀机 北方华创 北京 65/45/28/14

薄 膜CVD

PVD/LPCVD 北方华创 65/28/14

ALD 北方华创 28/14/7

MOCVD 上海中微 上海 17年订单200台,发货106台,占据国内60%的份额

PECVD 沈阳拓荆 沈阳 65/28/14

薄 膜PVD

Hardmask PVD 北方华创 北京 65/45/28/14

AI Pad PVD 北方华创 北京 65/45/28/14

PVD 上海中微 上海 65/45/28

离 子 注入IMPL

离子注入机 北京中科信 北京/上海 65/45/28

湿 法WET

清洗机 北方/盛美 65/45/28

CMP 华海清科/盛美/45所 天津/上海/北京 28/14

镀铜/清洗 盛美 上海 28/14 12英寸单晶圆片清洗设备在多个客户端45-22

纳米技术的产线上大规模应用检测 光学检测(OCD、膜厚) 上海睿励/中科飞测/东方晶源 上海/深圳/北京 65/28/14 目前唯一进入三星的国产集成电路生产设备

测试(ATE) 长川科技/精测电子/华峰/御渡 杭州/武汉/北京/上海

热 处 理(RTP)

退火炉、合金炉、单片退火 北方/Mattson 北京 65/45/28

其他 清洗/CDS、Sorter、Scrubber、去胶

至纯/新阳/京仪/Mattson 上海/北京

Page 36: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.1 光刻、刻蚀和薄膜设备占投资比重较大

• 75-80%的资本开支使用在设备投资里,设备投资中的70-80%在晶圆制造环节设备里1. 光刻设备、刻蚀设备、薄膜设备( ALD/CVD 53%、PVD 47%)占比最高,分别20-25%、25%、20-25%2. 扩散设备、抛光设备、离子注入设备各占设备投资的5%,量测设备占设备投资的5~10%。

图表:75-80%的资本开支使用在设备投资里

数据来源:电子工程网、中信建投证券研究

图表:设备投资中的80%以上在晶圆制造环节设备里

数据来源:沈阳拓荆、中信建投证券研究发展部

36

图表:晶圆生产线各类设备投资占比

数据来源:Global foundries、中信建投证券研究发展部

光刻设备光刻设

备 LITHOGRAPHY25%

刻蚀设备 ETCH25%

物理气相沉积设备 PVD15%

化学气相沉积设

备 CVD10%

量测设备METROLOGY

10%

离子注入设备IMPLANT

5%

抛光设备 CMP5%

扩散设备diffusion5%

环节 资金分配比例

厂房投资 25%

设备投资

净化系统 25%

中央设施 10%

运行设施 35%

其他 10%

单位:亿 2016 2017 2018 占比 同比增长

晶圆制造设备 327.00 450.00 508.00 81% 13%

组装封装设备 30.20 38.00 42.00 7% 11%

测试设备 36.90 45.00 49.00 8% 9%

其他 17.80 26.00 28.00 4% 8%

总计 411.90 559.00 627.00 100% 12%

Page 37: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.2 光刻设备:光刻机是生产线上最贵的机台,ASML全球领先

37

• 光刻工艺是最复杂的工艺,光刻机是最贵的机台1. 主流微电子制造过程中,光刻是最复杂、昂贵和关键的工艺,占总成本的1/3;目前的28nm工艺则

需要20道以上光刻步骤,耗费时间约占整个硅片工艺的40~60%。光刻工艺决定着整个IC工艺的特征尺寸,代表着工艺技术发展水平;

2. 具体流程:首先要在硅片上涂上一层耐腐蚀的光刻胶,随后让强光通过一块刻有电路图案的镂空掩模板照射在硅片上。被照射到的部分(如源区和漏区)光刻胶会发生变质,而构筑栅区的地方不会被

照射到,所以光刻胶会仍旧粘连在上面。接下来就是用腐蚀性液体清洗硅片,变质的光刻胶被除去,露出下面的硅片,而栅区在光刻胶的保护下不会受到影响。

3. 光刻机是生产线上最贵的机台,千万-亿美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于10nm)。一般来说一条产线需要几台光刻机,其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。

图形加工

图形曝光(光刻,Photolithography),占总成本的1/3

图形转移(刻蚀,Etching)

图表:光刻设备使用在刻蚀设备之前

数据来源:中信建投证券研究发展部

Page 38: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.2 光刻设备:光刻机是生产线上最贵的机台,ASML全球领先

38

• ASML占据70-80%市场份额,且领先地位无人撼动1. 荷兰ASML占据超过70%的高端光刻机市场,且最新的产品EUV光刻机售价高达1亿美元,依旧供不应

求。紧随其后的是Nikon和Canon。光刻机研发成本巨大,Intel、台积电、三星都主动出资入股ASML支持研发,并有技术人员驻厂;格罗方德、联电及中芯国际等的光刻机主要也是来自ASML;

2. 国内光刻机厂商有上海微电子、中电科集团四十五研究所、合肥芯硕半导体等。在这几家公司中,处于技术领先的是上海微电子,其已量产的光刻机中性能最好的是90nm光刻机。由于技术难度巨大,短期内还是处于相对劣势的地位。

图表:1970年起,光刻机价格每4.4年翻一倍

数据来源:电子工程网、中信建投证券研究发展部

Page 39: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.3 刻蚀设备:机台国产化率已达15%

39

• 国产刻蚀机的机台市场份额已约15%

1. 工艺流程:所谓刻蚀,狭义理解就是光刻腐蚀,先通过光刻将光刻胶进行曝光处理,然后通过其它方式实现腐蚀处理掉所需除去的部分。刻蚀可分为干法刻蚀和湿法刻蚀。显而易见,它们的区别就在于湿法使用溶剂或溶液来进行刻蚀。

2. 刻蚀设备分类:在8寸晶圆时代,介质(40%)、多晶硅(50%)及金属刻蚀(10%)是刻蚀设备三大块;进入12寸后,随着铜互连的发展,介质刻蚀份额逐渐加大,目前已近50%;

3. 中微半导体的16nm刻蚀机已实现商业化量产并在客户的产线上运行,7-10nm刻蚀机设备以达到世界先进水平。截至2018年末,中微半导体累计已有1100多个反应台服务于国内外40余条先进芯片生产线。目前中微产品已经进入第三代10nm、7nm工艺(台积电),5纳米等离子体刻蚀机已经台积电验证;除中微外,北方华创在硅刻蚀机方面也有突破。

0.8%

0.0%

1.3%0.9%

4.7%

6.4%

0

2

4

6

8

10

12

14

2011 2012 2013 2014 2015 20160%

1%

2%

3%

4%

5%

6%

7%

国产IC刻蚀机出货量(亿美元)

进口IC刻蚀机出货量(亿美元)

国内市占率

图表:国产刻蚀机的市场份额有了较快提升

数据来源:中信建投证券研究发展部

Page 40: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

3.4 成膜设备:机台国产化率约10-15%

40

• 成膜设备分两大类,机台市场份额约10-15%

1. 工艺流程:在集成电路制备中,很多薄膜材料由淀积工艺形成。主要包括化学气相 (CVD)淀积和物理气相淀积 (PVD)两大类工艺;一条投资70亿美元的芯片制造生产线,需用约5亿美金采购100多台PECVD设备;从全球范围看,AMAT在CVD设备和PVD设备领域都保持领先;

2. 北方华创、中微公司等企业等小有突破:其中北方微电子的PVD可用于28nm的hard mask工艺,并且可以量产;中微两条线推进CVD,一方面中微应用于LED领域的MOCVD市占率已经全球领先 ,另一方面投资沈阳拓荆,完善产品线布局。

0.2% 0.3% 0.2%

10.4%10.9%

10.3%

0

1

1

2

2

3

2011 2012 2013 2014 2015 20160%

2%

4%

6%

8%

10%

12%

国产PVD出货量(亿美元) 进口PVD出货量(亿美元)

国内市占率

图表:总体看,PVD是国产化进展较快的一类设备图表:AMAT在CVD设备和PVD设备领域都保持领先

数据来源:IC insights、中信建投证券研究发展部

数据来源:中信建投证券研究发展部

成膜/薄膜设备

PVD CVD

AMAT 64.9% AMAT 29.6%

Evatec 25.9% TEL 20.9%

Uvac 5.4% LAM 19.5%

Others 3.8% Others 30%

TOP3=96.2% TOP3 = 70%

Page 41: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

• 半导体中的检测可分为前道量测和后道测试两大类

1. 其中前道检测更多偏向于外观性/物理性检测,主要使用光学检测设备、各类inspection设备;后道测试更多偏向于功能性/电性测试,主要使用ATE设备及探针台和分选机;

2. 从价值量占比看,前道量测设备也可称为工艺控制检测设备,是晶圆制造设备的一部分,占晶圆制造设备投资占比约10%;后道测试设备独立于晶圆制造设备,占全部半导体设备比例约8%。

图表:可以简单把加工过程划分为前道晶圆制造与后道封装测试

数据来源:SEMI、中信建投证券研究发展部

41

3.5 检测设备:重点关注长川科技、精测电子

图表:量测设备和测试设备属于两个不同环节

数据来源:中信建投证券研究发展部

设备用途 设备名称 投资占比

晶圆制造

光刻设备 24%

薄膜沉积设备 18%

刻蚀设备 10%

工艺控制设备 10%

清洗设备 6%

离子注入设备 3%

其他晶圆加工设备 11%

封测设备封装设备 10%

测试设备(终测+成测) 8%

Page 42: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

42

• 前道晶圆量测(Wafer Metrology)主要在wafer制造环节

1. 在芯片制造过程中,为了保证晶圆按照预定的设计要求被加工,必须进行大量的检测和量测,包括芯片线宽度的测量、各层厚度的测量、各层表面形貌测量,以及各个层的一些电子性能的测量;

2. 用到的设备:缺陷检测设备、晶圆形状测量设备、 掩膜板检测设备、CD-SEM(微距量测扫描式电子显微镜)、显微镜等。

图表:晶圆测试环节涉及到大量的外观性检验

数据来源:中信建投证券研究发展部

3.5 检测设备:重点关注长川科技、精测电子

质量检验植入 扩散 薄膜 研磨 蚀刻 光学显影

金属 介电层

1 薄膜厚度 √ √ √ √ √ √

2 片电阻 √ √ √

3 薄膜应力 √ √ √

4 折射率 √ √

5 掺质浓度 √ √

6 未图案化的表面缺陷 √ √ √ √ √ √

7 图案化的表面缺陷 √ √

8 临界尺寸 √ √

9 阶梯覆盖 √ √

10 重迭对准 √ √

11 电容-电压 √

12 接触角度 √

备注:扩散区制程包括——氧化、沉积、扩散、回火、合金。

Page 43: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

43

• 后道测试主要在封测环节,分为中测和终测

1. 后道中测(CP,circuit probe),主要在芯片封装前:主要是测试整个晶圆片(wafer)上每个芯粒(die)的逻辑。简单来说,CP是把坏的Die挑出来并标记出来,后续只封装好的die。这样做可以减少封装和测试的成本,也可以更直接的知道Wafer的良率。用到的设备:测试机(IC Tester / ATE)、探针卡(Probe Card)、探针台(Prober)以及测试机与探针卡之间的接口等。

2. 后道终测(FT,final test),主要在芯片封装后:测试每颗封装好的芯片(chip)的逻辑。简单来说,FT是把坏的封装好的chip挑出来,可以直接检验出封装环节的良率;用到的设备:测试机(IC Tester)、分拣机/分类机(Handler)等。

图表:中测是在晶圆片切割前做的测试

数据来源:日月光、中信建投证券研究发展部

3.5 检测设备:重点关注长川科技、精测电子

Page 44: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

44

• 测试设备三大设备之ATE竞争格局:

1. 测试设备包括三大类:测试机、探针台、分选机,其中测试机市场空间占比过半;

2. 全球集成电路测试设备市场主要由美国泰瑞达和日本爱德万占据,两者总体合计市占率超过50%。细分来看,在测试机市场中,SOC测试机、存储器测试机的市场占比合计近90%,而爱德万+泰瑞达的市场份额超过80%;

3. 目前国内已经装配的测试系统主要偏重在低档数字测试系统、模拟及数模混合测试系统等,领先厂商包括长川科技、华峰测控、上海中艺等。本土厂商在中高档测试能力部分目前仍十分薄弱,尚无法与国外业者相抗衡(包括爱德万Advantest、泰瑞达Teradyne、Verigy、居诺JUNO半导体等)。但目前国产中、高档测试系统已经研制成功,正进入小批量生产阶段。上市公司中,国产厂商长川科技正全面布局数模混合、模拟、数字信号测试机+探针台;精测电子已布局memory ATE和面板驱动IC ATE,期待后续产品出货。

• 测试设备三大设备之探针台竞争格局:

1. 探针测试台(Prober)是前后道工序之间用于对半导体器件芯片的电参数特性进行测试的关键设备,它可以将电参数特性不符合要求的芯片用打点器(INKER)做一明显标记, 便于在后道工序中及时将其剔除, 这样就有效地提高了半导体器件生产的成品率,大大降低器件的制造成本。在具体测试的时候,晶圆被固定在真空吸力的卡盘上,并与很薄的探针电测器对准,同时探针与芯片的每一个焊盘相接触。 电测器在电源的驱动下测试电路并记录下结果。 测试的数量、顺序和类型由计算机程序控制。

2. 一般来说,探针台的单价在百万级别,远高于分选机。根据统计,探针台的市场份额约占总测试机+探针台+分选机的市场空间的15-20%左右。以东京电子(TEL)为代表的厂商雄霸全球探针测试设备市场,而国内厂商中,长川科技已有探针台产品布局。

3.5 检测设备:重点关注长川科技、精测电子

Page 45: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

四、相关公司发展逻辑梳理

45

4.1 北方华创:综合性半导体设备厂商,国内第一梯队

长川科技:半导体测试设备龙头,国家队加持

4.3

4.4

至纯科技:高纯工艺系统供应商,正积极切入清洗设备领域

4.5 精测电子:面板检测设备龙头,布局半导体检测+测试设备

4.2 中微公司:MOCVD与刻蚀设备双轮发展,产品竞争力较强

Page 46: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.1 北方华创:国内半导体设备第一梯队

图表:产品线齐全,除光刻机外几乎囊括大部分设备

•蓝宝石衬底刻蚀

•GaN刻蚀

•透明导电膜溅射设备

•AlN缓冲层溅射设备

•保护层PECVD

• 深硅刻蚀

• 介质材料刻蚀

• 通用金属PVD

• 保护层PECVD

• 薄膜CVD

• 硅通孔封装PVD

• 晶圆级封装PVD

• 凸点下/再分布层PVD

• 硅通孔刻蚀

• 栅极/浅槽隔离刻蚀

• TiN掩膜刻蚀

• 深硅刻蚀

• 掩膜PVD

• 铜互连PVD

• 铝衬垫PVD 集成电路

先进封装

LED刻蚀机市占率

51%,>300台;

PECVD市占率44%,>150台

微机电系统功率器件

数据来源:公司公告、中信建投证券研究发展部

46

Page 47: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.1 北方华创:国内半导体设备第一梯队

• 产品技术世界一流,核心设备均有布局目前公司半导体设备进展顺利,刻蚀机和PVD设备已在全球主要企业得到广泛应用:1. 刻蚀机:14nm等离子硅刻蚀机已交付客户,深硅刻蚀设备成功进入东南亚市场;2. PVD设备:28nm hardmask PVD、Al-pad PVD率先进入国际供应链,其中28nmPVD机台已成为中芯

国际的首选机台;14nm PVD和ALD设备也预计近期交付客户。

47

量产 验证

年销售量(腔)

LED、MEMS、光伏、先进封装等

IC领域累计出货

客户设备大类 设备类别 使用工艺 65/55nm 45nm 28nm 14nm 2013 2014 2015 2016 2017

刻蚀设备多晶硅刻蚀

机STI-ET

成功进入东南亚市场;被国内领军集成电路芯片制造企业指定为Baseline

机台

正式进入主流代工厂

刻蚀机 25 30 70 90 200累计销售600+腔室

中芯国际、长江存储

成膜设备

硬掩膜沉积PVD

Hardmask DEP被国内领军集成电路芯片制造企业指定为Baseline机台

进入国际供应链体系

PVD 25 30 70 90 150累计销售100+腔室

中芯国际、联芯、长江存储Al Pad沉积

PVDAl Pad Dep

连续两年斩获客户大批量订单

进入国际供应链体系

LPCVD

Poly-SiON、High-K 正式进入主流

代工厂CVD/ALD 25 30 65 90 150

累计销售200+腔室

中芯国际、ICRD

Poly-SiON

ALD正式进入主流

代工厂

退火设备

单片退火系统

Single wafer anneal

累计流片量已突破120万片大关

被国内领军集成电路芯片制造企业指定为Baseline机台

被国内领军集成电路芯片制造企业指定为Baseline机台

正式进入主流代工厂

退火(合金)炉

Anneal post NP S/D

F-Alloy

Post Buffer Nitride Alloy

F-Alloy

氧化设备 立式氧化炉

氧化(Oxide)A-A

氧化炉 25 30 200 540 300累计销售100+腔室

中芯国际、上海华力氧化(Oxide)

GATE

清洗设备300mm单片

清洗机铜互联阶段刻蚀后

的清洗湿法清洗机 75 80 100 200 400

累计销售100+腔室

中芯国际、长江存储

Page 48: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.2 中微公司:MOCVD与刻蚀设备双轮发展,产品竞争力较强

• MOCVD与刻蚀设备双轮发展,各有突破中微公司的核心产品包括:1)用于IC集成电路领域的等离子体刻蚀设备(CCP、ICP)、深硅刻蚀设备(TSV);目前已被广泛应用于国际一线客户从65纳米到14纳米、7纳米和5纳米的集成电路加工制造及先进封装。2)用于LED芯片领域的MOCVD设备,在行业领先客户的生产线上大规模投入量产,已成为世界排名前列、国内占主导地位的氮化镓基LED设备制造商。

48

CCP电容型刻蚀机

2007

· 进入台积电7nm量

产线

· 加工超过4000多万

片65-16nm晶圆

深硅刻蚀机

2010

· 进入欧洲MEMS生

产线量产

· 在国内成为主流设

MOCVD

2012

· 开发了D-Blue,A7

和第三代更大尺寸设备

· 在国内外蓝光LED市场占主导地位

ICP电感型刻蚀机

2016

· 单台机已核准进入

生产线

· 双台机将进入生产

线试运

图表:中微公司的核心产品各有突破

数据来源:公司公告、中信建投证券研究发展部

Page 49: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.2 中微公司:MOCVD与刻蚀设备双轮发展,产品竞争力较强

• 刻蚀设备:CCP和ICP等离子刻蚀产品系列持续发展1. 近年来,由于逻辑器件和存储器件的结构变化,介质刻蚀要刻蚀高精度薄膜,需要用较低能量

的等离子体,并在低压下实现刻蚀均匀。采用电感性等离体刻蚀设备能实现更好的效果, 所以电感性等离体刻蚀设备越来越广泛地应用于介质刻蚀应用中。因此,随着技术的演变,业内主要采用将刻蚀设备分为CCP刻蚀设备和ICP刻蚀设备的分类方法。目前无法获取二者保有量占比情况的公开客观数据,但目前的总体趋势是ICP刻蚀设备市场正在超过CCP刻蚀设备市场。

2. 公司的CCP从双台机开始做,第二代做了双台、单台;目前下一代双台机针对逻辑器件关键刻蚀、单台机针对memory刻蚀;ICP反过来先做的后端封装和MEMS刻蚀,进入前端先做的单台机,现在单台机做下一代,双台机很快要开发出来。

49

图表:中微刻蚀设备以CCP起家,16年起进入ICP市场

数据来源:公司公告、中信建投证券研究发展部

Page 50: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.2 中微公司:MOCVD与刻蚀设备双轮发展,产品竞争力较强

• MOCVD:市占率全球领先,向红黄光、紫外光、mini/micro LED领域拓展1. 2018年全球氮化镓基MOCVD的新增数量为215台,约占全部MOCVD市场份额的77%。中微公司的

MOCVD占据全球氮化镓基LED用MOCVD新增市场的41%;其中2018年下半年,占据了全球新增氮化镓基LED MOCVD设备市场的60%以上;

2. 从现在向后看5年,MOCVD设备的应用和市场规模有望增长5倍。除了蓝光LED市场外,红黄光、紫外光、功率器件、mini/micro LED会有长足发展。公司MOCVD设备的主要发展方向包括:高温MOCVD设备、大尺寸MOCVD设备、基于Mini LED和Micro LED应用的氮化镓MOCVD设备、基于氮化镓功率半导体应用的MOCVD设备等。

50

图表:公司的MOCVD占据全球氮化镓基LED MOCVD市场领先地位

数据来源:IHS、中信建投证券研究发展部

Page 51: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.3 至纯科技:高纯工艺系统供应商,正积极切入清洗设备领域

51

• 公司是高纯工艺系统龙头,半导体收入占比过半

1. 公司主要业务包括高纯工艺系统与高纯工艺设备的设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务,主要应用于电子行业的掺杂、光刻、刻蚀和 CVD成膜等工艺环节和生物医药及食品饮料行业的配液等工艺环节。

• 中国电科

电子

•晶澳

•盈利能源

•晋能集团

光伏•和辉光电

•国星半导体

LED

•新进芯

•SK海力士

半导体•BOE

LCD

图公司高纯工艺系统主要应用于电子、生物制药等行业

数据来源:招股说明书、中信建投证券研究发展部

图公司客户均为相应行业的领先厂商

数据来源:招股说明书、中信建投证券研究发展部

Page 52: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.3 至纯科技:高纯工艺系统供应商,正积极切入清洗设备领域

52

• 高纯工艺系统投资约占产线投资总额的5-8%1. 高纯工艺系统是应用于泛半导体(集成电路、平板显示、光伏、LED 等)和生物医药等先进制造业

的工艺介质(气体、化学品、水等)高纯输配系统,确保工艺介质在制程中不受杂质污染,是直接影响产品工艺精度与良率的关键配套系统,约占相关生产线固定资产投资总额的5%-8%。未来5年行业将会投产62个晶圆厂,其中26个在中国,保守估计未来5年市场空间为156亿元-234亿元;

2. 相比国外厂商,公司优势为:国家对晶圆厂国产化率有要求(20%-25%)+公司可提供方便快捷的厂务托管服务。竞争对手包括台积电、海力士、INTEL、三星的专业子公司;相比国内厂商,公司有资质优势和前期项目经验优势;

图高纯工艺系统为工业企业的核心工艺设备运转提供支持

数据来源:招股说明书、中信建投证券研究发展部

Page 53: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.3 至纯科技:高纯工艺系统供应商,正积极切入清洗设备领域

53

• 积极布局清洗设备,已初步实现小批量供应1. 2015年起公司开始布局清洗设备,并于2017年取得较快进展。公司目前湿法工艺设备包括槽式及单

片设备,涵盖8/12寸工艺制程,产品线逐渐完善;2. 截至2018年,公司已获得中芯、万国、TI、燕东、华润等用户的正式订单,新增订单总额达1700万美

元。其中少量设备已经交付,大部分将在19年交付,完成收入确认;3. 判断全球清洗设备市场空间也近300亿,公司未来有望在清洗设备赛道加速奔跑。

图表:全球清洗设备市场空间约261亿元

数据来源:中信建投证券研究发展部

37

0

5

10

15

20

25

30

35

40

2015 2016 2017 2018E 2019E 2020E

全球清洗设备市场规模(亿美元)

CAGR=7.31%

Page 54: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.3 至纯科技:高纯工艺系统供应商,正积极切入清洗设备领域

54

• 积极布局清洗设备,已初步实现小批量供应1. 2015年起公司开始布局清洗设备,并于2017年取得较快进展。公司目前湿法工艺设备包括槽式及单

片设备,涵盖8/12寸工艺制程,产品线逐渐完善;2. 截至2018年,公司已获得中芯、万国、TI、燕东、华润等用户的正式订单,新增订单总额达1700万美

元。其中少量设备已经交付,大部分将在19年交付,完成收入确认;3. 判断全球清洗设备市场空间也近300亿,公司未来有望在清洗设备赛道加速奔跑。

图表:公司积极布局清洗设备

数据来源:中信建投证券研究发展部

PNC型号 技术节点 0.35μm 0.25μm 0.18μm 0.13μm 90nm 65nm 40nm 28nm 14nm

Wet process

group(typical)

B-Clean B200 B200 B200 B200 B300 B300 S300 S300 S300

RCA-Clean B200 B200 B200 B200 B300 B300 S300 S300 S300

FEOL RP Strip B200 B200 B200 B200 B300 B300 S300 S300 S300

SiN remove B200 B200 B200 B200 B300 B300 S300 S300 S300

NiPt Selective Etch B300 S300 S300 S300

CoSi Selective Etch B200 B200 B200 B200 B300 B300

Wafer scrubber B200 B200 B200 B200 B300 B300 S300 S300 S300

Backside Clean B200 B200 B200 B200 B300 B300 S300 S300 S300

FEOL RP Strip(Cu) B200 B200 B200 B200 B300 B300 S300 S300 S300

RP Strip B200 B200 B200 B200 B300 B300 S300 S300 S300

Control wafer recyle B200 B200 B200 B200 B300 B300 S300 S300 S300

Advanced

Package

Wafer scrubber S200/S300

RP StripB200/S200/S30

0

Ti/Cu etch S200/S300

Special IC

Thin wafer proces S200/S300

Glass clean S200/S300

IGBT B200/S200

GaAs B200/S200

Solar Wet etch B200/S200

Page 55: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.4 长川科技:半导体测试设备龙头,国家队加持

55

• 国内为数不多的自主研发、生产IC测试设备的企业1. 公司主要为IC封测企业、制造企业、设计企业等提供测试设备。集成电路测试设备主要包括测试机、

分选机和探针台等,目前公司主要产品包括测试机和分选机;2. 公司目前主打产品主要面向电源芯片、LED驱动芯片等大电流大功率芯片的测试,目前正积极布局数

字测试机、探针台等市场,相关产品已经在验证阶段,打开5倍以上成长空间。

• 士兰微电子• Monolithic

Power Systems

芯片设计

• 华润微电子

• 士兰微电子

晶圆制造

• 长电科技• 华天科技

• 通富微电

封装测试

客户遍布全产业链

图表:公司主要产品包括测试机和分选机

数据来源:长川科技、中信建投证券研究发展部

图表:公司客户遍布全产业链

数据来源:长川科技、中信建投证券研究发展部

Page 56: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.4 长川科技:半导体测试设备龙头,国家队加持

56

• 营业收入高速增长,利润率行业领先1. 2014-2017年公司营收和利润保持大幅增长,但由于受到内外部环境影响,公司2018年业绩短期承

压。2018年,公司实现营业收入2.16亿元,较上年同期增长20%;实现净利润0.36亿元,较上年同期减少27%。但是公司保持50%以上毛利率与15%(除2018年外其余年份高于25%)以上的净利率,足见公司产品竞争优势。

图表:公司保持了较高的毛利率与净利率 图表:2014-2017年,公司收入与净利均呈现高速增长

数据来源:WIND、中信建投证券研究发展部 数据来源:WIND、中信建投证券研究发展部

62% 63% 60% 57% 56%

31%25%

33%28%

17%

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

2014 2015 2016 2017 2018

销售毛利率 销售净利率

0.78

1.02

1.24

1.80

2.16

0.24 0.25 0.41

0.50 0.36

80%

30%22%

45%

20%

145%

3%

66%

21%

-27%-40%

-20%

0%

20%

40%

60%

80%

100%

120%

140%

160%

0.00

0.50

1.00

1.50

2.00

2.50

2014 2015 2016 2017 2018

营业收入(亿元) 归母净利润(亿元) 同比 同比

Page 57: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

4.5 精测电子:面板检测设备龙头,布局半导体检测+测试设备

57

• 国内唯一布局前道量测设备+后道测试设备的综合性检测设备公司1. 公司是面板检测设备龙头,在面板模组检测设备领域市占率近50-60%。除模组段之外,公司正向

ARRAY、CELL段检测设备拓展,打开5倍以上成长空间;

2. 近两年来,公司不断通过自主构建研发团队及并购引进技术等手段,努力实现半导体测试技术突破及产业化。2018年,公司先后设立武汉精鸿并参股IT&T,聚焦自动检测设备(ATE)领域(主要产品

是存储芯片测试设备);同时设立全资子公司上海精测半导体,主要聚焦于半导体前道(工艺控制)检测。2019年8月,公司公告拟收购WINTEST。后者主要产品为LCD/OLED驱动器芯片、CMOS图像传感器芯片的测试设备,有望对精测现有半导体ATE产品形成绝佳补充;

3. 此外,公司上海子公司已获大基金增持,主要布局前道量测设备,包括膜厚量测、OCD检测等。

•请参阅附注风险提示 •57

图表:对标检测设备海外龙头,基本都是从PCB领域拓展至FPD领域,最终切入半导体领域

数据来源:中信建投证券研究发展部

PCB检测

•精度要求:10-20μm

•厂商数量多,大部分国产设备厂商利用价格优势占据低端市场

FPD检测

• 精度要求;1μm到20μm

• 欧洲日韩台湾本土各有龙头;国产厂商凭借一体化优势和服务优势,绑定大客户的同时向前端突破

半导体检测

• 精度要求:小于1μm

• PCB、FPD领域领先厂商正向半导体检测突破;前者有台湾牧德,后者有由田新技

Page 58: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

五、风险提示

58

• 下游客户资本性支出波动较大及行业周期性特点带来的经营风险

• 下游客户扩产速度不及预期的风险

Page 59: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

分析师介绍吕娟:中信建投证券研究发展部董事总经理,上海区域总监,高端制造组组长,机械&建材行业首席分析师,复旦大学经济学硕士,法国EDHEC商学院金融工程交换生,河海大学机械工程及自动化学士,2007.07-2016.12曾就职于国泰君安证券研究所任机械首席分析师,2017.01-2019.07曾就职于方正证券研究所任董事总经理、副所长、机械首席分析师。曾获新财富、金牛、IAMAC、水晶球、第一财经、WIND最佳分析师第一名,实现了主流奖项大满贯。

59

研究服务保险组张博 010-85130905 [email protected]郭洁 -85130212 [email protected]郭畅 010-65608482 [email protected]张勇 010-86451312 [email protected]高思雨 010-8513-0491 [email protected]张宇 010-86451497 [email protected]北京公募组朱燕 85156403- [email protected]任师蕙 010-85159274 [email protected]黄杉 010-85156350 [email protected]杨济谦 010-86451442 [email protected]杨洁 010-86451428 [email protected]社保组吴桑 [email protected]创新业务组高雪 -86451347 [email protected]杨曦 -85130968 [email protected]李静 010-85130595 [email protected]黄谦 010-86451493 [email protected]王罡 021-68821600-11 [email protected]诺敏 010-85130616 [email protected]

上海销售组李祉瑶 010-85130464 [email protected]黄方禅 021-68821615 [email protected]戴悦放 021-68821617 [email protected]沈晓瑜 [email protected]翁起帆 021-68821600 [email protected]李星星 021-68821600-859 [email protected]范亚楠 021-68821600-857 [email protected]李绮绮 021-68821867 [email protected]薛姣 021-68821600 [email protected]王定润 [email protected]深广销售组曹莹 0755-82521369 [email protected]张苗苗 020-38381071 [email protected] SHUFENG 0755-23953843 [email protected]程一天 0755-82521369 [email protected]廖成涛 0755-22663051 [email protected]陈培楷 020-38381989 [email protected]

Page 60: 科技产业自主可控之半导体设备:乘自主可控趋势 东风,半导体设 …stock.tianyancha.com/ResearchReport/eastmoney/47f5... · ② 全球半导体行业景气度有望触底回暖

评级说明以上证指数或者深证综指的涨跌幅为基准。买入:未来6个月内相对超出市场表现15%以上;增持:未来6个月内相对超出市场表现5—15%;中性:未来6个月内相对市场表现在-5—5%之间;减持:未来6个月内相对弱于市场表现5—15%;卖出:未来6个月内相对弱于市场表现15%以上。

重要声明本报告仅供本公司的客户使用,本公司不会仅因接收人收到本报告而视其为客户。本报告的信息均来源于本公司认为可信的公开资料,但本公司及研究人员对这些信息的准确性和完整性不作任何保

证,也不保证本报告所包含的信息或建议在本报告发出后不会发生任何变更,且本报告中的资料、意见和预测均仅反映本报告发布时的资料、意见和预测,可能在随后会作出调整。我们已力求报告内容的客观、公正,但文中的观点、结论和建议仅供参考,不构成投资者在投资、法律、会计或税务等方面的最终操作建议。本公司不就报告中的内容对投资者作出的最终操作建议做任何担保,没有任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺。投资者应自主作出投资决策并自行承担投资风险,据本报告做出的任何决策与本公司和本报告作者无关。

在法律允许的情况下,本公司及其关联机构可能会持有本报告中提到的公司所发行的证券并进行交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或类似的金融服务。

本报告版权仅为本公司所有。未经本公司书面许可,任何机构和/或个人不得以任何形式翻版、复制和发布本报告。任何机构和个人如引用、刊发本报告,须同时注明出处为中信建投证券研究发展部,且不得对本报告进行任何有悖原意的引用、删节和/或修改。

本公司具备证券投资咨询业务资格,且本文作者为在中国证券业协会登记注册的证券分析师,以勤勉尽责的职业态度,独立、客观地出具本报告。本报告清晰准确地反映了作者的研究观点。本文作者不曾也将不会因本报告中的具体推荐意见或观点而直接或间接收到任何形式的补偿。

股市有风险,入市需谨慎。

中信建投证券研究发展部

北京 上海 深圳

东城区朝内大街2号凯恒中心B座12层(邮编:100010)电话:(8610) 8513-0588传真:(8610) 6560-8446

浦东新区浦东南路528号上海证券大厦北塔22楼2201室(邮编:200120)电话:(8621) 6882-1612传真:(8621) 6882-1622

福田区益田路6003号荣超商务中心B座22层(邮编:518035)电话:(0755)8252-1369传真:(0755)2395-3859

60