chapter 5 thermal processes

119
1 Chapter 5 Thermal Processes

Upload: others

Post on 07-Dec-2021

18 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Chapter 5 Thermal Processes

1

Chapter 5

Thermal Processes

Page 2: Chapter 5 Thermal Processes

2

Objective

• List four thermal processes

• Describe thermal process in IC fabrication

• Describe thermal oxidation process

• Explain the advantage of RTP over furnace

• Relate your job or products to the processes

Page 3: Chapter 5 Thermal Processes

3

Topics

• Introduction

• Hardware

• Oxidation

• Diffusion

• Annealing

– Post-Implantation

– Alloying

– Reflow

• High Temp CVD

– Epi

– Poly

– Silicon Nitride

• RTP

– RTA

– RTP

• Future Trends

Page 4: Chapter 5 Thermal Processes

4

Oxidation Mechanism

• Si + O2 SiO2

• Oxygen comes from gas

• Silicon comes from substrate

• Oxygen diffuse cross existing silicon

dioxide layer and react with silicon

• The thicker of the film, the lower of the

growth rate

Page 5: Chapter 5 Thermal Processes

5

Oxide Growth Rate RegimeO

xid

e T

hic

knes

s

Oxidation Time

Linear Growth Regime

B

AX = t

Diffusion-limited Regime

X = B t

Page 6: Chapter 5 Thermal Processes

6

<100> Silicon Dry Oxidation

2 4 6 8 10 12 14 16 18 20

0.2

0

0.4

0.6

0.8

1.0

1.2

Oxidation Time (hours)

Oxid

e T

hic

knes

s (m

icro

n)

1200

°C

1150

°C1100

°C1050

°C1000

°C950 °C

900 °C

<100> Silicon Dry Oxidation

Page 7: Chapter 5 Thermal Processes

7

Wet (Steam) Oxidation

• Si + 2H2O SiO2 + 2H2

• At high temperature H2O is dissociated to H

and H-O

• H-O diffuses faster in SiO2 than O2

• Wet oxidation has higher growth rate than

dry oxidation.

Page 8: Chapter 5 Thermal Processes

8

<100> Silicon Wet Oxidation Rate

2 4 6 8 10 12 14 16 18 20

0.5

0

1.0

1.5

2.0

2.5

3.0

Oxidation Time (hours)

Oxid

e T

hic

knes

s (m

icro

n)

1150

°C1100

°C1050

°C1000

°C950 °C

900 °C

<100> Silicon Wet Oxidation

Page 9: Chapter 5 Thermal Processes

9

Oxidation Rate

• Temperature

• Chemistry, wet or dry oxidation

• Thickness

• Pressure

• Wafer orientation (<100> vs. <111>)

• Silicon dopant

Page 10: Chapter 5 Thermal Processes

10

• Oxidation rate is very sensitive (exponentially related) to temperature.

• Higher temperature will have much higher oxidation rate.

• The higher of temperature is, the higher of the chemical reaction rate between oxygen and silicon is and the higher diffusion rate of oxygen in silicon dioxide is.

Oxidation Rate & Temperature

Page 11: Chapter 5 Thermal Processes

11

Oxidation Rate & Wafer Orientation

• <111> surface has higher oxidation rate

than <100> surface.

• More silicon atoms on the surface.

Page 12: Chapter 5 Thermal Processes

12

Wet Oxidation Rate

1 2 3 40

Oxidation Time (hours)

0.4

0.8

1.2

1.6

0.2

0.6

1.0

1.4

1.8O

xid

e T

hic

knes

s (m

icro

n)

<111> Orientation

95 °C Water

1200

°C1100

°C

1000

°C

920

°C

Page 13: Chapter 5 Thermal Processes

13

• Dopant elements and concentration.

• Highly phosphorus doped silicon has higher

growth rate, less dense film and etch faster.

• Generally highly doped region has higher

grow rate than lightly doped region.

• More pronounced in the linear stage (thin

oxides) of oxidation.

Oxidation Rate & Dopant Concentration

Page 14: Chapter 5 Thermal Processes

14

Oxidation: Dopants

Pile-up and Depletion Effects

•N-type dopants (P, As, Sb) have higher

solubility in Si than in SiO2, when SiO2

grow they move into silicon, it is call

pile-up or snowplow effect.

•P-type dopant (Boron) tends to go to

SiO2, it is called depletion effect.

Page 15: Chapter 5 Thermal Processes

15

Depletion and Pile-up Effects

Si-SiO2 interface

SiO2

Dopan

t C

once

ntr

atio

n

Si

Si-SiO2 interface

SiO2D

opan

t C

once

ntr

atio

nSi

P-type Dopant Depletion N-type dopant Pile-up

Original Si Surface Original Si Surface

Original Distribution

Page 16: Chapter 5 Thermal Processes

16

Oxidation Rate

Doped oxidation (HCl)

• HCl is used to reduce mobile ion contamination.

• Widely used for gate oxidation process.

• Growth rate can increase from 1 to 5 percent.

Page 17: Chapter 5 Thermal Processes

17

Oxidation Rate

Differential Oxidation

• The thicker of the oxide film is, the slower of

the oxidation rate is.

• Oxygen need more time to diffuse cross the

existing oxide layer to react with substrate

silicon.

Page 18: Chapter 5 Thermal Processes

18

Pre-oxidation Clean

• Thermally grown SiO2 is amorphous.

• Tends to cross-link to form a crystal.

• In nature, SiO2 exists as quartz and sand.

• Defects and particles can be the nucleation sites.

• Crystallized SiO2 with poor barrier capability.

• Need clean silicon surface before oxidation.

Page 19: Chapter 5 Thermal Processes

19

Oxidation Process

• Dry Oxidation, thin oxide

– Gate oxide

– Pad oxide, screen oxide, sacrificial oxide, etc.

• Wet Oxidation, thick oxide

– Field oxide

– Diffusion masking oxide

Page 20: Chapter 5 Thermal Processes

20

Dry Oxidation System

To

Process

Tube

MFC

MFC

MFC

Control Valves

Regulator

Pro

cess

N2

Purg

e N

2

O2

HC

l

MFC

Page 21: Chapter 5 Thermal Processes

21

Dry Oxidation

• Dry O2 as the main process gas

• HCl is used to remove mobile ions for

gate oxidation

• High purity N2 as process purge gas

• Lower grade N2 as idle purge gas

Page 22: Chapter 5 Thermal Processes

22

Gate Oxidation Steps

• Idle with purge N2 flow

• Idle with process N2 flow

• Wafer boats push-in with process N2 flow

• Temperature ramp-up with process N2 flow

• Temperature stabilization with process N2 flow

• Oxidation with O2, HCl, stop N2 flow

Page 23: Chapter 5 Thermal Processes

23

Dangling Bonds and Interface Charge

SiO2

Si

+ + + + +

Dangling

Bond

Si-SiO2

Interface

Interface State Charge (Positive)

Page 24: Chapter 5 Thermal Processes

24

Gate Oxidation Steps, Continue

• Oxide annealing, stop O2, start process flow N2

• Temperature cool-down with process N2 flow

• Wafer boats pull-out with process N2 flow

• Idle with process N2 flow

• Next boats and repeat process

• Idle with purge N2 flow

Page 25: Chapter 5 Thermal Processes

25

Wet Oxidation Process

• Faster, higher throughput

• Thick oxide, such as LOCOS

• Dry oxide has better quality

Process Temperature Film Thickness Oxidation Time

Dry oxidation 1000 °C 1000 Å ~ 2 hours

Wet oxidation 1000 °C 1000 Å ~ 12 minutes

Page 26: Chapter 5 Thermal Processes

26

Water Vapor Sources

• Boiler

• Bubbler

• Flush

• Pyrogenic

Page 27: Chapter 5 Thermal Processes

27

MFCProcess

Tube

Water

Heater

Heated Gas line Heated Fore line

Exhaust

Vapor Bubbles

Boiler System

Page 28: Chapter 5 Thermal Processes

28

Bubbler System

Heater

MFCProcess

Tube

Exhaust

N2

N2 Bubbles

Heated Gas Line

N2 + H2O

Water

Page 29: Chapter 5 Thermal Processes

29

Flush System

MFCProcess

Tube

Water

N2

Hot Plate

Heater

Page 30: Chapter 5 Thermal Processes

30

Pyrogenic Steam System

H2

O2

Thermal Couple

To

Exhaust

Hydrogen Flame, 2 H2 + O2 → 2 H2O

Process Tube Wafer Boat

Paddle

Page 31: Chapter 5 Thermal Processes

31

Pyrogenic System

• Advantage

– All gas system

– Precisely control of flow rate

• Disadvantage

– Introducing of flammable, explosive hydrogen

• Typical H2:O2 ratio is between 1.8:1 to 1.9:1.

Page 32: Chapter 5 Thermal Processes

32

Pyrogenic Wet Oxidation System

MFC

MFC

MFC

Control Valves

Regulator

Pro

cess

N2

Purg

e N

2

O2

H2

MFC

Scrubbier

Exhaust

Process Tube

Wafers Burn Box

Page 33: Chapter 5 Thermal Processes

33

Wet Oxidation Process Steps

• Idle with purge N2 flow

• Idle with process N2 flow

• Ramp O2 with process N2 flow

• Wafer boat push-in with process N2 and O2 flows

• Temperature ramp-up with process N2 and O2 flows

• Temperature stabilization with process N2 and O2 flows

• Ramp O2, turn-off N2 flow

• Stabilize the O2 flow

Page 34: Chapter 5 Thermal Processes

34

Wet Oxidation Process Steps

• Turn-on H2 flow, ignition and H2 flow stabilization

• Steam oxidation with O2 and H2 flow

• Hydrogen termination, turn-off H2 while keeping O2 flow

• Oxygen termination, turn-off O2 start process N2 flow

• Temperature ramp-down with process N2 flow

• Wafer boat pull-out with process N2 flow

• Idle with process N2 flow

• Next boats and repeat process

• Idle with purge N2 flow

Page 35: Chapter 5 Thermal Processes

35

Rapid Thermal Oxidation

• For gate oxidation of deep sub-micron device

• Very thin oxide film, < 30 Å

• Need very good control of temperature

uniformity, WIW and WTW.

• RTO will be used to achieve the device

requirement.

Page 36: Chapter 5 Thermal Processes

36

RTP Process Diagram

Time

Ramp

up 1& 2

Cool

down

RTALoad

wafer

Unload

wafer

RTO

O2 flow

N2 flow

HCl flow

Temperature

Page 37: Chapter 5 Thermal Processes

37

High Pressure Oxidation

• Faster growth rate

• Reducing oxidation temperature:

– 1 amt. = –30 °C

• Higher dielectric strength

• Thicker film

Page 38: Chapter 5 Thermal Processes

38

High Pressure Oxidation

High Pressure

Inert Gas

High Pressure

Oxidant Gas

Stainless Steel Jacket

Quartz Process Chamber

Page 39: Chapter 5 Thermal Processes

39

High Pressure Oxidation

Temperature Pressure Time

1 atmosphere 5 hours

1000 C 5 atmosphere 1 hour

25 atmosphere 12 minutes

Oxidation time to grow 10,000 Å wet oxide

Page 40: Chapter 5 Thermal Processes

40

High Pressure Oxidation

Time Pressure Temperature

1 atmosphere 1000 C

5 hours 10 atmosphere 700 C

Oxidation temperature to grow 10,000 Å wet oxide in 5 hours

Page 41: Chapter 5 Thermal Processes

41

High Pressure Oxidation

• Complex system

• Safety issues

• Not widely used in IC production

Page 42: Chapter 5 Thermal Processes

42

Oxide Measurement

• Thickness

• Uniformity

• Color chart

• Ellipsometry

• Reflectometry

• Gate oxide

• Break down

voltage

• C-V

characteristics

Page 43: Chapter 5 Thermal Processes

43

Linearly Polarized Incident Light

Elliptically Polarized

Reflected Light

n1, k1, t1

n2, k2

sp

Ellipsometry

Page 44: Chapter 5 Thermal Processes

44

t

21

Substrate

Dielectric film, n(l)

Incident light

Human eye or

photodetector

Reflectometry

Page 45: Chapter 5 Thermal Processes

45

C-V Test Configuration

Capacitor

Meter

Aluminum

Metal Platform

Silicon

Oxide

Large Resistor

HeaterHeater

Page 46: Chapter 5 Thermal Processes

46

Summary of Oxidation

• Oxidation of silicon

• High stability and relatively easy to get.

• Application

– Isolation, masking, pad, barrier, gate, and etc.

• Wet and Dry

• More dry processes for advanced IC chips

• Rapid thermal oxidation and annealing for

ultra-thin gate oxide

Page 47: Chapter 5 Thermal Processes

47

Diffusion

Page 48: Chapter 5 Thermal Processes

48

Diffusion

• Most common physics phenomena

• Materials disperse from higher concentration

to lower concentration region

• Silicon dioxide as diffusion mask

• Was widely used for semiconductor doping

• “Diffusion Furnace” and “Diffusion Bay”

Page 49: Chapter 5 Thermal Processes

49

Illustration of Diffusion Doping

Dopant

Silicon

Page 50: Chapter 5 Thermal Processes

50

Illustration of Diffusion Doping

Dopant

Silicon

Junction Depth

Page 51: Chapter 5 Thermal Processes

51

Definition of Junction depth

Background dopant concentration

Junction Depth, xj

Distance from the wafer surface

Dopant Concentration

Page 52: Chapter 5 Thermal Processes

52

Diffusion

N-Silicon

Masking Oxide

N-Siliconp+ p+

Masking Oxide

Page 53: Chapter 5 Thermal Processes

53

Diffusion

• Replaced by ion implantation due to the less

process control

• Still being used in drive-in for well formation

Page 54: Chapter 5 Thermal Processes

54

Thermal Budget

• Dopant atoms diffuse fast at high temperature

D = D0 exp (–EA/kT)

• Smaller device geometry, less room for dopant

thermal diffusion, less thermal budget

• Thermal budget determines the time and

temperature of the post-implantation thermal

processes

Page 55: Chapter 5 Thermal Processes

55

Illustration of Thermal Budget

As S/D Implantation Over Thermal Budget

Gate

Page 56: Chapter 5 Thermal Processes

56

1 mm

Thermal Budget

1

10

100

1000

104/T (K)7 8 9 10

10001100 900 800

2 mm

0.5 mm

0.25 mm

Ther

mal

Budget

(se

c)

T (C)

Source: Chang

and Sze, ULSI

Technology

Page 57: Chapter 5 Thermal Processes

57

Diffusion Doping Process

• Both dopant concentration and junction depth

are related to temperature.

• No way to independently to control both factor

• Isotropic dopant profile

• Replaced by ion implantation after the mid-

1970s.

Page 58: Chapter 5 Thermal Processes

58

Diffusion Doping Process

• Silicon dioxide as hard mask

• Deposit dopant oxide

• Cap oxidation

– prevent dopant diffusion into gas phase

• Drive-in

Page 59: Chapter 5 Thermal Processes

59

Diffusion Doping Process

• Oxidation, photolithography and oxide etch

• Pre-deposition:

B2H6 + 2 O2 → B2O3 + 3 H2O

• Cap oxidation:

2 B2O3 + 3 Si → 3 SiO2 + 4 B

2 H2O + Si → SiO2 + 2 H2

• Drive-in

– Boron diffuses into silicon substrate

Page 60: Chapter 5 Thermal Processes

60

Diffusion Doping Process

• Oxidation, photolithography and oxide etch

• Deposit dopant oxide:

4POCl3 + 3O2 → 2P2O5 + 3Cl2

• Cap oxidation

2P2O5 + 5Si → 5SiO2 + 4P

– Phosphorus concentrates on silicon surface

• Drive-in

– Phosphorus diffuses into silicon substrate

Page 61: Chapter 5 Thermal Processes

61

Phosphorus Diffusion System

MFC

MFC

MFC

Control Valves

Regulator

Pro

cess

N2

Pu

rge

N2

O2

PO

Cl 3

MFC

Scrubbier

Exhaust

Process Tube

Wafers Burn Box

Page 62: Chapter 5 Thermal Processes

62

Si Substrate

Wafer Clean

Page 63: Chapter 5 Thermal Processes

63

Si Substrate

Oxidation

SiO2

Page 64: Chapter 5 Thermal Processes

64

Si Substrate

Doped Area Patterning

SiO2

PR

Page 65: Chapter 5 Thermal Processes

65

Si Substrate

Etch Silicon Dioxide

SiO2

PR

Page 66: Chapter 5 Thermal Processes

66

Si Substrate

Strip Photoresist

SiO2

Page 67: Chapter 5 Thermal Processes

67

Si Substrate

Wafer Clean

SiO2

Page 68: Chapter 5 Thermal Processes

68

Si Substrate

Dopant Oxide Deposition

SiO2

Deposited Dopant Oxide

Page 69: Chapter 5 Thermal Processes

69

Si Substrate

Cap Oxidation

SiO2

Page 70: Chapter 5 Thermal Processes

70

Phosphoric Oxide Deposition and

Cap Oxidation

N2 Flow

POCl3 Flow

O2 Flow

Push PullTemp

Ramp

Temp.

Stab.Dopant Deposition Cap

Oxide

Ramp

Down

Wafer

Position

Temperature

N2

Vent

Page 71: Chapter 5 Thermal Processes

71

Drive-in

Si Substrate

SiO2

Page 72: Chapter 5 Thermal Processes

72

Si Substrate

Strip Oxide, Ready for Next Step

SiO2

Page 73: Chapter 5 Thermal Processes

73

Phosphorus Drive-in

Temperature

N2 Flow

O2 Flow

Push Temp

Ramp

Temp.

Stab.Drive-in

Wafer

Position

PullRamp

Down

Stab.

Page 74: Chapter 5 Thermal Processes

74

Limitations and Applications

• Diffusion is isotropic process and always

dope underneath masking oxide

• Can’t independently control junction depth

and dopant concentration

• Used for well implantation drive-in

• R&D for ultra shallow junction (USJ)

formation

Page 75: Chapter 5 Thermal Processes

75

Application of Diffusion: Drive-in

• Wells have the deepest junction depth

• Need very high ion implantation energy

• Cost of MeV ion implanters is very high

• Diffusion can help to drive dopant to the

desired junction depth while annealing

Page 76: Chapter 5 Thermal Processes

76

Well Implantation and Drive-in

P-Epi

Photoresist

N-Well

P+

P-EpiN-Well

Page 77: Chapter 5 Thermal Processes

77

Diffusion for Boron USJ Formation

• Small devices needs ultra shallow junction

• Boron is small and light, implanter energy

could be too high for it goes too deep

• Controlled thermal diffusion is used in

R&D for shallow junction formation

Page 78: Chapter 5 Thermal Processes

78

Surface Clean

Si Substrate

STI STI

Silicide

Sidewall Spacer Sidewall Spacer

Page 79: Chapter 5 Thermal Processes

79

BSG CVD

Si Substrate

STI STI

Boro-Silicate Glass

Silicide

Sidewall Spacer Sidewall Spacer

Page 80: Chapter 5 Thermal Processes

80

RTP Dopant Drive-in

Si Substrate

STI

Boro-Silicate Glass

STI

SilicidePolysilicon

Gate Oxide

Page 81: Chapter 5 Thermal Processes

81

Strip BSG

Si Substrate

STISTI

SilicidePolysilicon

Gate Oxide

Page 82: Chapter 5 Thermal Processes

82

Doping Measurement

• Four-point probe

Rs = r/t

Page 83: Chapter 5 Thermal Processes

83

Four-Point Probe Measurement

S1 S2 S3

P1 P2 P3 P4

V

I

Substrate

Doped Region

Page 84: Chapter 5 Thermal Processes

84

Summary of Diffusion

• Physics of diffusion is well understood

• Diffusion was widely used in doping

processes in early IC manufacturing

• Replaced by ion implantation since the mid-

1970s

Page 85: Chapter 5 Thermal Processes

85

Annealing and RTP Processes

Page 86: Chapter 5 Thermal Processes

86

Post-implantation Annealing

• Energetic ions damage crystal structure

• Amorphous silicon has high resistivity

• Need external energy such as heat for atoms

to recover single crystal structure

• Only in single crystal structure dopants can

be activated

Page 87: Chapter 5 Thermal Processes

87

Post-implantation Annealing

• Single crystal structure has lowest potential

energy

• Atoms tend to stop on lattice grid

• Heat can provide energy to atoms for fast

thermal motion

• Atoms will find and settle at the lattice grid

where has the lowest potential energy position

• Higher temperature, faster annealing

Page 88: Chapter 5 Thermal Processes

88

Before Ion Implantation

Lattice Atoms

Page 89: Chapter 5 Thermal Processes

89

After Ion Implantation

Dopant AtomLattice Atoms

Page 90: Chapter 5 Thermal Processes

90

Thermal Annealing

Dopant AtomLattice Atoms

Page 91: Chapter 5 Thermal Processes

91

Thermal Annealing

Dopant AtomsLattice Atoms

Page 92: Chapter 5 Thermal Processes

92

Alloy Annealing

• A thermal process in which different atoms

chemically bond with each other to form a

metal alloy.

• Widely used in silicide formation

• Self aligned silicide (salicide)

– Titanium silicide, TiSi2

– Cobalt silicide, CoSi2

• Furnace and RTP

Page 93: Chapter 5 Thermal Processes

93

Silicide

• Much lower resistivity than polysilicon

• Used as gate and local interconnection

• Used as capacitor electrodes

• Improving device speed and reduce heat

generation

• TiSi2, WSi2 are the most commonly used

silicide

• CoSi2, MoSi2, and etc are also used

Page 94: Chapter 5 Thermal Processes

94

Titanium Silicide Process

• Argon sputtering clean

• Titanium PVD

• RTP Anneal, ~700 °C

• Strip titanium, H2O2:H2SO4

Page 95: Chapter 5 Thermal Processes

95

Titanium Silicide ProcessTitanium

n+n+STI p+ p+

USG

Polysilicon

n+n+ USGUSGSTI

Titanium Silicide

n+n+ USG p+ p+USGSTI

Titanium SilicideSidewall Spacer

Ti Deposition

Annealing

Ti Strip

p+ p+

Page 96: Chapter 5 Thermal Processes

96

Aluminum-silicon Alloy

• Form on silicon surface

• Prevent junction spiking due to silicon

dissolving in aluminum

Page 97: Chapter 5 Thermal Processes

97

p+p+

Junction Spike

n-type Silicon

AlAl AlSiO2

Page 98: Chapter 5 Thermal Processes

98

Rapid Thermal Process

Page 99: Chapter 5 Thermal Processes

99

Rapid Thermal Processing (RTP)

• Mainly used for post-implantation rapid

thermal anneal (RTA) process.

• Fast temperature ramp-up, 100 to 150 °C/sec

compare with 15 °C/min in horizontal

furnace.

• Reduce thermal budget and easier process

control.

Page 100: Chapter 5 Thermal Processes

100

Rapid Thermal Processing (RTP)

• Single wafer rapid thermal CVD (RTCVD)

chamber can be used to deposit polysilicon and

silicon nitride.

• RTCVD chamber can be integrated with other

process chamber in a cluster tool for in-line

process.

• Thin oxide layer (< 40 Å) is likely to be grown

with RTO for WTW uniformity control.

Page 101: Chapter 5 Thermal Processes

101

Schematic of RTP Chamber

External Chamber

IR

Quartz

Chamber

Wafer

Process

Gases

Tungsten-Halogen Lamp

Page 102: Chapter 5 Thermal Processes

102

Lamp Array

Bottom

Lamps

Wafer

Top

Lamps

Page 103: Chapter 5 Thermal Processes

103

RTP Chamber

Photo courtesy of

Applied Materials, Inc

Page 104: Chapter 5 Thermal Processes

104

Annealing and Dopant Diffusion

• At higher temperature >1100 °C anneal is

faster than diffusion

• Post implantation prefer high temperature

and high temperature ramp rate.

• Single wafer rapid thermal process tool has

been developed initially for this application

Page 105: Chapter 5 Thermal Processes

105

Annealing and Dopant Diffusion

• Dopant atoms diffuse at high temperature

• Furnace has low temperature ramp rate

(~10 °C/min) due to large thermal capacity

• Furnace annealing is a long process which

causes more dopant diffusion

• Wafer at one end gets more anneal than

wafer at another end

Page 106: Chapter 5 Thermal Processes

106

Anneal Rate and Diffusion Rate

Temperature

Anneal Rate

Diffusion Rate

Page 107: Chapter 5 Thermal Processes

107

Dopant Diffusion After Anneal

Furnace annealRTA

Gate

Page 108: Chapter 5 Thermal Processes

108

Advantage of RTP over Furnace

• Much faster ramp rate (75 to 150 °C/sec)

• Higher temperature (up to 1200 °C)

• Faster process

• Minimize the dopant diffusion

• Better control of thermal budget

• Better wafer to wafer uniformity control

Page 109: Chapter 5 Thermal Processes

109

RTP Temperature Change

Time

Tem

per

ature

Ramp

up

Cool downAnnealLoad

wafer

Unload

wafer

N2 Flow

Page 110: Chapter 5 Thermal Processes

110

Thermal Nitridization

• Titanium PVD

• Thermal nitridization with NH3

NH3 + Ti → TiN + 3/2 H2

Page 111: Chapter 5 Thermal Processes

111

Titanium Nitridization

SiO2

SiO2

Ti

Ti TiN

Page 112: Chapter 5 Thermal Processes

112

RTO Process

• Ultra thin silicon dioxide layer < 30Å

• Better WTW uniformity

• Better thermal budget control

Page 113: Chapter 5 Thermal Processes

113

RTP Process Diagram

Time

Ramp

up 1& 2

Cool

down

RTALoad

wafer

Unload

wafer

RTO

O2 flow

N2 flow

HCl flow

Temperature

Page 114: Chapter 5 Thermal Processes

114

Future Tends

• Rapid thermal process (RTP)

• In-situ process monitoring

• Cluster tools

• Furnace will still be used

Page 115: Chapter 5 Thermal Processes

115

Cluster Tool

Transfer

Chamber

RTCVD

a-Si

Loading Station

HF Vapor

Clean

RTO/RTP

Cool

down

Unloading Station

Page 116: Chapter 5 Thermal Processes

116

Summary of Anneal and RTP

• The most commonly used anneal processes are

post-implantation annealing, alloy annealing and

reflow

• Thermal anneal is required after ion implantation

for recover crystal structure and activation

dopant atoms

• Thermal anneal helps metal to react with silicon

to form silicides

Page 117: Chapter 5 Thermal Processes

117

• Metal anneal helps to form larger grain size and

reduces the resistivity

• RTP becomes more commonly used in annealing

processes

Summary of Anneal and RTP

Page 118: Chapter 5 Thermal Processes

118

• Advantages of RTP

– Much faster ramp rate (75 to 150 °C/sec)

– Higher temperature (up to 1200 °C)

– Faster process

– Minimize the dopant diffusion

– Better control of thermal budget

– Better wafer to wafer uniformity control

Summary of Anneal and RTP

Page 119: Chapter 5 Thermal Processes

119

Summary of Thermal Process

• Oxidation, diffusion, annealing, and deposition

• Wet oxidation is faster, dry oxidation has better

film quality. Advanced fab: mainly dry oxidation.

• Diffusion doping with oxide mask, used in lab

• LPCVD polysilicon and front-end silicon nitride

• Annealing recovers crystal and activates dopants

• RTP: better control, faster and less diffusion

• Furnaces: high throughput and low cost, will

continue to be used in the future fabs