continued scaling in semiconductor manufacturing with euv ... · 2018 euvl workshop slide 4 public....

38
Anthony Yen 2018 EUVL Workshop, Berkeley, CA, 14 June 2018 Continued Scaling in Semiconductor Manufacturing with EUV Lithography Vice President, Technology Development Centers Public

Upload: others

Post on 19-Jul-2020

52 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Anthony Yen

2018 EUVL Workshop, Berkeley, CA, 14 June 2018

Continued Scaling in Semiconductor Manufacturingwith EUV Lithography

Vice President, Technology Development Centers

Public

Page 2: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

2018 EUVL Workshop

Slide 2

PublicMay 4, 2018

Confidential

1984

2018

Page 3: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

NXE:33x0 and NXE:3400

10

32

4

44

12

97

3

’13 ’15’14 ’16 ’20’19’18’17

22

Planned

Shipments

Installed Base

EUV HVM introduction targeted at 7nm is supported

by customer shipments and orders

Installed base of EUV systems is expected to double in 2018

HVM rampR&D

Q1: 3

42

2018 EUVL Workshop

Slide 3

Public

20

Page 4: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

TWINSCAN EUV product roadmapSupports customer roadmaps well into the next decade

Study

Development

Released

Current

Product

status Definition

Next1.1nm|≥ 170 wph

NXE:3400C1.7nm|155wph

+PEP145wph

+OFP1.7nm

NXE:3400B2.0nm|125wph

High NA1.1nm|185wph

NXE:3350B2.5|125wph

0.55 NAres: 8nm

0.33 NAres: 13nm

ProductMatched Overlay, Throughput

2016 2017 2018 2019 2020 2021 2022 2023 2024

3400B uptime improving to >90% for 2018/2019 HVM,

extending productivity to >150 W/hr @ 20 mJ/cm²

2018 EUVL Workshop

Slide 4

Public

Page 5: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Productivity has been increasingSecured EUV power is matched with increasing availability

Productivity = Throughput(EUV Power) Availability

Source power

from 10 W to > 250 W

Drive laser power from 20 to 40 kW

Conversion efficiency (CE) from 2 to 6% (Sn droplet)

Dose overhead from 50 to 10%

Optical transmission

Source availability

Drive laser reliability

Droplet generator reliability & lifetime

Automation

Collector protection

EUV Power= (CO2 laser power CE transmission)*(1-dose overhead)

2018 EUVL Workshop

Slide 5

Public

Page 6: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

EUV 250W source industrialization From proto to industrialized module in 1 year

Pre-Pilot

250W integrated on NXE:3400B

125 wph demonstrated

Proto

Stand-alone Source

250 Watt demonstrated

Industrialized module

1 Meter

Final industrialized 250W

source, completed Q4-17

2018 EUVL Workshop

Slide 6

Public

Pre-Pulse

Iso

lato

r

PreAmp PASeed

op

tics

op

tics PAo

pti

cs

op

tics PAo

pti

cs

Power Amplifier

Beam Transport SystemFab Floor

Sub-Fab FloorMaster Oscillator

PA op

tics

Page 7: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Open Loop Performance >30%With enhanced

isolation

Before enhanced

isolation

Reduced

Sigma

3.9mJ5.1mJ

Benefits of enhanced isolation:

• Higher, stable CO2 laser power lower dose overhead

• High conversion efficiency operation higher pulse energy

Dose

ove

rhe

ad

requ

ire

d

to m

ee

t d

ose

sp

ec

Without

enhanced

isolation

30%

20%

10%<10.5%

~30%

With

enhanced

isolation

His

togra

m

His

togra

m

Enhanced laser-target isolation improves performance

2018 EUVL Workshop

Slide 7

Public

Page 8: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

EUV Source operation at 250W with 99.90% fields meeting dose spec

Operation Parameters

Repetition Rate 50kHz

MP power on droplet 21.5kW

Conversion Efficiency 6.0%

Collector Reflectivity 41%

Dose Margin 10%

EUV Power 250 W

Open Loop Performance

BaselineImproved Isolation

2018 EUVL Workshop

Slide 8

Public

Page 9: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Sn droplet /

plasma

H2 flow

Reaction of H radicals with

Sn to form SnH4, which can

be pumped away

Sn (s) + 4H (g) SnH4 (g)

• Hydrogen buffer gas causes

deceleration of ions

• Hydrogen flow away from collector

reduces atomic tin deposition rate

Laser beam

Sn

catcher

DG

EUV collector

Temperature controlled

• Vessel with vacuum pumping to

remove hot gas and tin vapor

• Internal hardware to collect micro

particles

Collector protection by hydrogen flow

Clever collector protection at 250W of source power has been found and is being implemented in the field

IF

2018 EUVL Workshop

Slide 9

Public

Page 10: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

1. Clean system (without pellicle)

Reflected

illumination

EUV Reticle (13.5nm)

Reticle

2. EUV pellicle

particle

pellicle

Two-fold approach to eliminate reticle front-side defects

Reticle Reticle with pellicle

2018 EUVL Workshop

Slide 10

Public

Page 11: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

10x improvement for reticle defectivity in 2017 Further improvement to <1/10k expected in 2018

NXE3350B:

50/10k

NXE3400B:

adders

per

10k w

afe

rs (

52n

m)

HVM

Flushing, parts

cleanliness and

reticle clamp

improvements

provide >2x

improvement

Scanner in-situ

cleaning and

hydrogen curtains

provide >4x

improvement

17H1 17H2 2018

50 -

5 -

1 -

POD, parts

cleanliness and

miscellaneous

improvements

ongoing to reach

<1/10k

VHV factory; 6 /10k

22 nm LS imaging test

2018 EUVL Workshop

Slide 11

Public

Page 12: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

0

20

40

60

80

100

120

140

1 2 3 4 5

Thro

ugh

pu

t [W

PH

]at

20

mJ/

cm2

Productivity roadmap towards >125 WPH in place

Source power increase Source power increase

Transmission improvement

Faster

wafer swap

Transmission

improvement

Source power scaling

continues to support

productivity roadmap

resulting in

~8 wph gain

2018 EUVL Workshop

Slide 12

Public

Page 13: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Throughput of 140 WPH achieved at 246W

Throughput of 140 WPH achieved at 246WMatched and single machine overlay meet spec

Actual:

195W

Target:

205W

Overlay in spec at 125 WPH throughput~200W power at IF with proto version SIM

Throughput without pellicle

140

WPH

Throughput without pellicle125

WPH

Full field, 96 fields at 20 mJ/cm2

*Measured 116 WPH using pellicle with >83% transmission

without DGL membrane. Throughput with membrane is calculated.

**Improvement plan for pellicle transmission to 88% and DGL

membrane transmission to 90% included

Throughput with pellicle+DGLm

>100*

WPH

Full field, 96 fields at 20 mJ/cm2

Full field, 96 fields at 20 mJ/cm2

Target

Road-

map

125**

WPH

>150

WPHActual:

246W

Target:

250W

Actual:

246W

Target:

250W

2018 EUVL Workshop

Slide 13

Public

Page 14: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

3rd-gen. droplet generators: average lifetime ~780 hours

Performance

parameterGen III

Key Features Restart & Refill capable

Run-time~780 hours (average)

2700 hours (max)

Start-up yield >95%

Availability 95%

Droplet diameter 27 µm

Weekly average service time

2018 EUVL Workshop

Slide 14

Public

Page 15: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Contact hole measurements with HMI eP5

50 Million measurements to reveal true

histogram and failures within 2 hrs

Probability plot with Gaussian fit

Example of a missing hole

Missing CH

2018 EUVL Workshop

Slide 15

Public

Page 16: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Hole clearing or closing if the process were a continuum

Hole (just) clears when

peak intensity = clearing threshold

Photoresist, absorbs light, produces

acid, acid deblocks polymer;

Deblocked polymer becomes soluble

Polymer blocking level

If viewed sideways

At clearing dose, dissolution

trajectory just reaches bottom.

bottom

Top o

f film

botto

m

Photoresist

dissolution

curve

Hole

center

blocking

profile

At 94% of clearing dose

At clearing dose

Blocking level profile +

dissolution curve

determines hole clearing

Aerial image

inte

nsity

Latent image

2018 EUVL Workshop

Slide 16

Public

Top of film

Page 17: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Let’s focus on closed holes(performed 10000 calculations per dose)

Photon and acid counts in a hole cylinder* for all

closed holes observed

Results of 10000 calcs

* 15nm is approximate CD of holes where closing reaches ~10%

30mJ/cm2 CD~15nm 36mJ/cm2 CD~19.5nm

39mJ/cm2 CD~21.2nm33mJ/cm2 CD~17.4nm

As dose increases, hole closing

is less and less due to low

photon or acid countsData for 10000 holes

2018 EUVL Workshop

Slide 17

Public

Page 18: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Local effects “beyond dose”

Why is this

hole closed?

(seed 58)

Compare seed 58 with others

seed 43

#abs photons in cyl # acids

stochastic

seed

nom dose

mJ/cm2 CD (nm) 27nm 15nm 15nm

58 30 0 961 378 1799

38 30 15.5 913 377 1845

43 30 13 971 401 1771

seed 38seed 58

closed hole

fewer photons but open

fewer acids but open

2018 EUVL Workshop

Slide 18

Public

Page 19: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Local effects “beyond dose”

seed 43seed 38seed 58developed

images

latent

images

De-blocked

polymer

profile gives

dissolution

path to

bottom

No

dissolution

path to

bottom!

road block

Spatial distribution of

components determine hole

closing near threshold dose2018 EUVL Workshop

Slide 19

Public

Page 20: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

An idea (from Hansen) for extrapolation to low HCR

seed6

Complex CAR model Simplified direct photolysis

model with no quencher 2018 EUVL Workshop

Slide 20

Public

seed 58

developed

images

latent images No

dissolution

path to

bottom!

road block

Mechanism for closing is still

local fluctuations – lower

frequency variation with this

simpler, “more deterministic”

process

Page 21: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Our findings on EUV resists

• Simulations show that hole closing is not simply explained by local dose

variations

• Spatially dependent concentration variations (fluctuations) are also key

• At a particular dose there will be a mean spatial distribution of blocked

polymer with a random variation; every hole is different

• Changing dose affects the mean level but not the fluctuation amplitude

• Two possible ways to reduce amplitudes of latent image fluctuations and

hole closing rates:

• “Simpler” and/or more uniform resist chemistry

• Higher acid and/or quencher diffusion (but may limit resolution)

2018 EUVL Workshop

Slide 21

Public

Page 22: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Slide 22

Public

Phase-Control SMOOptimization of source and mask to improve imaging robustness against

phase effects from 3D masks and scanner aberrations

focus budget

+/-40nm

dose budget

+/-10%

mask budget

+/-0.25nm

Z9 budget

+/-Z4

Z17 budget

+/-Z4

Z21 budget

+/-Z4

Z4 budget

+/-Z4

Z12 budget

+/-Z4

Z5 budget

+/-

𝑃𝑊

𝑒𝑝𝑒𝑛

Source and mask optimized to be less

sensitive to process variations such

as focus, dose, mask and aberrations

Customized cost

function to include

aberration impact

Baseline

SMO

PC-SMO

• Zernike

2018 EUVL Workshop

Page 23: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Slide 23

Public

Phase-Control SMO: sensitivity analysis

Z8 Z20

Select Z8, Z20 as most

critical

Z31

• Must consider Zernike sensitivity of critical patterns

2BarH_T

2 BarH_B

2018 EUVL Workshop

Page 24: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Progression of EUV lithography for logic products

1st Generation (foundry 7-nm node)

k1 ~ 0.45

Lithography process relatively straight forward with PC-SMO

The right time to perfect infrastructure

2nd Generation

k1 ≤ 0.4

Lithography process becomes more sophisticated

3rd Generation

k1 ≤ 0.3

Process sophistication; DTCO; Double patterning

4th Generation

0.55 NA

2018 EUVL Workshop

Slide 24

Public

Page 25: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Larger NA results in higher effective throughputNA limits # of LE steps and dose needed for LCDU

* Effective throughput = throughput / # LE steps

Quasar Illumination1

1

1

1 1

1

1

1

1

* 1

1 1

11 1

1 1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1 1

2

2

2 2

2

2 2

3

3

3

3

3

3

3

3

2

2

2

2

2

1

1

1

1

1

1

TPT reduction by dose for LCDU and LEn

2018 EUVL Workshop

Slide 25

Public

Page 26: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

High-NA system architecture available

TWINSCAN NXE 3400

New Frames

Improved thermal and dynamic

control with larger optics

Lens & illuminator

• NA 0.55 for sub-10nm resolution

• High transmission

Source

Compatible with 0.33 NA sources, power

improvements opportunities over time

Wafer Stage

2x increase in acceleration

Improved metrology

2~3x improvement in overlay/focus

Mask Stage

4x increase in acceleration

2018 EUVL Workshop

Slide 26

Public

Page 27: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Stitching feasibility study ongoing

24nm dense holes successfully stitched on NXE:3300 at IMEC

etched Black Border

etched Black Border

Programmed

offsetResults for 3 different offsets:

Next steps:

• Determine process latitude

• OPC needed?

• Different structures

First stitching experiments:

• 24nm Dense CH’s

• Programmed offsets

• JSR 3030

Courtesy Vincent Wiaux, IMEC

2018 EUVL Workshop

Slide 27

Public

Page 28: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

High-NA projection optics design availableLarger elements with tighter specifications

NA 0.33 NA 0.55Design examples

Extreme aspheres enabling

further improved wavefront / imaging performance

Big last mirror driven by

High-NA

Obscuration enables

higher optics Transmission

Mask level

Wafer level

High NA optics design supports significant reduction in wavefront RMS

systems

rms

[nm

]

NXE:3300B

NXE:3350B

NXE:3400B

High NA

2018 EUVL Workshop

Slide 28

Public

Page 29: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Long-lead items getting in placeVessel parts are machined, 1st robots for large mirror handling

Courtesy Carl Zeiss SMT GmbH, 2018-02-21

Chamber door and vessel Outer mirror handling robot

2018 EUVL Workshop

Slide 29

Public

Page 30: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

High-NA CRAO = 5.355°

0.33 CRAO = 6°

High-NA system has smaller M3D effects than 0.33NASmaller mask angles of incidence thanks to higher mag and smaller CRAO

*L. de Winter, Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination, EMLC 2015

2018 EUVL Workshop

Slide 30

Public

Page 31: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Impact of M3D effects can be mitigated viaSRAF’s, M3D-aware SMO, thinner (high-k) absorber

Eelco van Setten et al., EUVL 2017

SRAF size adjusted per pitch:

• Horizontal: 20 – 56 nm @mask

• Vertical: 16 – 30 nm @mask

• Using SRAF’s

• Using M3D aware SMO • Using a thinner (high-k) absorber

2018 EUVL Workshop

Slide 31

Public

Page 32: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Sub-resolution assist features recover contrast loss from obscuration and reduce Mask3D overlay

SRAF size adjusted per pitch:

• Horizontal: 20 – 56 nm @ mask

• Vertical: 16 – 30 nm @ mask

DipoleY / X 55nm Ta-based

2018 EUVL Workshop

Slide 32

Public

Page 33: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

High k absorber can increase contrast and reduce Mask3D overlay by ~2x for dipole test case

Alternative mask stack:

• 33nm high k material (Ni used)

DipoleY / X

2018 EUVL Workshop

Slide 33

Public

Page 34: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Ernest Chen

UCLA

2018 EUVL Workshop

Slide 34

Public

Page 35: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

On 0.33NA Systems

• Shipped 3 systems in Q1 2018

• Received 9 orders in Q1 2018

• Planned to ship 20 systems in 2018

• Production capacity of at least 30 systems in 2019

On 0.55NA Systems

• Received 4 orders of R&D systems from 3 customers in Q1 2018

• targeted to start shipping by end of 2021

• Sold options for 8 early volume systems in Q1 2018

• targeted to start shipping in 2024

Customer commitment to EUV volume manufacturing

continues2018 EUVL Workshop

Slide 35

Public

Page 36: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

In-burst EUV power of 410 WDemonstrated IF EUV pulse energy of 8.2 mJ at 50 kHz

Brightness ~ 1 kW/mm2 sr

0

1

2

3

4

5

6

7

8

9

10

MP

EU

V a

t IF

(m

J,

S3)

Main pulse peak power at plasma (MW)

Short burst EUV

demonstration on

research platform

Histogram EUV Pulse Energy EUV Pulse Energy at IF

2018 EUVL Workshop

Slide 36

Public

On a development EUV source at ASML San Diego

EUV bursts at 3% duty cycle

Page 37: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Droplet generator: principle of operation

Tin droplets at 80 kHz and at different applied pressures

Images taken at a distance of 200 mm from the nozzle

1.5 mm

Increasing

Droplet Generator

Pressure

2018 EUVL Workshop

Slide 37

Public

Page 38: Continued Scaling in Semiconductor Manufacturing with EUV ... · 2018 EUVL Workshop Slide 4 Public. Productivity has been increasing ... Slide 23 Public Phase-Control SMO: sensitivity

Thank you !

I would like to thank my ASML colleagues for all this work. In particular, I thank Steve Hansen, Igor Fomenkov, Roderik van Es, Jan van Schoot, Jo Finders, Hans Meiling, and Stephen Hsu whose presentation material I used or with whom I had fruitful discussions.