course catalog covers#3 - spie - the international society …spie.org/documents/courses/course...

28
300+ Courses Relevant training, proven instructors. SPIE Courses spie.org/CourseCatalog

Upload: buiphuc

Post on 30-Apr-2018

222 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

300+ Courses Relevant training,proven instructors.

SPIE Courses

spie.org/CourseCatalog

Page 2: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

spie.org/CourseCatalog

Get the training you need to stay competitivein today’s job market:Courses at SPIE Events offer an engaging experience for those who prefer face-to-face instruction, where interaction with the instructor and sharing information with other students provides increased value.

In-Company Training brings customized technical courses directly to your facility so you can train many employees at one time. Taught by expert instructors, these courses save time and money by reducing travel costs and time out of the offi ce.

Online Courses bring top SPIE instructors to your home or offi ce at your convenience. They feature full video of the instructor with synchronized PowerPoint slides and quizzes to test retention. All SPIE online courses are eligible for CEU credits.

DVDs, Videos, and CD-ROMs from SPIE offer valuable self-directed learning experiences where convenience and time management are primary considerations. Include these “captured” courses in your organization’s training library for use by multiple employees.

Formats vary by course title, so please check the Education section of SPIE.org to see what is available.

Page 3: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

ContentsAstronomy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2Biomedical Optics & Medical Imaging . . . . . . . . . . 3–4Communications & Networking . . . . . . . . . . . . . . . . . . 5Defense & Security . . . . . . . . . . . . . . . . . . . . . . . . . . 5–9Electronic Imaging & Signal Processing . . . . . . . 10–12Illumination & Displays . . . . . . . . . . . . . . . . . . . . . . . . 13Industrial Sensing & Measurement . . . . . . . . . . . . . . 13Lasers & Sources . . . . . . . . . . . . . . . . . . . . . . . . . . 15–16Nano Lithography & Fabrication . . . . . . . . . . . . . . . . 17Micro/Nanotechnology . . . . . . . . . . . . . . . . . . . . . . . . 19Optical Design & Engineering . . . . . . . . . . . . . . . 19–21Optoelectronics & Optical Communications . . . . . . 22Optomechanics . . . . . . . . . . . . . . . . . . . . . . . . . . . 22–23Remote Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23Solar & Alternative Energy . . . . . . . . . . . . . . . . . . . . . 24Standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24Business & Professional Development . . . . . . . . . . . 25

Continuing Education UnitsSPIE is approved as an authorized provider of CEUs by The International Association for Continuing Education and Training. SPIE has demonstrated that it complies with the ANSI/IACET Standards.

Money-back GuaranteeWe are confi dent that once you experience an SPIE course for yourself you will look to SPIE for your future educational needs. However, if for any reason you are dissatisfi ed, SPIE will gladly refund your money.

Page 4: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog2

AstronomyOptomechanics for Space ApplicationsSC561Course level: IntroductoryInstructor: Ann Shipley

An Introduction To Scalable Frameworks For Observatory Software InfrastructureSC644Course level: IntermediateInstructor: Gianluca Chiozzi

X-ray microCT (Micro Computed Tomography)SC794Course level: IntroductoryInstructor: Stuart Stock

Infrared Systems - Technology & DesignSC835Course level: AdvancedInstructor: Arnold Daniels

Imaging Detectors for AstronomySC904Course level: IntroductoryInstructor: Morley Blouke

CMOS Imaging Sensor Architecture, Construction, and ApplicationsSC905Course level: IntroductoryInstructor: David Dorn

Introduction to Visible and NIR Spectrograph Design and Development for AstronomySC906Course level: IntroductoryInstructor: Andrew Sheinis

Digital Camera and Sensor Evaluation Using Photon TransferSC916Course level: IntroductoryInstructor: James Janesick

Systems Engineering for Astronomy ProjectsSC1001Course level: IntroductoryInstructor: Hermine Schnetler

Introduction to Optical Alignment TechniquesSC010Course level: IntroductoryInstructor: Mitchell Ruda

Gratings, Monochromators, and SpectrometersSC040Course level: IntroductoryInstructor: Robert Fisher

Adaptive OpticsSC135Course level: IntroductoryInstructor: Robert Tyson

Infrared Focal Plane ArraysSC152Course level: IntroductoryInstructors: Eustace Dereniak and John Hubbs

Imaging SpectrometrySC153Course level: IntermediateInstructors: Eustace Dereniak and Michael Descour

Precision Stabilized Pointing and Tracking SystemsSC160Course level: IntermediateInstructor: James Hilkert

Imaging PolarimetrySC180Course level: AdvancedInstructors: Eustace Dereniak, Brian Miles and Derek Sabatke

Advanced Composite Materials for Optomechanical SystemsSC218Course level: IntermediateInstructor: Carl Zweben

X-Ray Detector Performance: Principles and Measurements using a Linear Systems ApproachSC358Course level: AdvancedInstructor: Ian Cunningham

Understanding X-Ray Imaging SystemsSC383Course level: IntroductoryInstructor: James Harvey

Introduction to CCD and CMOS Imaging Sensors and ApplicationsSC504Course level: IntroductoryInstructor: James Janesick

Page 5: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 3

“It could give me the edge to plan ahead and to decide which kind of technological direction I should choose for the next product for the company”

Biomedical Optics & Medical Imaging

Statistical Methods in Medical Imaging and Bioengineering with Applications to Observer Performance EvaluationSC613Course level: IntermediateInstructors: Elizabeth Krupinski and Dev Chakraborty

Introduction to Optical Tweezers and Optical MicromanipulationSC655Course level: IntroductoryInstructors: Kishan Dholakia and Gabriel Spalding

Optics and Optical Quality of the Human EyeSC702Course level: IntroductoryInstructor: Austin Roorda

Chemical & Biological Detection: Overview of Point and Standoff Sensing TechnologiesSC719Course level: IntroductoryInstructor: Patrick Gardner

Diffuse Optical Spectroscopy and Imaging of TissuesSC749Course level: IntermediateInstructor: Vladislav Toronov

Optoacoustic Systems for Medical Imaging: From Principles to Clinical ApplicationsSC768Course level: IntermediateInstructor: Alexander Oraevsky

Monte Carlo Simulation of Radiation Imaging SystemsSC771Course level: IntermediateInstructors: Aldo Badano, Josep Sempau, and Michael Flynn

Tissue OpticsSC029Course level: IntroductoryInstructor: Steven Jacques

Multispectral and Hyperspectral Image SensorsSC194Course level: AdvancedInstructor: Terrence Lomheim

Fluorescent Markers: Usage and Optical System OptimizationSC309Course level: IntermediateInstructor: Ofer Levi

Principles and Applications of Optical Coherence TomographySC312Course level: AdvancedInstructor: James Fujimoto

X-Ray Detector Performance: Principles and Measurements using a Linear Systems ApproachSC358Course level: AdvancedInstructor: Ian Cunningham

Microfabrication Techniques for MicroFluidics & BioMEMSSC437Course level: IntermediateInstructor: Marc Madou

Bio-Optical Detection SystemsSC461Course level: IntermediateInstructor: Ofer Levi

BiophotonicsSC463Course level: AdvancedInstructor: Paras Prasad

Principles and Advancements in X-ray Computed TomographySC471Course level: IntroductoryInstructor: Jiang Hsieh

Terahertz Wave Technology and ApplicationsSC547Course level: IntermediateInstructor: Xi-Cheng Zhang

Page 6: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog4

X-ray microCT (Micro Computed Tomography)SC794Course level: IntroductoryInstructor: Stuart Stock

Multiphoton Microscopy (MM) - Basics, Technology Development, and ApplicationsSC819Course level: IntermediateInstructors: Ammasi Periasamy and Peter So

Diagnostic EndoscopySC823Course level: IntermediateInstructor: Haishan Zeng is a senior scientist with the Cancer Imaging Departm

Diffuse Light Transport in Tissue and Diffuse Tomography Reconstruction using MATLABSC824Course level: IntroductoryInstructors: Hamid Dehghani and Brian Pogue

An Introduction to Finite Elements for Medical ImagingSC828Course level: IntroductoryInstructor: Michael Miga

MIC-GPU: High-Performance Computing for Medical Imaging on Programmable Graphics Hardware (GPU)SC829Course level: IntermediateInstructors: Klaus Mueller and Fang Xu

Optical Design for Biomedical ImagingSC868Course level: IntermediateInstructor: Rongguang (Ron) Liang

Computer-Aided DiagnosisSC882Course level: AdvancedInstructors: Maryellen Giger, Nico Karssemeijer, Bram van Ginneken, and Ronald Summers

Validation in Medical Image Processing (VMIP)SC884Course level: IntermediateInstructor: Pierre Jannin

Fundamental Principles and Statistical Analysis of Magnetic Resonance ImagingSC907Course level: IntermediateInstructors: Tianhu Lei and Hee Kwon Song

Quantitative Characterization of Cancer Using in vivo ImagingSC938Course level: IntroductoryInstructor: Thomas Yankeelov

Exact Cone Beam Reconstruction: Theory and PracticeSC939Course level: IntermediateInstructors: Alexander Katsevich and Alexander Zamyatin

Light MicroscopySC978Course level: IntroductoryInstructor: Tomasz Tkaczyk

Fundamentals of Three-Dimensional Optical MicroscopySC979Course level: IntermediateInstructors: Manuel Martinez-Corral and Bahram Javidi

Digital Radiography Image Processing and Image QualitySC986Course level: IntermediateInstructors: Xiaohui (Ed) Wang and David Foos

Spectral CT ImagingSC987Course level: IntermediateInstructors: Björn Heismann, Bernhard Schmidt, and Thomas Flohr

Biomedical Optics & Medical Imaging

Page 7: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 5

Communications & Networking

Defense & SecurityPractical Optical System DesignSC003Course level: IntermediateInstructor: Robert Fischer

Introduction to Optical Alignment TechniquesSC010Course level: IntroductoryInstructor: Mitchell Ruda

Introduction to Optomechanical DesignSC014Course level: IntroductoryInstructor: Daniel Vukobratovich

Structural Adhesives for Optical BondingSC015Course level: IntermediateInstructor: John Daly

Principles of Fourier Optics and DiffractionSC017Course level: IntermediateInstructor: Jack Gaskill

Optical Scattering: Measurement and AnalysisSC020Course level: IntermediateInstructor: John Stover

Laser Beam Propagation for Applications in Laser Communications, Laser Radar, and Active ImagingSC188Course level: IntermediateInstructors: Ronald Phillips and Larry Andrews

Thin Film Optical CoatingsSC321Course level: IntermediateInstructor: H. Angus Macleod

Understanding Lasers, Fiber Optics, and Photonics ComponentsSC402Course level: IntroductoryInstructor: Shaoul Ezekiel

Fundamentals of Electronic Image ProcessingSC066Course level: IntroductoryInstructor: Arthur Weeks

Testing and Evaluation of E-O Imaging SystemsSC067Course level: AdvancedInstructor: Gerald Holst

Optical Design Fundamentals for Infrared SystemsSC134Course level: IntermediateInstructor: Thomas Kampe

Adaptive OpticsSC135Course level: IntroductoryInstructor: Robert Tyson

Infrared Focal Plane ArraysSC152Course level: IntroductoryInstructors: Eustace Dereniak and John Hubbs

Fundamentals of Free-Space Laser CommunicationsSC656Course level: IntroductoryInstructor: Arun Majumdar

Ultrafast Fiber LasersSC744Course level: IntermediateInstructor: Martin Fermann

High-Power Fiber SourcesSC748Course level: AdvancedInstructor: Johan Nilsson

“Real world anecdotes and examples added good context and value”

Page 8: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog6

Imaging SpectrometrySC153Course level: IntermediateInstructors: Eustace Dereniak and Michael Descour

Electro-Optical Imaging System PerformanceSC154Course level: IntermediateInstructor: Gerald Holst

Basic Optics for EngineersSC156Course level: IntroductoryInstructor: Alfred Ducharme

MTF in Optical and Electro-Optical SystemsSC157Course level: IntroductoryInstructor: Alfred Ducharme

Fundamentals of Automatic Target RecognitionSC158Course level: IntermediateInstructor: Hatem Nasr

SAR Signal ProcessingSC162Course level: IntermediateInstructor: Mehrdad Soumekh

Introduction to Laser RadarSC167Course level: IntermediateInstructor: Gary Kamerman

Multispectral Image ProcessingSC174Course level: AdvancedInstructor: Robert Schowengerdt

Introduction to Radiometry and PhotometrySC178Course level: IntroductoryInstructor: Barbara Grant

Imaging PolarimetrySC180Course level: AdvancedInstructors: Eustace Dereniak, Brian Miles, and Derek Sabatke

Predicting Target Acquisition Performance of Electro-Optical ImagersSC181Course level: AdvancedInstructor: Richard Vollmerhausen

Laser Beam Propagation for Applications in Laser Communications, Laser Radar, and Active ImagingSC188Course level: IntermediateInstructors: Ronald Phillips and Larry Andrews

Multispectral and Hyperspectral Image SensorsSC194Course level: AdvancedInstructor: Terrence Lomheim

Fundamentals of Digital Signal/Image ProcessingSC197Course level: IntermediateInstructor: Sohail Dianat

Polarized Light: A Practical Hands-on IntroductionSC206Course level: IntroductoryInstructor: Robert Fisher

Infrared Window and Dome MaterialsSC214Course level: AdvancedInstructor: Daniel Harris

Advanced Composite Materials for Optomechanical SystemsSC218Course level: IntermediateInstructor: Carl Zweben

Materials: Properties and Fabrication for Stable Optical SystemsSC219Course level: IntermediateInstructor: Roger Paquin

Optical Alignment MechanismsSC220Course level: IntermediateInstructor: Robert Guyer

Integrated Opto-Mechanical AnalysisSC254Course level: AdvancedInstructors: Victor Genberg and Keith Doyle

Infrared DetectorsSC278Course level: IntroductoryInstructor: Eustace Dereniak

Defense & Security

Page 9: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 7

The Design of Plastic Optical SystemsSC384Course level: IntroductoryInstructor: Michael Schaub

Advanced Thermal Management Materials for Optoelectronic and MEMS/MOEMS PackagingSC386Course level: IntermediateInstructor: Carl Zweben

Principles for Mounting Optical ComponentsSC447Course level: IntermediateInstructor: Paul Yoder, Jr.

Predicting, Modeling, and Interpreting Light Scattered by SurfacesSC492Course level: IntermediateInstructor: Thomas Germer

Introduction to CCD and CMOS Imaging Sensors and ApplicationsSC504Course level: IntroductoryInstructor: James Janesick

Infrared Characterization of Sources and BackgroundsSC545Course level: IntermediateInstructor: Pieter Jacobs

Terahertz Wave Technology and ApplicationsSC547Course level: IntermediateInstructor: Xi-Cheng Zhang

Incorporating GPS Technology into Commercial and Military ApplicationsSC549Course level: IntroductoryInstructor: Maarten Uijt de Haag

Aspheric Optics: Design, Fabrication, and TestSC552Course level: IntroductoryInstructor: Robert Fischer

Use of CCD and CMOS Sensors in Visible Imaging ApplicationsSC557Course level: IntroductoryInstructor: Terrence Lomheim

Exploring Optical AberrationsSC560Course level: IntermediateInstructor: Virendra Mahajan

Optomechanics for Space ApplicationsSC561Course level: IntroductoryInstructor: Ann Shipley

Introduction to Optical Remote Sensing SystemsSC567Course level: IntroductoryInstructor: Joseph Shaw

Digital Signal Processing with Field Programmable Gate ArraysSC630Course level: IntermediateInstructor: Uwe Meyer-Baese

Understanding Refl ective Optical DesignSC659Course level: IntermediateInstructor: James Contreras

Optical System Design: Layout Principles and PracticeSC690Course level: IntroductoryInstructor: John Greivenkamp

Understanding Scratch and Dig Specifi cationsSC700Course level: IntroductoryInstructor: David Aikens

Optics and Optical Quality of the Human EyeSC702Course level: IntroductoryInstructor: Austin Roorda

NIR and SWIR Imaging ApplicationsSC710Course level: IntroductoryInstructor: Austin Richards

Interested in learning more?See all SPIE courses atspie.org/CourseCatalogFormats vary by course title, so please check the Education section of SPIE.org to see what is available.

Page 10: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog8

Engineering Approach to Imaging System DesignSC713Course level: IntermediateInstructor: Gerald Holst

Chemical & Biological Detection: Overview of Point and Standoff Sensing TechnologiesSC719Course level: IntroductoryInstructor: Patrick Gardner

Cost-Conscious Tolerancing of Optical SystemsSC720Course level: IntroductoryInstructor: Richard Youngworth

Network Centric Target Tracking and Classifi cationSC728Course level: IntermediateInstructor: Oliver Drummond

Infrared Optics and Zoom LensesSC755Course level: AdvancedInstructor: Allen Mann

Optomechanical AnalysisSC781Course level: AdvancedInstructor: Alson Hatheway

Fiber Lasers for Defense Applications: Fibers, Components and System Design ConsiderationsSC784Course level: IntermediateInstructors: Bryce Samson and William Torruellas

Introduction to Optical and Infrared Sensor SystemsSC789Course level: IntroductoryInstructor: Joseph Shaw

Polarization in Optical DesignSC792Course level: IntermediateInstructor: Russell Chipman

Allowable Stresses in Glass and Engineering CeramicsSC796Course level: IntermediateInstructor: John Pepi

Infrared Systems - Technology & DesignSC835Course level: AdvancedInstructor: Arnold Daniels

Multivariate Analysis of Imaging and Sensor DataSC837Course level: IntermediateInstructor: Peter Bajorski

Understanding ISO-10110: The Optics Drawing StandardSC863Course level: IntroductoryInstructor: David Aikens

Infrared Search and Track SystemsSC892Course level: IntermediateInstructor: Piet Schwering

SAR Signal Processing LaboratorySC893Course level: IntermediateInstructor: Mehrdad Soumekh

Introduction to INS and INS-Based Integrated NavigationSC894Course level: IntroductoryInstructor: Andrey Soloviev

Uncooled Thermal Imaging Detectors and SystemsSC900Course level: IntermediateInstructor: Charles Hanson

Sensor Array Signal ProcessingSC901Course level: IntroductoryInstructor: Raghuveer Rao

Intermediate Lens DesignSC912Course level: IntermediateInstructor: Julie Bentley

Multivariate Analysis of Optical and Imaging DataSC913Course level: IntroductoryInstructor: Peter Bajorski

Radiometry RevealedSC915Course level: IntroductoryInstructor: Joseph Shaw

Ophthalmic Adaptive OpticsSC932Course level: IntermediateInstructor: Donald Miller

Defense & Security

Page 11: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 9

Introduction to Lens DesignSC935Course level: IntroductoryInstructor: Julie Bentley

The Radiometry Case FilesSC944Course level: IntroductoryInstructor: Barbara Grant

Optical Fiber Sensing Technology: Basic Principles & Applications in Defense and SecuritySC945Course level: IntroductoryInstructors: Eric Udd and Alexis Mendez

Super Resolution in Imaging SystemsSC946Course level: IntermediateInstructors: Saeed Bagheri and Bahram Javidi

Motes: Self-Organizing Wireless Networked Micro-SensorsSC948Course level: IntermediateInstructor: Timothy Cole

Advanced Infrared Imaging RadiometrySC950Course level: AdvancedInstructor: Austin Richards

Applications of Detection TheorySC952Course level: IntermediateInstructor: John Carrano

Image Chain Modeling of Digital Camera SystemsSC959Course level: IntroductoryInstructor: Robert Fiete

Joint Design of Optics and Image Processing for Imaging SystemsSC965Course level: IntroductoryInstructor: David Stork

Computational Optical ImagingSC970Course level: IntermediateInstructor: David Brady

Soil Physics For Non-Soil Engineers: Moisture, Thermal, And Dielectric Soil Properties Affecting IED DetectionSC993Course level: IntermediateInstructor: Jan Hendrickx

Multisensor Data Fusion for Object Detection, Classifi cation and Identifi cationSC994Course level: IntroductoryInstructor: Lawrence Klein

Target Detection Algorithms for Hyperspectral ImagerySC995Course level: IntroductoryInstructor: Nasser Nasrabadi

Introduction to GPS ReceiversSC996Course level: IntroductoryInstructor: Zhen Zhu

Computer and Multimedia ForensicsSC998Course level: IntermediateInstructor: Reiner Creutzburg

Introduction to Infrared and Ultraviolet Imaging TechnologySC1000Course level: IntroductoryInstructor: Austin Richards

Optical Scatter Metrology for IndustrySC1003Course level: IntermediateInstructor: John Stover

The Use of Aspheres in Optical DesignSC1007Course level: IntermediateInstructor: Robert Fischer

Precision Stabilized Pointing and Tracking SystemsSC160Course level: IntermediateInstructor: James Hilkert

Optomechanics and Tolerancing of InstrumentsSC221Course level: AdvancedInstructor: Alson Hatheway

Laser Range Gated Imaging TechniquesSC838Course level: IntermediateInstructor: Stuart Duncan

IR Detector CryocoolersSC840Course level: IntermediateInstructor: Ingo Rühlich

Page 12: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog10

Electronic Imaging & Signal ProcessingStereoscopic Display Application IssuesSC060Course level: IntermediateInstructors: John Merritt and Andrew Woods

Fundamentals of Electronic Image ProcessingSC066Course level: IntroductoryInstructor: Arthur Weeks

Testing and Evaluation of E-O Imaging SystemsSC067Course level: AdvancedInstructor: Gerald Holst

Use of CCD and CMOS Sensors in Visible Imaging ApplicationsSC068Course level: IntroductoryInstructor: Terrence Lomheim

Effective Color ComputingSC075Course level: AdvancedInstructor: Gabriel Marcu

Understanding ColorSC076Course level: IntroductoryInstructor: Giordano Beretta

Electro-Optical Imaging System PerformanceSC154Course level: IntermediateInstructor: Gerald Holst

SAR Signal ProcessingSC162Course level: IntermediateInstructor: Mehrdad Soumekh

Predicting Target Acquisition Performance of Electro-Optical ImagersSC181Course level: AdvancedInstructor: Richard Vollmerhausen

Laser Beam Propagation for Applications in Laser Communications, Laser Radar, and Active ImagingSC188Course level: IntermediateInstructors: Ronald Phillips and Larry Andrews

Multispectral and Hyperspectral Image SensorsSC194Course level: AdvancedInstructor: Terrence Lomheim

Fundamentals of Digital Signal/Image ProcessingSC197Course level: IntermediateInstructor: Sohail Dianat

Introduction to Digital Image ProcessingSC245Course level: IntroductoryInstructor: Majid Rabbani

Introduction to CCD and CMOS Imaging Sensors and ApplicationsSC504Course level: IntroductoryInstructor: James Janesick

Use of CCD and CMOS Sensors in Visible Imaging ApplicationsSC557Course level: IntroductoryInstructor: Terrence Lomheim

Advanced Digital Image and Video Enhancement AlgorithmsSC590Course level: AdvancedInstructor: Majid Rabbani

Advanced Image Processing and ApplicationsSC661Course level: IntermediateInstructor: Khan Iftekharuddin

NIR and SWIR Imaging ApplicationsSC710Course level: IntroductoryInstructor: Austin Richards

Engineering Approach to Imaging System DesignSC713Course level: IntermediateInstructor: Gerald Holst

Device Simulation for Image Quality EvaluationSC762Course level: IntermediateInstructors: Joyce Farrell, Peter Catrysse, and Brian Wandell

Page 13: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 11

Optoacoustic Systems for Medical Imaging: From Principles to Clinical ApplicationsSC768Course level: IntermediateInstructor: Alexander Oraevsky

X-ray microCT (Micro Computed Tomography)SC794Course level: IntroductoryInstructor: Stuart Stock

Real-Time Image and Video ProcessingSC809Course level: IntermediateInstructor: Nasser Kehtarnavaz

Perceptual Metrics for Image Quality EvaluationSC812Course level: IntermediateInstructors: Thrasyvoulos Pappas and Sheila Hemami

MPEG Family of Video Compression StandardsSC813Course level: IntermediateInstructor: Majid Rabbani

Holographic Techniques for Advanced Photonic SystemsSC821Course level: IntroductoryInstructor: Raymond Kostuk

Diffuse Light Transport in Tissue and Diffuse Tomography Reconstruction using MATLABSC824Course level: IntroductoryInstructors: Hamid Dehghani and Brian Pogue

Color Processing and its Characterisation for Digital PhotographySC870Course level: IntermediateInstructors: Kevin Matherson and Dietmar Wueller

Noise, Image Processing, and their Infl uence on ResolutionSC871Course level: IntermediateInstructors: Kevin Matherson and Dietmar Wueller

SAR Signal Processing LaboratorySC893Course level: IntermediateInstructor: Mehrdad Soumekh

Uncooled Thermal Imaging Detectors and SystemsSC900Course level: IntermediateInstructor: Charles Hanson

Sensor Array Signal ProcessingSC901Course level: IntroductoryInstructor: Raghuveer Rao

Imaging Detectors for AstronomySC904Course level: IntroductoryInstructor: Morley Blouke

CMOS Imaging Sensor Architecture, Construction, and ApplicationsSC905Course level: IntroductoryInstructor: David Dorn

Introduction to Visible and NIR Spectrograph Design and Development for AstronomySC906Course level: IntroductoryInstructor: Andrew Sheinis

Digital Camera and Sensor Evaluation Using Photon TransferSC916Course level: IntroductoryInstructor: James Janesick

3D ImagingSC927Course level: IntroductoryInstructor: Gady Agam

FPGA Design of Video and Image Processing AlgorithmsSC928Course level: IntermediateInstructor: Chang Choo

Optimizing Color Reproduction SystemsSC930Course level: IntermediateInstructor: Gabriel Marcu

Super Resolution in Imaging SystemsSC946Course level: IntermediateInstructors: Saeed Bagheri and Bahram Javidi

Page 14: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog12

Motes: Self-Organizing Wireless Networked Micro-SensorsSC948Course level: IntermediateInstructor: Timothy Cole

Linear and Nonlinear Principal Components Analysis with Applications in Sensing and ProcessingSC949Course level: IntermediateInstructor: Sohail Dianat

Applications of Detection TheorySC952Course level: IntermediateInstructor: John Carrano

Image Chain Modeling of Digital Camera SystemsSC959Course level: IntroductoryInstructor: Robert Fiete

Joint Design of Optics and Image Processing for Imaging SystemsSC965Course level: IntroductoryInstructor: David Stork

Video StreamingSC966Course level: IntroductoryInstructor: M. Reha Civanlar

High Dynamic Range Imaging: Sensors and ArchitecturesSC967Course level: IntermediateInstructor: Arnaud Darmont

Principles of Digital Color ManagementSC968Course level: IntermediateInstructor: Tom Madden

Perception, Cognition, and Next Generation ImagingSC969Course level: IntroductoryInstructor: Bernice Rogowitz

Computational Optical ImagingSC970Course level: IntermediateInstructor: David Brady

Theory and Methods of Lightfi eld PhotographySC980Course level: IntermediateInstructors: Todor Georgiev and Andrew Lumsdaine

Multisensor Data Fusion for Object Detection, Classifi cation and Identifi cationSC994Course level: IntroductoryInstructor: Lawrence Klein

Computer and Multimedia ForensicsSC998Course level: IntermediateInstructor: Reiner Creutzburg

“You can read 20 books and fi nd the same things, but in this case it was much more direct and interesting”

Electronic Imaging & Signal Processing

Page 15: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 13

Illumination & DisplaysDesign of Effi cient Illumination SystemsSC011Course level: IntermediateInstructor: William Cassarly

Light-Emitting DiodesSC052Course level: IntermediateInstructor: E. Fred Schubert

Non-Imaging OpticsSC388Course level: IntroductoryInstructor: Roland Winston

Solid State Lighting ISC490Course level: IntroductoryInstructor: Ian Ferguson

Liquid Crystal Materials and Devices: Tutorial and LaboratorySC520Course level: IntroductoryInstructor: Gregory Crawford

Accurate Measurement of LED Optical PropertiesSC657Course level: IntermediateInstructor: Alan Tirpak

Liquid Crystals: From Fundamentals to ApplicationsSC790Course level: IntermediateInstructor: Ivan Smalyukh

Holographic Techniques for Advanced Photonic SystemsSC821Course level: IntroductoryInstructor: Raymond Kostuk

Design and Reliability of Photovoltaic ModulesSC910Course level: IntermediateInstructor: Neelkanth Dhere

Radiometry RevealedSC915Course level: IntroductoryInstructor: Joseph Shaw

Optimizing Color Reproduction SystemsSC930Course level: IntermediateInstructor: Gabriel Marcu

LED & Solid-State Lighting StandardizationSC958Course level: IntermediateInstructor: Jianzhong Jiao

High Dynamic Range Imaging: Sensors and ArchitecturesSC967Course level: IntermediateInstructor: Arnaud Darmont

Industrial Sensing & MeasurementPrinciples of Fourier Optics and DiffractionSC017Course level: IntermediateInstructor: Jack Gaskill

Optical Scattering: Measurement and AnalysisSC020Course level: IntermediateInstructor: John Stover

Gratings, Monochromators, and SpectrometersSC040Course level: IntroductoryInstructor: Robert Fisher

Imaging SpectrometrySC153Course level: IntermediateInstructors: Eustace Dereniak and Michael Descour

Imaging PolarimetrySC180Course level: AdvancedInstructors: Eustace Dereniak, Brian Miles, and Derek Sabatke

Page 16: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog14

Practical Interferometry and Fringe AnalysisSC211Course level: IntermediateInstructor: Katherine Creath

Introduction to Interferometric Optical TestingSC213Course level: IntroductoryInstructor: James Wyant

Predicting, Modeling, and Interpreting Light Scattered by SurfacesSC492Course level: IntermediateInstructor: Thomas Germer

Accurate Measurement of LED Optical PropertiesSC657Course level: IntermediateInstructor: Alan Tirpak

Polymer MicrofabricationSC699Course level: IntroductoryInstructor: Holger Becker

Instruments and Methodologies for Accurate Metrology and Fleet MatchingSC705Course level: IntermediateInstructors: Chas Archie and Bill Banke, Jr.

Cost-Conscious Tolerancing of Optical SystemsSC720Course level: IntroductoryInstructor: Richard Youngworth

Laser Beam QualitySC818Course level: IntermediateInstructor: Ruediger Paschotta

Fundamentals of Single Point Diamond TurningSC848Course level: IntroductoryInstructor: John Schaefer

Metrology for Modern Optical ManufacturingSC850Course level: IntroductoryInstructor: Paul Murphy

Nanoscale Photomask Metrology - Theory and PracticeSC854Course level: IntroductoryInstructors: James Potzick and Brian Grenon

Updated US and International Laser Product Certifi cation RequirementsSC862Course level: IntroductoryInstructor: Nikolay Stoev

Digital Camera and Sensor Evaluation Using Photon TransferSC916Course level: IntroductoryInstructor: James Janesick

Basics of In-Line Critical Dimension MetrologySC943Course level: IntroductoryInstructor: Vladimir Ukraintsev

Advanced Infrared Imaging RadiometrySC950Course level: AdvancedInstructor: Austin Richards

Optical Scatter Metrology for IndustrySC1003Course level: IntermediateInstructor: John Stover

Ellipsometry for Thin Film MetrologySC1005Course level: IntermediateInstructor: A.R.M. (Rahman) Zaghloul

Nanoscale Dimensional Metrology and Physical CharacterizationSC1006Course level: IntroductoryInstructor: Vladimir Ukraintsev

Industrial Sensing & Measurement

Interested in learning more?See all SPIE courses atspie.org/CourseCatalogFormats vary by course title, so please check the Education section of SPIE.org to see what is available.

Page 17: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 15

Lasers & SourcesIntroduction to Nonlinear OpticsSC047Course level: IntroductoryInstructor: Robert Fisher

Light-Emitting DiodesSC052Course level: IntermediateInstructor: E. Fred Schubert

Testing and Reliability of Semiconductor LasersSC053Course level: IntermediateInstructor: Kevin Lear

Introduction to Laser RadarSC167Course level: IntermediateInstructor: Gary Kamerman

Laser Beam Propagation for Applications in Laser Communications, Laser Radar, and Active ImagingSC188Course level: IntermediateInstructor: Larry Andrews

An Introduction to LasersSC325Course level: IntroductoryInstructor: Robert Fisher

Understanding Lasers, Fiber Optics, and Photonics ComponentsSC402Course level: IntroductoryInstructor: Shaoul Ezekiel

Diode Lasers: How to Select the Best Laser for Your ApplicationSC448Course level: IntroductoryInstructor: Kurt Linden

Solid State Lighting ISC490Course level: IntroductoryInstructor: Ian Ferguson

An Introduction to Femtosecond Laser TechniquesSC541Course level: IntermediateInstructor: Eric Mazur

The Measurement of Ultrashort Laser PulsesSC602Course level: IntroductoryInstructor: Rick Trebino

Fundamentals of Free-Space Laser CommunicationsSC656Course level: IntroductoryInstructor: Arun Majumdar

Introduction to MicroMachining Using LasersSC689Course level: IntroductoryInstructor: Ronald Schaeffer

Laser Beam CharacterizationSC731Course level: IntermediateInstructor: Bernd Eppich

Micromachining with Femtosecond LasersSC743Course level: IntermediateInstructor: Christopher Schaffer

Ultrafast Fiber LasersSC744Course level: IntermediateInstructor: Martin Fermann

Introduction to Ultrafast TechnologySC746Course level: IntroductoryInstructor: Rick Trebino

Semiconductor Optoelectronic Device FundamentalsSC747Course level: IntroductoryInstructor: Kurt Linden

High-Power Fiber SourcesSC748Course level: AdvancedInstructor: Johan Nilsson

Page 18: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog16

Solid State Laser TechnologySC752Course level: IntermediateInstructor: Norman Hodgson

Laser Safety: Principles and Accident PreventionSC769Course level: IntroductoryInstructor: Kenneth Barat

Fiber Lasers for Defense Applications: Fibers, Components and System Design ConsiderationsSC784Course level: IntermediateInstructors: Bryce Samson and William Torruellas

Laser Beam QualitySC818Course level: IntermediateInstructor: Rüdiger Paschotta

Resonator Design for Solid State LasersSC860Course level: IntermediateInstructor: Rüdiger Paschotta

The Basics of Physics and Engineering of LasersSC861Course level: IntermediateInstructor: Yehoshua Kalisky

Updated US and International Laser Product Certifi cation RequirementsSC862Course level: IntroductoryInstructor: Nikolay Stoev

Introduction to High Power Diode Laser TechnologySC877Course level: IntroductoryInstructor: S. David Roh

Applied Nonlinear Frequency ConversionSC931Course level: IntermediateInstructor: Rüdiger Paschotta

LED & Solid-State Lighting StandardizationSC958Course level: IntermediateInstructor: Jianzhong Jiao

Fundamentals of Laser Beam Profi le MeasurementsSC977Course level: IntroductoryInstructor: Roger Rypma

Fiber Amplifi ersSC984Course level: IntroductoryInstructor: Michel Digonnet

Understanding Laser Beam Performance Specifi cationsWS847Course level: IntroductoryInstructor: Sydney Sukuta

Laser Safety Made EasyWS866Course level: IntroductoryInstructor: Kenneth Barat

Basic Laser TechnologyWS972Course level: IntroductoryInstructor: Sydney Sukuta

Process Fundamentals of Industrial Laser WeldingSC869Course level: IntermediateInstructor: David Havrilla

“The instructor of the course was very knowledgeable”

Lasers & Sources

Page 19: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 17

Nano Lithography & FabricationIntroduction to Microlithography: Theory, Materials, and ProcessingSC101Course level: IntroductoryInstructors: Murrae Bowden, Larry Thompson, and C. Grant Willson

Optical Lithography ModelingSC102Course level: AdvancedInstructors: Andrew Neureuther and Mark Smith

Chemically Amplifi ed ResistsSC103Course level: AdvancedInstructor: C. Grant Willson

CD Metrology and Image Formation in the Scanning Electron Microscope (SEM)SC105Course level: AdvancedInstructors: Oliver Wells and Michael Postek, Jr.

Lithographic Optimization: A Theoretical ApproachSC116Course level: IntermediateInstructor: Chris Mack

The Fundamental Limits of Optical LithographySC117Course level: IntermediateInstructor: Bruce Smith

Pushing the Limits: Hyper-NA, Immersion, Polarization, and Pitch Division (Double Patterning) in Optical LithographySC124Course level: AdvancedInstructor: Bruce Smith

Advanced Thermal Management Materials for Optoelectronic and MEMS/MOEMS PackagingSC386Course level: IntermediateInstructor: Carl Zweben

Microfabrication Techniques for MicroFluidics & BioMEMSSC437Course level: IntermediateInstructor: Marc Madou

Micro- and Nanofl uidics - Technology and ApplicationsSC532Course level: IntroductoryInstructor: Claudia Gärtner

Practical Photoresist ProcessingSC616Course level: IntroductoryInstructor: Ralph Dammel

Nano-Scale Patterning with Imprint LithographySC622Course level: IntroductoryInstructors: S.V. Sreenivasan, C. Grant Willson, and Douglas Resnick

Introduction to MicroMachining Using LasersSC689Course level: IntroductoryInstructor: Ronald Schaeffer

Polymer MicrofabricationSC699Course level: IntroductoryInstructor: Holger Becker

Instruments and Methodologies for Accurate Metrology and Fleet MatchingSC705Course level: IntermediateInstructor: Bill Banke, Jr.

Imaging and Optics Fundamentals in Advanced LithographySC706Course level: AdvancedInstructor: Donis Flagello

Nano-Photonics: Physics and TechniquesSC742Course level: IntermediateInstructor: Axel Scherer

Micromachining with Femtosecond LasersSC743Course level: IntermediateInstructors: Stefan Nolte and Christopher Schaffer

Polarization for LithographersSC779Course level: IntermediateInstructors: Jong-Wook Kye and Gregory McIntyre

Page 20: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog18

Silicon PhotonicsSC817Course level: IntroductoryInstructors: Jurgen Michel and Sajan Saini

Lithography Integration for Semiconductor Back-End-Of-The-Line (BEOL)SC833Course level: IntroductoryInstructor: Qinghuang Lin

Nanoscale Photomask Metrology - Theory and PracticeSC854Course level: IntroductoryInstructors: James Potzick and Brian Grenon

Introduction to Design for ManufacturabilitySC855Course level: IntroductoryInstructor: Lars Liebmann

Computational LithographySC856Course level: IntermediateInstructor: Scott Mansfi eld

Principles and Practical Implementation of Double PatterningSC885Course level: IntermediateInstructors: Mircea Dusa and Stephen Hsu

Line Edge RoughnessSC886Course level: IntermediateInstructor: Gregg Gallatin

Modeling of Exposure Tools for OPC and Tooling AnalysisSC887Course level: IntermediateInstructor: Kafai Lai

EUV LithographySC888Course level: IntermediateInstructors: Vivek Bakshi and Patrick Naulleau

Basics of In-Line Critical Dimension MetrologySC943Course level: IntroductoryInstructor: Vladimir Ukraintsev

Identifying and Controlling Micro-contamination in Critical EnvironmentsSC988Course level: IntroductoryInstructor: Brian Grenon

Optical Proximity Correction for Current and Future NodesSC990Course level: IntermediateInstructor: Kevin Lucas

Electron Beam Lithography using Massively Parallel Pixel ProjectionSC991Course level: IntermediateInstructor: Hans Pfeiffer

Lithography Integration for Semiconductor FEOL & BEOL FabricationSC992Course level: IntroductoryInstructors: Qinghuang Lin and Ying Zhang

Nanoscale Dimensional Metrology and Physical CharacterizationSC1006Course level: IntroductoryInstructor: Vladimir Ukraintsev

Electron Beam Inspection - Principles and Applications in IC and Mask ManufacturingSC1009Course level: IntroductoryInstructor: Hong Xiao

Nano Lithography & Fabrication

Interested in learning more?See all SPIE courses atspie.org/CourseCatalogFormats vary by course title, so please check the Education section of SPIE.org to see what is available.

Page 21: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 19

Micro/NanotechnologySolid State Lighting ISC490Course level: IntroductoryInstructor: Ian Ferguson

Fabrication and Processing of NanostructuresSC496Course level: IntroductoryInstructor: Guozhong Cao

NanophotonicsSC497Course level: IntroductoryInstructor: Paras Prasad

Micro- and Nanofl uidics - Technology and ApplicationsSC532Course level: IntroductoryInstructor: Claudia Gärtner

Photonic Crystals: A Crash Course, from Bandgaps to FibersSC608Course level: IntermediateInstructor: Steven Johnson

Introduction to Optical Tweezers and Optical MicromanipulationSC655Course level: IntroductoryInstructors: Kishan Dholakia and Gabriel Spalding

NanoplasmonicsSC727Course level: IntermediateInstructor: Mark Stockman

Nano-Photonics: Physics and TechniquesSC742Course level: IntermediateInstructor: Axel Scherer

Optical Scatter Metrology for IndustrySC1003Course level: IntermediateInstructor: John Stover

Ellipsometry for Thin Film MetrologySC1005Course level: IntermediateInstructor: A.R.M. (Rahman) Zaghloul

Nanoscale Dimensional Metrology and Physical CharacterizationSC1006Course level: IntroductoryInstructor: Vladimir Ukraintsev

Optical Design & EngineeringPractical Optical System DesignSC003Course level: IntermediateInstructor: Robert Fischer

Introduction to Optical Alignment TechniquesSC010Course level: IntroductoryInstructor: Mitchell Ruda

Introduction to Optomechanical DesignSC014Course level: IntroductoryInstructor: Daniel Vukobratovich

Structural Adhesives for Optical BondingSC015Course level: IntermediateInstructor: John Daly

Principles of Fourier Optics and DiffractionSC017Course level: IntermediateInstructor: Jack Gaskill

Optical Scattering: Measurement and AnalysisSC020Course level: IntermediateInstructor: John Stover

Basic Optics for EngineersSC156Course level: IntroductoryInstructor: Alfred Ducharme

MTF in Optical and Electro-Optical SystemsSC157Course level: IntroductoryInstructor: Alfred Ducharme

Page 22: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog20

Introduction to Radiometry and PhotometrySC178Course level: IntroductoryInstructor: Barbara Grant

Polarized Light: A Practical Hands-on IntroductionSC206Course level: IntroductoryInstructor: Robert Fisher

Advanced Composite Materials for Optomechanical SystemsSC218Course level: IntermediateInstructor: Carl Zweben

Materials: Properties and Fabrication for Stable Optical SystemsSC219Course level: IntermediateInstructor: Roger Paquin

Optical Alignment MechanismsSC220Course level: IntermediateInstructor: Robert Guyer

Integrated Opto-Mechanical AnalysisSC254Course level: AdvancedInstructors: Victor Genberg and Keith Doyle

Thin Film Optical CoatingsSC321Course level: IntermediateInstructor: H. Angus Macleod

Optical Manufacturing OverviewSC350Course level: IntroductoryInstructor: Robert Novak

The Design of Plastic Optical SystemsSC384Course level: IntroductoryInstructor: Michael Schaub

Advanced Thermal Management Materials for Optoelectronic and MEMS/MOEMS PackagingSC386Course level: IntermediateInstructor: Carl Zweben

Principles for Mounting Optical ComponentsSC447Course level: IntermediateInstructor: Paul Yoder, Jr.

Predicting, Modeling, and Interpreting Light Scattered by SurfacesSC492Course level: IntermediateInstructor: Thomas Germer

Aspheric Optics: Design, Fabrication, and TestSC552Course level: IntroductoryInstructor: Robert Fischer

Exploring Optical AberrationsSC560Course level: IntermediateInstructor: Virendra Mahajan

Optomechanics for Space ApplicationsSC561Course level: IntroductoryInstructor: Ann Shipley

Understanding Refl ective Optical DesignSC659Course level: IntermediateInstructor: James Contreras

Optical System Design: Layout Principles and PracticeSC690Course level: IntroductoryInstructor: John Greivenkamp

Understanding Scratch and Dig Specifi cationsSC700Course level: IntroductoryInstructor: David Aikens

Optics and Optical Quality of the Human EyeSC702Course level: IntroductoryInstructor: Austin Roorda

Engineering Approach to Imaging System DesignSC713Course level: IntermediateInstructor: Gerald Holst

Cost-Conscious Tolerancing of Optical SystemsSC720Course level: IntroductoryInstructor: Richard Youngworth

Optomechanical AnalysisSC781Course level: AdvancedInstructor: Alson Hatheway

Optical Design & Engineering

Page 23: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 21

Polarization in Optical DesignSC792Course level: IntermediateInstructor: Russell Chipman

Allowable Stresses in Glass and Engineering CeramicsSC796Course level: IntermediateInstructor: John Pepi

Fundamentals of Single Point Diamond TurningSC848Course level: IntroductoryInstructor: John Schaefer

Understanding ISO-10110: The Optics Drawing StandardSC863Course level: IntroductoryInstructor: David Aikens

Introduction to Optical Simulation Using the Finite-Difference Frequency-Domain MethodSC864Course level: IntroductoryInstructor: Raymond Rumpf

Microscope DesignSC865Course level: AdvancedInstructor: George Seward

Optical Design for Biomedical ImagingSC868Course level: IntermediateInstructor: Rongguang (Ron) Liang

Intermediate Lens DesignSC912Course level: IntermediateInstructor: Julie Bentley

Multivariate Analysis of Optical and Imaging DataSC913Course level: IntroductoryInstructor: Peter Bajorski

Radiometry RevealedSC915Course level: IntroductoryInstructor: Joseph Shaw

Ophthalmic Adaptive OpticsSC932Course level: IntermediateInstructor: Donald Miller

Introduction to Lens DesignSC935Course level: IntroductoryInstructor: Julie Bentley

The Radiometry Case FilesSC944Course level: IntroductoryInstructor: Barbara Grant

Advanced Infrared Imaging RadiometrySC950Course level: AdvancedInstructor: Austin Richards

LED & Solid-State Lighting StandardizationSC958Course level: IntermediateInstructor: Jianzhong Jiao

Image Chain Modeling of Digital Camera SystemsSC959Course level: IntroductoryInstructor: Robert Fiete

Joint Design of Optics and Image Processing for Imaging SystemsSC965Course level: IntroductoryInstructor: David Stork

Introduction to Infrared and Ultraviolet Imaging TechnologySC1000Course level: IntroductoryInstructor: Austin Richards

Optical Scatter Metrology for IndustrySC1003Course level: IntermediateInstructor: John Stover

Ellipsometry for Thin Film MetrologySC1005Course level: IntermediateInstructor: A.R.M. (Rahman) Zaghloul

The Use of Aspheres in Optical DesignSC1007Course level: IntermediateInstructor: Robert Fischer

Systems Engineering for Astronomy ProjectsSC1001Course level: IntroductoryInstructor: Hermine Schnetler

Page 24: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog22

Optoelectronics & Optical CommunicationsAdvanced Thermal Management Materials for Optoelectronic and MEMS/MOEMS PackagingSC386Course level: IntermediateInstructor: Carl Zweben

Terahertz Wave Technology and ApplicationsSC547Course level: IntermediateInstructor: Xi-Cheng Zhang

Semiconductor Optoelectronic Device FundamentalsSC747Course level: IntroductoryInstructor: Kurt Linden

Liquid Crystals: From Fundamentals to ApplicationsSC790Course level: IntermediateInstructor: Ivan Smalyukh

Introduction to Optical Simulation Using the Finite-Difference Frequency-Domain MethodSC864Course level: IntroductoryInstructor: Raymond Rumpf

Optical Fiber Sensing Technology: Basic Principles & Applications in Defense and SecuritySC945Course level: IntroductoryInstructors: Eric Udd and Alexis Mendez

Video StreamingSC966Course level: IntroductoryInstructor: M. Reha Civanlar

Interconnection and Splicing of High-Power Optical FibersSC974Course level: IntermediateInstructor: Andrew Yablon

Biomedical Fiber Optic Sensors and ApplicationsSC981Course level: IntroductoryInstructors: Alexis Mendez and Robert McLaughlin

Multisensor Data Fusion for Object Detection, Classifi cation and Identifi cationSC994Course level: IntroductoryInstructor: Lawrence Klein

OptomechanicsIntroduction to Optical Alignment TechniquesSC010Course level: IntroductoryInstructor: Mitchell Ruda

Introduction to Optomechanical DesignSC014Course level: IntroductoryInstructor: Daniel Vukobratovich

Structural Adhesives for Optical BondingSC015Course level: IntermediateInstructor: John Daly

Advanced Composite Materials for Optomechanical SystemsSC218Course level: IntermediateInstructor: Carl Zweben

Materials: Properties and Fabrication for Stable Optical SystemsSC219Course level: IntermediateInstructor: Roger Paquin

Optical Alignment MechanismsSC220Course level: IntermediateInstructor: Robert Guyer

Integrated Opto-Mechanical AnalysisSC254Course level: AdvancedInstructors: Victor Genberg and Keith Doyle

Advanced Thermal Management Materials for Optoelectronic and MEMS/MOEMS PackagingSC386Course level: IntermediateInstructor: Carl Zweben

Page 25: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 23

Remote SensingIntroduction to Optical Remote Sensing SystemsSC567Course level: IntroductoryInstructor: Joseph Shaw

Network Centric Target Tracking and Classifi cationSC728Course level: IntermediateInstructor: Oliver Drummond

Introduction to Optical and Infrared Sensor SystemsSC789Course level: IntroductoryInstructor: Joseph Shaw

Infrared Systems - Technology & DesignSC835Course level: AdvancedInstructor: Arnold Daniels

Introduction to INS and INS-Based Integrated NavigationSC894Course level: IntroductoryInstructor: Andrey Soloviev

Radiometry RevealedSC915Course level: IntroductoryInstructor: Joseph Shaw

Digital Camera and Sensor Evaluation Using Photon TransferSC916Course level: IntroductoryInstructor: James Janesick

The Radiometry Case FilesSC944Course level: IntroductoryInstructor: Barbara Grant

Introduction to GPS ReceiversSC996Course level: IntroductoryInstructor: Zhen Zhu

Use of CCD and CMOS Sensors in Visible Imaging ApplicationsSC068Course level: IntroductoryInstructor: Terrence Lomheim

Infrared Focal Plane ArraysSC152Course level: IntroductoryInstructors: Eustace Dereniak and John Hubbs

Multispectral Image ProcessingSC174Course level: AdvancedInstructor: Robert Schowengerdt

Imaging PolarimetrySC180Course level: AdvancedInstructors: Eustace Dereniak, Brian Miles, and Derek Sabatke

Multispectral and Hyperspectral Image SensorsSC194Course level: AdvancedInstructor: Terrence Lomheim

Polarized Light: A Practical Hands-on IntroductionSC206Course level: IntroductoryInstructor: Robert Fisher

Introduction to CCD and CMOS Imaging Sensors and ApplicationsSC504Course level: IntroductoryInstructor: James Janesick

Incorporating GPS Technology into Commercial and Military ApplicationsSC549Course level: IntroductoryInstructor: Maarten Uijt de Haag

Optomechanics for Space ApplicationsSC561Course level: IntroductoryInstructor: Ann Shipley

Principles for Mounting Optical ComponentsSC447Course level: IntermediateInstructor: Paul Yoder, Jr.

Optomechanics for Space ApplicationsSC561Course level: IntroductoryInstructor: Ann Shipley

Optomechanical AnalysisSC781Course level: AdvancedInstructor: Alson Hatheway

Allowable Stresses in Glass and Engineering CeramicsSC796Course level: IntermediateInstructor: John Pepi

Page 26: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

SPIE Courses · spie.org/CourseCatalog24

Solar & Alternative EnergyDesign and Reliability of Photovoltaic ModulesSC910Course level: IntermediateInstructors: Neelkanth Dhere and John Wohlgemuth

The Science and Technology of Organic Solar CellsSC797Course level: IntermediateInstructor: Peter Peumans

Thin Film Optical CoatingsSC321Course level: IntermediateInstructor: H. Angus Macleod

Non-Imaging OpticsSC388Course level: IntroductoryInstructor: Roland Winston

Introduction to Radiometry and PhotometrySC178Course level: IntroductoryInstructor: Barbara Grant

Radiometry RevealedSC915Course level: IntroductoryInstructor: Joseph Shaw

The Radiometry Case FilesSC944Course level: IntroductoryInstructor: Barbara Grant

Introduction to Optical Remote Sensing SystemsSC567Course level: IntroductoryInstructor: Joseph Shaw

StandardsUnderstanding Scratch and Dig Specifi cationsSC700Course level: IntroductoryInstructor: David Aikens

Understanding ISO-10110: The Optics Drawing StandardSC863Course level: IntroductoryInstructor: David Aikens

Updated US and International Laser Product Certifi cation RequirementsSC862Course level: IntroductoryInstructor: Nikolay Stoev

Understanding Laser Beam Performance Specifi cationsWS847Course level: IntroductoryInstructor: Sydney Sukuta

Laser Safety: Principles and Accident PreventionSC769Course level: IntroductoryInstructor: Kenneth Barat

Laser Safety Made EasyWS866Course level: IntroductoryInstructor: Kenneth Barat

Interested in learning more?See all SPIE courses atspie.org/CourseCatalogFormats vary by course title, so please check the Education section of SPIE.org to see what is available.

Page 27: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

[email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 25

Business & Professional DevelopmentBasic Optics for Non-Optics PersonnelWS609Course level: IntroductoryInstructor: Kevin Harding

The Craft of Scientifi c Presentations: A Workshop on Technical PresentationsWS667Course level: IntroductoryInstructor: Michael Alley

The Craft of Scientifi c Writing: A Workshop on Technical WritingWS668Course level: IntroductoryInstructor: Michael Alley

Intellectual Property: Prior Art SearchingWS758Course level: IntermediateInstructor: Nadya Reingand

Essential Interpersonal Skills for Technical ProfessionalsWS774Course level: IntroductoryInstructor: Gary Hinkle

Strategies and Tactics for High-Tech Sales SuccessWS826Course level: IntroductoryInstructor: Greg Johnson

Playing the SBIR Game to WinWS843Course level: IntroductoryInstructor: Fred Patterson

Essential Skills for Engineering Project LeadersWS846Course level: IntroductoryInstructor: Gary Hinkle

Understanding Laser Beam Performance Specifi cationsWS847Course level: IntroductoryInstructor: Sydney Sukuta

Laser Safety Made EasyWS866Course level: IntroductoryInstructor: Kenneth Barat

Creating a New Technology VentureWS867Course level: IntroductoryInstructor: Dennis Pape

Effective Technical PresentationsWS897Course level: IntroductoryInstructor: Jean-luc Doumont

Effective Scientifi c PapersWS908Course level: IntroductoryInstructor: Jean-luc Doumont

Complying with the ITAR: A Case StudyWS933Course level: IntroductoryInstructor: Kerry Scarlott

The Nuts and Bolts of PatentingWS934Course level: IntroductoryInstructor: Nadya Reingand

Project Management for Rapid Product DevelopmentWS936Course level: IntermediateInstructor: Gary Hinkle

Leading Successful Product InnovationWS951Course level: IntermediateInstructor: John Carrano

Pursuing Patents In A Changing WorldWS971Course level: IntroductoryInstructor: Marshall Honeyman

Basic Laser TechnologyWS972Course level: IntroductoryInstructor: Sydney Sukuta

Valuation of Closely Held Technology Companies, Product Lines and Intellectual PropertyWS973Course level: IntroductoryInstructor: Linda Smith

Smart PatentingWS1010Course level: IntroductoryInstructor: Joseph Gortych

Page 28: Course Catalog Covers#3 - SPIE - the international society …spie.org/Documents/Courses/Course Catalog 2010 lr.pdf ·  · 2010-07-16Fundamentals of Digital Signal/ Image Processing

spie.org/CourseCatalog

SPIE | 1000 20th Street | Bellingham WA 98225 USATel +1 360 676 3290 | Fax +1 360 647 1445

SPIE.org

SPIE is an international society advancing an interdisciplinary approach to the science and application of light.

Interested in learning more?