developments in 2d materials news from ofc developments in 2d

98
semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.11 • Issue 3 • April/May 2016 www.semiconductor-today.com ISSN 1752-2935 (online) Qorvo acquiring GreenPeak •IQE joins MIRPHAB project Kyma boosts AlN template capacity •First QCL on silicon Developments in 2D materials News from OFC Developments in 2D materials News from OFC

Upload: vanduong

Post on 14-Feb-2017

323 views

Category:

Documents


32 download

TRANSCRIPT

Page 1: Developments in 2D materials News from OFC Developments in 2D

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.11 • Issue 3 • April/May 2016 www.semiconductor-today.com

ISSN 1752-2935 (online)

Qorvo acquiring GreenPeak•IQE joins MIRPHAB project Kyma boosts AlN template capacity•First QCL on silicon

Developments in 2D materialsNews from OFC

Developments in 2D materialsNews from OFC

Page 2: Developments in 2D materials News from OFC Developments in 2D

Introducing Veeco’s new TurboDisc® EPIK700™ GaN MOCVD system As global consumption for LED general lighting accelerates, manufacturers need bigger, better MOCVD technology solutions that increase productivity and lower manufacturing costs. The EPIK700 MOCVD system combines Veeco’s award-winning TurboDisc reactor design with improved wafer uniformity, increased productivity and reduced operations expenses to enable a cost per wafer savings of up to 20 percent compared to previous systems. It also features a reactor with more than twice the capacity of previous generation reactors. This increased volume coupled with productivity advancements within the EPIK700 reactor, results in an unmatched 2.5x throughput advantage over previous reactors. Learn how Veeco’s TurboDisc EPIK700 GaN MOCVD system can improve your LED manufacturing process today. The advantage is not just big. It’s EPIK.

Contact us at www.veeco.com/EPIK700 to learn more.

Another breakthrough from Veeco. This time it’s EPIK.

Veeco’s New TurboDisc EPIK700 GaN MOCVD System

Faster LED Adoption

Lower Cost LEDs

Page 3: Developments in 2D materials News from OFC Developments in 2D

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

3

contentsEditorial 4

Markets News 6 VCSEL market growing at 21.9% from $782m in 2015 to $2.1bn in 2020Microelectronics News 10Qorvo acquiring GreenPeak • Peraso raises $20m • ACCO raises $35m Wide-bandgap electronics News 16US Air Force offering $13.5m to develop large-diameter SiC •Freebird partners with EPC • ALLOS transfers GaN-on-Si powersemiconductor epi technology • Kyma adds gallium oxide epi Materials and processing equipment News 24imec qualifies Aixtron’s AIX G5+ C for high-voltage GaN-on-Si •Solmates joins imec ‘Beyond CMOS’ Industrial Affiliation Program •ClassOne closes funding round •Kyma boosts AlN template capacity •Monocrystal doubling sapphire polishing capacity • HC SemiTek toexplore BluGlass’ RPCVD LED News 30 Plessey signs license deal with PhytoLux • Cree’s revenue falls 15.8% Optoelectronics News 39 First QCL on silicon •IQE joins MIRPHAB •DENSO invests in TriLumina Optical communications News 43 News from OFC • Mellanox unveils first 200G silicon photonics devices Photovoltaics News 60 Tampere granted €2.5m to develop cells with up to eight junctions •ZSW raises its CIGS PV cell efficiency to European record of 22%

Technology focus: Photodetectors 64Noise and aluminium indium arsenide antimonide APDs

Technology focus: 2D materials 67NREL theory overcomes barrier to using 2D semiconductors

Technology focus: 2D materials 68Aligned monolayers of lattice-mismatched semiconductors

Technology focus: 2D materials 70Exciton control in molybdenum disulfide

Technology focus: LEDs 72Tantalum barrier reduces gallium phosphide contact resistance

Technology focus: LEDs 74Indium gallium nitride barriers enhance LED power & efficiency

Technology focus: LEDs 76Thermal droop in indium gallium nitride light-emitting diodes

Market focus: RF devices 78GaN RF device market to grow at 14% CAGR, rising 2.5x by 2022

Technology focus: GaN power electronics 80Vertical, CMOS & dual-gate approaches to GaN power electronics

Technology focus: InGaAs FETs 86Indium gallium arsenide MOSFET used as radio-frequency switch

Technology focus: InGaAs FETs 88III-V field-effect transistor transconductance record

Suppliers’ Directory 90

Event Calendar and Advertisers’ Index 96

Vol. 11 • Issue 3 • April/May 2016

p42UCSB, the US Naval ResearchLaboratory and University ofWisconsin, Madison havefabricated the first quantumcascade laser on silicon

p63 A Clean Motion Zbee ultra-lightelectric vehicle with CIGS solar cells.

p67 NREL has uncovered a way toovercome a principal obstacle in using2D semiconductors in electronic andoptoelectronic devices.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: Researchersled by Oak RidgeNational Laboratoryhave synthesized a stack of two atomically thinmonolayers of twodifferent semicon-

ductor materials (GaSe/MoSe2) that arealigned — despite the mismatch in thecrystal lattices — thanks to the bindingeffect of van der Waals epitaxy. p68

Page 4: Developments in 2D materials News from OFC Developments in 2D

On pages 67–71 of this issue we cover reports of recent research on two-dimensional semiconductor materials. In particular, the USA’s NREL hasdevised a way to use 2D metal electrodes to overcome the Schottky barrierthat can present an obstacle to practical application of 2D semiconductors(citing nitrogen-doped graphene and hexagonal phase of niobium disulfideH-NbS2 for facilitating the transport of electrons and holes, respectively, intungsten diselenide WSe2, for example) — see page 61. The binding between the 2D metal and 2D semiconductor occurs through

van der Waals interaction. Likewise, a group led by the USA’s Oak RidgeNational Laboratory has used van der Waals epitaxy to grow a stack of twoatomically thin monolayers of different semiconductors (GaSe/MoSe2) thatare aligned, despite the mismatch in their crystal lattices (see page 68, andthe cover pic), broadening the number of materials that can be combinedand hence creating a wider range of potential atomically thin devices. Also,the University of California San Diego and the UK’s University of Manchesterhave explored using molybdenum disulfide (MoS2) 2D material in a ‘van der Waals’ heterostructure to control excitons at higher temperaturesthan is possible using gallium arsenide (i.e. above 100K), targetingresistance-free superfluid flow at room temperature and more efficientlight emission (see page 70). Regarding process equipment, Netherlands-based Solmates has sold a

system for pulsed laser deposition (PLD) — described as “the nextdisruptive deposition technology following the adoption of atomic layerdeposition (ALD) for thin-film manufacturing” — to Belgium-basednanoelectronic research institute imec (to aid the integration of newmaterials for next-generation transistors). Solmates has also joined imec’s‘Beyond CMOS’ Industrial Affiliation Program, which focuses on integrationand benchmarking of novel device concepts beyond traditional transistorscaling, including 2D materials such as graphene and MoS2 (see page 24). Meanwhile, imec has also just qualified Aixtron’s AIX G5+ C multi-wafer

(5x200mm) batch metal-organic chemical vapor deposition (MOCVD)platform for manufacturing buffer layers (as a result of a collaboration onhigh-voltage gallium nitride-on-silicon power device technology). Also concerning GaN-on-Si, ALLOS Semiconductors of Dresden, Germany

has transferred its latest-generation epiwafer technology to a “majorinternational industry player” as part of a joint project to accelerate itsGaN-on-Si power semiconductor product development (see page 21).While Kyma Technologies focuses on manufacturing nitride materials, it

has just extended its product range to include epiwafers made of the evenwider-bandgap semiconductor material gallium oxide (ß-Ga2O3), whichrepresents “a new frontier in the continuous search for higher-performancesemiconductor devices”, the firm says (see page 22). While such exotic materials as Ga2O3 may require niche technology, the

compatibility of GaN-on-Si to 200mm silicon fabrication plants and thelooming relevance of ‘Beyond CMOS’ materials to mainstream silicon chipmakers is driving increasing convergence of the silicon industry andcompound semiconductor technologies. This is manifested by the 11.5%CAGR forecasted for the epitaxy deposition market over 2016–2020 asdevice makers increasingly resort to using epitaxial deposition (see page 8). Mark Telford, Editor [email protected]

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement SalesDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain:● news (funding, personnel, facilities,

technology, applications and markets); ● feature articles (technology, markets,

regional profiles); ● conference reports; ● event calendar and event previews; ● suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2016 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Semiconductor convergence

Page 5: Developments in 2D materials News from OFC Developments in 2D

13966-produktmotive-easiest-to-use-213x282_CS6.indd 1 02.04.15 11:47

Page 6: Developments in 2D materials News from OFC Developments in 2D

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

6

news

The global dense wavelength divisionmultiplexing (DWDM) market willgrow from $9.2bn in 2016 to $11.4bnin 2020, according to InformationGatekeepers Inc in its report ‘Network of the Future — withEquipment Forecasts’. In additionto the DWDM market, the reportalso provides detailed analysis andforecasts for ROADMs (reconfigurableoptical add-drop multiplexers),routers and switches in NorthAmerica and worldwide. There has been a great deal of

turmoil in the area of telecommuni-cations, much of it leading to spec-

tacular changes andadvances, notes the report.Turmoil has been created bytechnological changes, byregulatory changes, by thedevelopment of new prod-ucts and applications, by theintroductions of new flavorsof services, and by the entryof non-traditional competi-tors, notes the report. http://igigroup.com/st/pages/networkoffutur.html

Following greatly increased use inthe optical communications indus-try over the past 15 years, theglobal market for vertical-cavitysurface-emitting lasers (VCSELs)will grow at a five-year compoundannual growth rate (CAGR) of21.9% from $781.6m in 2015 to$2.1bn in 2020, as demandincreases for VCSELs in consumerelectronics products and otherhigh-end applications, forecastsBCC Research in its new report‘Vertical-Cavity Surface-EmittingLasers (VCSEL): Technologies andGlobal Markets’ (PHO011B). Optical fiber data transmission

(the largest segment) should growat a CAGR of 20.2% from $246.7min 2015 to $618.2m in 2020, whileanalog broadband signal transmis-sion should grow at a CAGR of25.8% from $93.7m in 2015 to$295.3m in 2020, adds the report. Extensive R&D on VCSELs by

companies and defense servicesworldwide has produced highpower-conversion efficiency of

63.4% (compared with typically20–25% for other types of commer-cially available lasers, notes BCC).In short-range communication net-works such as storage-area networks(SAN) and local-area networks (LAN),VCSELs are expected to be the keylight source in the future. With thedevelopment of long-wavelengthVCSELs, higher bandwidth, lowercost, wavelength tunability and lowdrive currents, VCSELs are thefuture for widespread on-site andcustomer premises deployment forpassive optical access networks,the report reckons. VCSELs should also play an impor-

tant role in gesture recognition and3D sensing technology in consumerelectronics goods, BCC says. Thecontinuous demand for gesturerecognition technology in portableelectronic devices is expected tolead to higher deployment ofVCSELs in electronic devices.Greater precision in VCSELs isanother key market driver that hasled to their increased adoption in

medical applications such as med-ical diagnostics and therapeutics,optical nerve stimulation and com-puted radiography imaging. Also,superior power efficiency over LEDshas expanded the adoption of VCSELsin proximity-sensing applications. VCSELs have become an attractive

technology for many high-volumeapplications, notes BCC. “VCSELshave been widely adopted in thedata communications industry,”says BCC Research analyst SinhaGaurav. “The growth is attributedto increased demand for VCSELswith low-energy optical storage andfast switching in servers and high-capacity data centers. Additionally,the growing demand for VCSELs inultra-high-density magnetic stor-age and industrial heating, includ-ing paint curing and commercialprint shops, is further expected tocontribute to the growth of themarket,” he concludes. www.bccresearch.com/market-research/photonics/vcsel-tech-markets-report-pho011b.html

VCSEL market growing at 21.9% from $781.6m in 2015 to $2.1bn in 2020 Fiber data transmission growing at 20.2% from $246.7m to $618.2m;analog broadband transmission at 25.8% from $93.7m to $295.3m

DWDM market to grow from $9.2bn in 2016 to $11.4bn in 2020 Worldwide DWDM market bychannel speed. All other DWDM

100G DWDM40G DWDM Total market - world

2011 2012 2013 2014 2015 2016 2017 2018 2019 2020

$3500

$3000

$2500

$2000

$1500

$1000

$500

$0

$m

Page 7: Developments in 2D materials News from OFC Developments in 2D

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

7

When it comes to pricing and marketexpectations, 2015 was anothertough competitive year for pack-aged LED vendors, with challengesin both backlighting and lighting,comments Jamie Fox (principalanalyst for Lighting and LEDs atIHS Technology) in an analysis andcommentary on the latest packagedLED company rankings (excludingEpistar, San’an and other die ven-dors) from the market researchfirm’s LED Intelligence Service. The US dollar was much stronger

in 2015 than 2014 — against almostevery major currency — whichlargely explains why packaged LEDrevenue fell so much last year, saysIHS. Measured in Yen and Euro, thepackaged LED market grew 5%and 10%, respectively. (Hadexchange rates remained the sameas they were in 2014, the marketwould most likely have been muchflatter measured in US dollars.) As global LED revenue fell 8% in

2015 Lumileds was the only LEDmaker ranked in the top 10 toreport positive growth in 2015,gaining share as well as rankingposition. Despite the firm sufferingsignificant uncertainty last year (as Philips attempted to sell thebusiness), Lumileds has surpassedSamsung in every quarter sincefourth-quarter 2014. The firm con-tinues to offer a strong competitive

position in automotive LED, generallighting and mobile-camera flashcategories, and its market sharecontinues to benefit from havingonly a small position in the softbacklighting market, which includesmobile phones, notebooks, tabletsand monitors. Revenue share for Cree, LG Innotek

and Everlight declined in 2015compared to 2014. However,Everlight improved its ranking posi-tion, while the others did not.Everlight’s rise comes despite losingmarket share, because the revenuesof Cree and LG Innotek fell evenfurther. Cree and the major Korean players

all experienced double-digit revenuedeclines in 2015. Cree is increasinglyfocused on its lighting business, as

its component business is nolonger growing as quickly as itonce was. The firm has longpositioned itself — with somejustification — as a higher-qual-ity vendor than others, saysIHS. While this strategy has suf-

fered as the market has com-moditized, Korean companiesmanaged to position them-selves successfully as the low-cost option in general lighting in2012 and 2013, notes the mar-ket research firm. Even so, thisstrategy faced difficulties in

2014 and 2015, as MLS and otherChinese companies offered evenlower prices with similar quality. In2015 Samsung, LG Innotek andLumens were caught in the middle— offering neither the lowest pricenor the best quality — with no obvi-ous business strategy to addressthe issue. Seoul Semiconductor is a pure-play

LED company that is committed tothe market and has more experi-ence in LEDs than the other majorKorean players, says IHS. While thefirm gained share in 2015, revenuefell slightly. Japan’s Nichia, Germany’sOsram Opto Semiconductors andMLS all maintained their share in2015, declining slightly in revenue(in line with the market). www.ihs.com

Lumileds only top-10 packaged LED maker market togrow revenue in 2015, overtaking Samsung into 3rd Everlight leapfrogs Cree to 6th in ranking

Company 2014 2015

Nichia 1 1Osram Opto 2 2Lumileds 4 3Samsung Electronics 3 4Seoul Semiconductor 5 5Everlight 7= 6Cree 6 7LG Innotek 7= 8MLS 9 9Lumens 10 10

Ranking by packaged LED revenue (GaN + AlInGaP + standard).

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 8: Developments in 2D materials News from OFC Developments in 2D

News: Markets

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

8

Hailed as the next generation ofphotovoltaic material, it is hopedthat perovskite solar cells will driveadoption in new applications. Whilethere are challenges to be overcome,perovskites offer opportunities forpartnerships with universities aheadof a likely commercial deploymentbetween 2019 and 2021, accordingto market analyst firm Lux Researchin its report ‘The Rise of Perovskites:Identifying the Best Academic Part-ners to Work With’ (part of the LuxResearch Solar Intelligence service). The diverse compound materials

have seen dramatic achievements insolar energy conversion efficiency inacademic labs: from a mere 3.8%, thecells have risen rapidly to a record21.0%, compared with 21.7% forcompeting copper indium gallium di-selenide (CIGS) solar cells, which havebeen in development for decades. “While the efficiency question has

been answered, there remain issuesin stability, cost, and the feasibility ofreal-world efficiencies that must be

addressed before commercializa-tion can occur,” says Lux Researchassociate Tyler Ogden (lead authorof the report). “Still, demonstrationof their potential for high perform-ance by academic labs has causedresearch groups to consider spinningoff start-ups, meaning companiesneed to consider opportunities now.” Lux analysts evaluated the existing

state of perovskite solar cells andidentified opportunities for firms topartner with academia. Amongtheir findings are the following: ● Partnerships are emerging fromlabs. Dyesol has partnered withMichael Grätzel’s lab at Switzerland’sEPFL (École Polytechnique Fédéralede Lausanne), which achieved therecord efficiency of 21.0% inDecember. The UK’s Oxford Photo-voltaic Ltd is working with HenrySnaith of Oxford University, whilePoland’s Saule Technologies hasroots in the University of Valencia,and Front Materials has roots in theNational Taiwan University.

● Opportunities are still available.Many leading researchers haveclear partnerships, but opportunitiesare still present with Nam-gyu Parkof South Korea’s SungkyunkwanUniversity and Yang Yang of Universityof California Los Angeles (UCLA).Israel’s Weizmann Institute of Science and Singapore’s NanyangTechnological University are alsopromising developers. ● China is top academic publisher.China is the leading publisher onperovskite solar cells, accountingfor a quarter of all academic publi-cations, but more impactfulresearch is coming out of Israel,Switzerland, Singapore, and theUK. China is followed by the USAand South Korea. However, Euro-pean countries — the UK, Italy,Switzerland, Germany, Spain, Swe-den, France, Greece and Belgium— together account for 24%(almost equivalent to China). www.luxresearchinc.com/coverage-areas/solar

Perovskite solar cells to be commercialized in 2019–2021

The global epitaxy deposition marketwill rise at a compound annualgrowth rate (CAGR) of 11.54%during 2016–2020, forecasts amarket research by Technavio. Semiconductor companies are

moving to the fabless model, mainlyto reduce overhead expensesrelated to the operation and main-tenance of foundries. Most are con-centrating on the design anddevelopment of their solutions andare subcontracting their fabricationand other foundry-related activitiesto specialized companies. Due tothis, the ratio between foundriesand fabless companies is 1:11 inthe global semiconductor market.Companies are also consideringmergers and acquisitions (M&A) toincrease their production facilitiesand market shares.The report ‘Global Epitaxy Deposition

Market 2016–2020’ says the ever-increasing features and applications

of smart devices such as smart-phones and notebooks have createdthe need for high-performance semi-conductor devices. Due to this, overthe last decade semiconductor man-ufacturers have increased the useof epitaxial deposition on the wafer. In 2015, the epi deposition market

was dominated by the foundry seg-ment (almost 63% market share).By geography, the Asia–Pacific(APAC) comprised more than 71%of the epi deposition market, drivenby foundries such as Samsung andTSMC. APAC is also the largest con-sumer of semiconductor devices,contributing over half of total semi-conductor industry revenue. Duringthe 2016–2020 forecast period,demand for electronic devicesincluding laptops, tablets, gamingconsoles, smartphones in APACcountries will continue to rise. Inturn, demand will grow from origi-nal equipment manufacturers for

semiconductor devices (logic, ana-log, optoelectronics and sensors). Key players in the epi deposition

market include Applied MaterialsInc, ASM International, HitachiKokusai Electric Inc, Lam ResearchCorp, and Tokyo Electron Ltd. Otherprominent vendors covered by thereport are Aixtron, Canon AnelvaCorp, IQE, and Veeco Instruments.The epitaxy deposition market isopen and has considerable potentialfor new vendors, reckons the report.However, market entry is difficultdue to the high initial investment,adjustment required by constanttechnological advances, and thecyclical nature of the semiconductorindustry. Also, due to technologyadvances and mergers & acquisitions,the competitive environment isexpected to increase. www.technavio.com/report/global-semiconductor-equipment-epitaxy-deposition-market

Epi deposition market growing at 11.54% CAGR to 2020

Page 9: Developments in 2D materials News from OFC Developments in 2D
Page 10: Developments in 2D materials News from OFC Developments in 2D

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

10

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure and defenseapplications) has agreed to acquireprivately held fabless semiconductor/system firm GreenPeak Technologiesof Utrecht, The Netherlands, whichspecializes in ultra-low-power,short-range RF communicationtechnology. Qorvo says that theacquisition will allow it to expand intohighly integrated RF solutions andsystems-on-a-chip (SoCs) for theconnected home and the rapidlygrowing Internet of Things (IoT).“The acquisition of GreenPeak

Technologies will complement Qorvo’smarket-leading high-power RF port-folio with innovative ultra-low-power,short-range wireless personal areanetwork (WPAN) SoCs, ZigBee andBluetooth solutions,” believesJames Klein, president of Qorvo’sInfrastructure and Defense Products

(IDP) group. “GreenPeak brings aworld-class technical team andsolutions to Qorvo, allowing us toincrease our presence in the rapidlyexpanding IoT market,” he adds. “Qorvo will be a great environment

for the GreenPeak team to grow aspart of a much larger companyserving many more global cus-tomers,” believes GreenPeak’sfounder & CEO Cees Links. The firmwill become part of Qorvo’s IDPgroup and will be headed by Links. Market research firm Gartner fore-

casts that Smart Home networkingand IoT markets addressed by802.15.4, ZigBee and BluetoothLow Energy (BLE) technology willgrow to $2.3bn by 2020, and relatedsmart markets such as retail, agri-culture, automotive, lifestyle andcommercial lighting will grow to$4.9bn by 2020. Beyond smarthome networking, demand is grow-ing to connect a variety of devices

including HVAC (heating, ventila-tion and air conditioning), energy,security, home health, and remotecontrols utilizing ultra-low-powerwireless data communication SoCs. GreenPeak adds to Qorvo a legacy

of engineering and system-levelexpertise in wireless RF technology,and offers a broad range of propri-etary antenna diversity and multiplereceiver architecture technologies.GreenPeak’s solutions are claimedto offer superior range, robustnessand best-in-class WiFi interferencerejection with no latency in produc-tion-ready reference designs thatcan allow quick time to market forintegration into smart home andIoT applications. In 2015, the firmshipped its 100 millionth ZigBeechip to the smart home market.The acquisition is expected to close

in second-quarter 2016. www.greenpeak.comwww.qorvo.com

Qorvo to acquire ultra-low-power, short-range RF system-on-chip firm GreenPeak Qorvo expanding into highly integrated RF solutions and SoCs forconnected home and Internet of Things markets

Qorvo has added ten DOCSIS 3.1-ready reverse-path amplifiers to itsportfolio, enabling cable broadbandservice providers with the fastestdata-rate capabilities required forhigh-bandwidth content uploading. “Qorvo’s reverse-path products

equip cable providers with next-generation technology that is‘future-proof’, offering fasterupstream data-rate capability thanthe standard set forth by the cur-rent phase of DOCSIS 3.1,” saysKellie Chong, director, CATV andBroadband Access products. “Now, cable operators utilizingFTTH (fiber-to-the-home) and HFC(hybrid fiber coaxial) networks canprovide higher bandwidth to meetthe increasing subscriber demandfor upstream and interactive content.”

The new products for HFC andFTTH applications include theRFCA8830 and RFCA1008 reverse-path power amplifiers capable ofoperating up to 300MHz — wellbeyond the current return-pathrequirement of 204MHz. Thenewly released RFCM5304 includesintegrated functionality such aspower down, adjustable gain con-trol (AGC), configurable current,first-stage amplifier bypass, andan on-chip thermal pin to sense IC temperature during operation. Qorvo’s reverse-path amplifiers

are available in standard HFC; SOT-115J form factor; miniaturizedmulti-chip module (MCM); andmonolithic microwave integratedcircuit (MMIC) packages, includingQFN and SOIC. These products

accelerate the deployment of high-speed CATV DOCSIS 3.1 networkswhile providing cable designersmore flexibility in product design. Qorvo claims that it offers the

most DOCSIS 3.1-ready products,with more than 60 componentsincluding pre-driver gain blocksand push-pull and power doubleramplifiers employing the latesthigh-performance process tech-nologies, including gallium nitrideHEMTs, delivering superior linearity,output power and reliability.Qorvo’s forward-path amplifiersoperate from 45MHz to 1.2GHzwith very high output (capable of76dBmV composite power),extremely low distortion (lowerthan 70dBc), and input and outputreturn loss of –20dB (typical).

Qorvo offers maximum upstream bandwidth with new DOCSIS 3.1-ready reverse-path amplifiers

Page 11: Developments in 2D materials News from OFC Developments in 2D

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

11

Fabless wireless chipset firm PerasoTechnologies of Toronto, Canada,which develops wireless Gigabit(WiGig) chip-sets, has raised $20min a Series C funding round co-ledby semiconductor manufacturerIntegrated Device Technology Inc(IDT) of San Jose, CA, USA andexisting investor Roadmap Capital,with additional financing fromiNovia Capital. Peraso is in production with WiGig

IC solutions, addressing both next-generation WiFi opportunities in theconsumer electronics (CE) space andoutdoor devices in the 60GHz wire-less infrastructure space compliantwith the IEEE 802.11ad specification.The funding will be used to increasethe capacity to address customerinterest in all of the targeted marketopportunities, including smartphonesand virtual reality (VR).

“Multi-Gigabit wireless connectivityis something that more and moreCE and wireless infrastructure manufacturers are seeking to incorporate in their products,” sayspresident & CEO Ron Glibbery.“Raising this capital allows Perasoto take our sales to the next level.Participation by IDT provides furthervalidation of the market opportunity,and continued participation fromRoadmap as well as iNovia speaks tothe tremendous success the com-pany has demonstrated in gettingour first ICs to market,” he adds. “As wireless video and data vol-

umes continue to explode, webelieve WiGig will offer significantcapabilities in addressing customerdemands,” says Sailesh Chittipeddi,chief technology officer and VP ofglobal operations at IDT. “Perasohas demonstrated its ability to

develop the WiGig ecosystem thatcan address the demands of tomor-row.” “Peraso has demonstrated inter-

operability with a variety of availableWiGig products which are based oneither Intel or Qualcomm chips;this is an achievement which isessential to create a robust WiGigecosystem,” comments Imed ZinePhD, principal at Roadmap. “Addi-tionally, Peraso is the only companyoffering a WiGig USB stick solutionfor legacy devices — somethingwhich is necessary for backwardcompatibility of existing WiFi equip-ment,” he adds. “Peraso hasdemonstrated excellent productexecution, which has resulted inleadership in multiple markets,”comments Hugh Cleland, Roadmapco-founder & Peraso board member. www.perasotech.com

Peraso raises $20m Series C funding to boost WiGig sales

Presto Engineering Inc of San Jose,CA, USA (which provides productengineering & test and supply chainmanagement) is collaborating withPeraso to develop a comprehensivetest solution for Peraso’s new60GHz semiconductor products. The Peraso chipset is currently in

full mass production, with Prestoproviding test services at volumesof tens of thousands of parts permonth. The new solution is thefirst phase of a project that will culminate in a high-efficiency testsolution — 40x faster and capable ofsupporting high-volume production(millions of devices per month) forthe consumer electronics market— planned for later in 2016. The60GHz spectrum provides thefoundation for WiGig technologyand, with the increased demandfor faster wireless connectivity, isquickly changing how users streamand connect to the Internet. “Presto contributed expertise in

high-frequency RF testing that wasabsolutely essential in our collab-oration,” says Peraso’s CEO Ron

Glibbery. “Together, we were ableto develop an innovative solutionthat provides reliable testing atspeeds and costs sufficient to sup-port our infrastructure integratedcircuits. We are well on our way tocompleting a test solution that willreduce the cost of test by another40x and support the high growthwe anticipate with the introductionof products into the consumerelectronics market,” he adds. “Collaboration with Peraso allowed

us to combine our extensive expe-rience in RF test with Peraso’sexpertise in 60GHz ICs to developa solution for high-speed/low-costtesting where none previouslyexisted,” says Presto’s CEO MichelVillemain. “We have already madesignificant progress in the nextphase of the development that willoffer significantly reduced test timesand an overall lower cost,” he adds.“Successful collaboration demon-strates the benefits we provide tofabless manufacturers, rangingfrom test services to comprehen-sive supply chain management.”

The Peraso X610 WiGig chip-setconstitutes a complete basebandto 60GHz solution and is compliantwith the single-carrier modulationand coding schemes of the IEEE802.11ad specification. Incorporat-ing the PRS4601 WiGig basebandIC and the PRS1126 WiGig trans-ceiver, the chip-set provides thecore functionality for a low-cost,high-performance multi-gigabitper second solution that operatesacross the industrial temperaturerange. As manufacturers continueto seek solutions to bring WiGig-enabled devices quickly to market,Presto and Peraso aim to drivedown the cost and implementationtime with the new test solution. The testbed consists of a set of

custom hardware componentsmounted on an automated testequipment (ATE) load board, andassociated test programs, thattogether provide comprehensiveautomated testing of both base-band (~2GHz) and high-frequency(60GHz) functionality. www.presto-eng.com

Presto and Peraso co-developing 60GHz chip-set test

Page 12: Developments in 2D materials News from OFC Developments in 2D

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

12

Analog and mixed-signal semicon-ductor manufacturer SkyworksSolutions Inc of Woburn, MA, USAhas unveiled SkyLiTE 2.0, its next-generation front-end solutionpowering LTE devices. The highlyintegrated platform provides smart-phone makers with a completefront-end system that meets today’scarrier aggregation (CA) designchallenges. Building on Skyworks’ first-gener-

ation solution, the devices arebaseband agnostic and incorporateamplification, switching, Wi-Fi filtering and coupler functionalityrequired to support all majorFDD/TDD bands. SkyLiTE 2.0addresses new global downlink CAperformance standards with integ-rated diplexer and harmonic filteringfunctionality and is optimized forregional use, providing OEMs withscalable and reconfigurable archi-tectures for faster time to market.China-based global electronics andtechnology service provider OPPOis the first OEM to leverage the new SkyLiTE 2.0 in support ofsmartphones launched in April.

“Skyworks’ newest family ofSkyLiTE solutions enable us to offersmartphone manufacturers a high-performance, low-cost platformthat delivers ultimate flexibilitywhile addressing carrier aggregationchallenges,” says VP of marketingCarlos Bori. “With the enhancedcapabilities of SkyLiTE 2.0, Skyworksis once again pushing the perform-ance envelop and helping to simplifyour customer’s design process viafully integrated modules. We’reparticularly proud of our initialproduct engagement with OPPO andlook forward to further enhancingtheir product offerings,” he adds. According

to a Septem-ber 2015 GfKProjectorModel Report,LTE will rep-resent about49% of totalhandsets by2016, upfrom 22% oftotal hand-sets in 2014.

Skyworks’ SkyLiTE 2.0 solution targets this fast-growing marketsegment. The SkyLiTE 2.0 platform com-

prises the following products: ● SKY77927-11 — a transmit/receivefront-end module that offers acomplete power amplifier andswitching solution for 2G/3G/4Gcellular handsets. ● SKY77928-11 — a transmit/receivefront-end module that offers acomplete power amplifier andswitching solution for 2G/3G/4Gcellular handsets with dual antenna. ● SKY77651-11 — a multi-modemulti-band power amplifier modulethat supports 3G/4G handsets andoperates efficiently in CDMA, WCDMAand LTE modes. The module is fullyprogrammable through a MobileIndustry Processor Interface (MIPI). ● SKY77652-11 — a multi-modemulti-band power amplifier modulethat supports 3G/4G handsets andoperates efficiently in CDMA,WCDMA, TD-SCDMA and LTEmodes. The module is fully pro-grammable through a MIPI. www.skyworksinc.com

SkyLiTE 2.0addresses newglobal downlinkCA performancestandards withintegrateddiplexer andharmonicfilteringfunctionality

Skyworks unveils SkyLiTE 2.0 front-end for carrieraggregation in LTE smartphones

Skyworks has released its 2015Sustainability Report, a voluntarynon-financial public document thataddresses the firm’s commitmentto sustainable business practices.The report highlights continuedefficiency improvements and pro-vides an overview of Skyworks’initiatives in multiple areas, fromits environmental efforts and laborpractices, to its supply chain,health & safety programs, ethicspolicies and stewardship activities.“As the world becomes increas-

ingly connected, Skyworks is committed to delivering innovativesolutions that meet our customers’challenges and reduce our foot-print on the environment,” says

Bruce J. Freyman, executive VP ofworldwide operations. “We driveour sustainability efforts across allof our business practices, from thelaunch of our products to their endof life,” he adds. “We are proud ofour accomplishments in 2015 andour progress over the past fiveyears. Skyworks remains focusedon achieving further environmen-tal improvements.” In 2015, Skyworks continued its

trend of manufacturing productswith decreased environmentalimpact. Year-on-year, Skyworks has: ● reduced its energy usage rateby 14%, saving 33 million kilowatthours (enough energy to power2100 households for one year);

● decreased its water consump-tion rate by 17%, saving 51 milliongallons (enough indoor water foruse by 351 families of four for oneyear); ● lowered its hazardous wastegeneration rate by 33% (eliminat-ing the creation of nearly 1 millionpounds of hazardous waste); ● completed a Sustainability riskassessment of all of its major sup-pliers; and ● dropped its total recordable inci-dent rate by 11%. A complete copy of the report

and additional information can befound at the web link below. www.skyworksinc.com/downloads/investors/skyworks_sustainability.pdf

Skyworks reduces year-on-year energy usage by 14%, waterconsumption by 17% and hazardous waste generation by 33%

Page 13: Developments in 2D materials News from OFC Developments in 2D

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

13

Specialty foundry TowerJazz (which has fabrication plants atTower Semiconductor Ltd in Migdal Haemek, Israel, and at itssubsidiaries Jazz SemiconductorInc in Newport Beach, CA, USA and TowerJazz Japan Ltd) hasannounced its SiGe Terabit Platform,targeting high-speed wireline communications for the terabit age. Wireline data traffic is increasing

dramatically, with traffic at Googlenotably increasing by 50 times overthe last 6–7 years (75% per year).Estimates vary, but experts agreeon double-digit compound annualgrowth rates (CAGRs) and a 2020market for high-speed optical com-ponents in excess of $9bn. TowerJazz says that it is address-

ing this market through a family ofcustomized foundry silicon-germa-nium (SiGe) BiCMOS technologiesand is now announcing availabilityof its highest-performance processto date: S4. Customers includemanufacturers of components forcarrying high-speed data traffic,such as Broadcom, Inphi, MACOM,Maxim, Maxlinear and Semtech.

Terabit Platform: HX, H2, H3, H4, S4 The SiGe Terabit Platform includesadvanced CMOS, together with low-noise, high-speed and high-powerSiGe devices and unique patentedfeatures that enable what is said tobe best-in-class performance for themost demanding ICs in high-speedcommunication links. These com-ponents include trans-impedanceamplifiers (TIAs) on the receive pathand laser drivers on the transmit path. The addition of S4 to the SiGe

Terabit Platform extends the firm’shistory of process technologies thatinclude HX and H2 (for 10–28Gbps),H3 with SiGe speeds of 280GHz (forup to 100Gbps) and now H4 and S4with transistor speeds that exceed300GHz and can cut power consump-tion by nearly an order of magnitude. TowerJazz says two demonstrations,

both in H3 technology, showcase thevalue of the SiGe Terabit Platform. The first is the demonstration by

University of California, Irvine (UCI)of TIA performance at 50Gbps, asreported at the 2015 IEEE Bipolar/BiCMOS Circuits and TechnologyMeeting (BCTM). “We measured upto 70Gbps data rate, using a NRZ

(non-return to zero) architecturewith an eye of 50Gbps,” notes DrPayam Heydari, IEEE DistinguishedLecturer & Full Professor of ElectricalEngineering and Computer Science.“We estimate this chip will consumeless than 0.5mW per GHz.” The second is Bell Labs (the

innovation engine of Nokia) with its demonstration of a 112Gbpstransceiver, as reported at the 2015IEEE Compound Semiconductor ICSymposium (CSICS). “This is theworld’s first demonstration of a>100GBs serial datalink built with asilicon IC,” says lead author DrShahriar Shahramian (Bell Labstechnical manager). “56GBaud, 4-PAMtransmission over 2km of SSMF(single-mode fiber) has been exper-imentally demonstrated,” he adds. “These demonstrations used our H3

process and each represents recordperformance in data rate, as well asdemonstrating new standards in datatransmission over single-mode fiber,”says TowerJazz executive director &fellow Dr David Howard. “S4 enablesthe SiGe Terabit Platform to deliverhigher speed and lower power.” www.towerjazz.com

TowerJazz adds 300GHz S4 process to SiGe TerabitPlatform, enabling high-speed wireline communications

Anokiwave Inc of San Diego, CA,USA, which provides highly integ-rated silicon core chips and III-Vfront-end integrated circuits formillimeter-wave (mmW) and activeelectronically scanned array (AESA)markets, has extended its X-bandIC product line with the galliumarsenide (GaAs)-based AWMF-0106front-end IC, completing the firm’sfamily of X-band radar solutions forcommercial radar and 5G commu-nications markets. The AWMF-0106 is an integrated

power amplifier (PA), low-noiseamplifier (LNA), limiter and T/R(transmit/receive) switch intended toprovide a low-noise, medium-powerfront end for the silicon-based AWS

-0103 and -0105 high Rx-input-linearity X-band core IC solutions. The device also includes Tx output

power detection, active bias of thepower amplifier stages, and activeself-bias of the low-noise amplifierstages. Dual Rx outputs are pro-vided to enable dual-Rx beamphased-array applications. Usedwith the firm’s core IC solutions, thefront-end IC enables planar antennadesign at X-band with reduced system size, weight and cost. “This new IC, together with the

AWS-0103 X-band core IC, com-pletes a plug-and-play chip-set forX-band radars,” says CEO RobertDonahue. “The parts are designedto work together with the requisite

beam-steering and taper controls,output PA, input LNA, and front-endswitch and limiter,” he adds. “Webelieve this solution is the mostintegrated X-band AESA solutionavailable on the market.”The AWMF-0106 is packaged in a

56-lead PQFN low-profile surface-mount plastic package with dimen-sions of 7mm x 7mm x 0.9mm,easily fitting within the typical15mm lattice spacing at 10GHz.The device features ESD protectionand is realized in 0.25μm GaAstechnology.Pilot production deliveries are

available now, with full productionquantities available in September. www.anokiwave.com

Anokiwave launches X-band front-end IC

Page 14: Developments in 2D materials News from OFC Developments in 2D

Skyworks has launched two newbroadband 75Ω monolithicmicrowave integrated circuit (MMIC)front-end, low-noise amplifiers(LNAs) designed specifically for set-top box applications. Fabricated using silicon-germanium

(SiGe) BiCMOS technology, theSKY65450-92LF (with bypass mode)and the SKY65452-92LF providehigh linearity and what is claimed

to be excellent gain over a wide fre-quency range (40MHz to 1GHz)with minimal external components,enabling OEMs to leverage cost effi-ciencies through lower bill of mat-erials. In addition, Skyworks’ new LNAs

offer low current consumption andlow noise figure (2.9dB typical),delivering energy-saving features,and are packaged in compact

6-pin SC-70 (SC-88, SOT-363) foot-print of 2.0mm x 2.2mm x 0.95mm. The devices can also be used for

cable modem and cable homegateways, personal video recorder(PVR) and digital video recorder(DVR) applications. www.skyworksinc.com/Product/3145/SKY65450-92LF www.skyworksinc.com/Product/3146/SKY65452-92LF

ACCO Semiconductor Inc of Sunny-vale, CA, USA, a fabless provider ofRF front-end components manufac-tured using standard high-volumebulk CMOS processes for smart-phone and Internet of Things (IoT)applications, has closed a $35mfunding round — claimed to be oneof the largest funding commitmentsto a private semiconductor companyin the last 12 months. ACCO, aFrench–American company whosemain R&D center is based near toParis, is currently accelerating itsbusiness into various brands of LTEsmart phones now found in severalcountries in Asia and Europe. ACCO has developed and patented

RF front-end technology for mobilecommunications devices (poweramplifiers and antenna switches)that uses standard complementary-metal-oxide-semiconductor (CMOS)processing. The firm’s bulk CMOScellular power amplifier offershighly linear performance yet canoperate at high power withoutbreakdown or degradation, whichwas previously considered impossi-ble, it is claimed. The use of CMOSin the RF front-end improves func-tionality at a reduced size and costfor both smart-phones and theInternet of Things, as well as lever-aging the mature, reliable, high-volume CMOS supply chain.

Strategy Analytics estimates thatthe mobile power amplifier (PA)market at $3.5bn, representing asignificant business opportunity forACCO. The growth projections forcellular-connected IoT devices willfurther expand this potential.“Designing the RF front-end of anLTE phone to support regional andglobal cellular bands has gottenextraordinarily difficult,” notesChristopher Taylor, director of RF &Wireless Components at StrategyAnalytics. “Starting with monolithicintegration of the PAs and switches,bulk CMOS has great potential forsimplifying the RF front-end andmaking it more space efficient andless costly — satisfying a critical needin the cell-phone industry,” he adds. This latest round is led by the

French national industrial bankBpifrance, through its growth fundLarge Venture, with participationfrom investors in both the USA andFrance including Foundation Capital,Pond Ventures, Partech Ventures,Omnes Capital, Siparex Group andA Plus Finance. The investment istimed to support the launch of ACCO’snewest generation of industry-standard RF front-end CMOS solutions and will provide workingcapital as well as R&D funds fornext-generation products whileenabling the company to add incre-

mental local support for its increas-ing customer base. ACCO’s cellular radio component

“dramatically reduces cost andcomplexity,” says Nicolas Herschtel,investment director at BpifranceLarge Venture. “We believe ACCO'shighly integrated technology perfectlyfits the industry requirements andthat this new equity round will enablethe company to scale and achieveits commercial goals,” he adds. “ACCO’s proprietary and patented

high-breakdown voltage CMOStransistor has broken the code onsize and power efficiency in 4Gpower amplifiers,” comments RichRedelfs, general partner at Founda-tion Capital and chairman of ACCO.“ACCO now has the resources toscale and obsolete expensive GaAspower amplifiers used in today’smobile phones,” he adds. “The commitment from Bpifrance

and other participating investorsvalidates the importance of buildingthe RF front-end in bulk CMOS —the process used in nearly the entireelectronics industry,” says ACCO’spresident & CEO Greg Caltabiano.“Not only is this important forsmart phones, but also critical toIoT where highly integrated, highlyfunctional, low-cost solutions willdrive success of the entire market.” www.acco-semi.com

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

14

ACCO raises $35m in funding round led by Bpifrance Funding to support launch of newest generation of RF CMOS front-ends and R&D on next-generation products

Skyworks launches front-end low-noise amplifiers forset-top box applications

Page 15: Developments in 2D materials News from OFC Developments in 2D

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

15

Peregrine Semiconductor Corp ofSan Diego, CA, USA — a fablessprovider of radio-frequency integ-rated circuits (RFICs) based on silicon-on-insulator (SOI) — haslaunched the UltraCMOS PE42723,an RF switch with what is claimedto be the highest linearity specifi-cations on the market. As an upgraded version of the

PE42722, the new RF switch offersenhanced performance in a smallerpackage. Like its predecessor, thePE42723 exceeds the linearityrequirements of the DOCSIS 3.1cable industry standard and enablesa dual upstream/downstream bandarchitecture in cable customerpremises equipment (CPE) devices. The cable industry faces the chal-

lenge of supporting the consumer’sincreasing demand for more high-speed home data. The rapid adop-tion of video-streaming services iscompounding the problem and put-ting enormous strain on the broad-band ecosystem, from CPE devicesto cable infrastructure, says Pere-grine. As predicted in the CiscoVisual Networking Index (VNI), 5 million years of video content willcross the Internet each month in2019. This means nearly a millionminutes of video will be streamedor downloaded every second. Tokeep up with consumer demand, inOctober 2013 the cable industryannounced the DOCSIS 3.1 standard,offering multi-gigabit throughput.

The standard set an ambitious goalfor the industry, and one of the taskschallenges was to support the newlinearity requirements and harmonics. The PE42722 and PE42723 switches

offer what is claimed to be a uniqueapproach to solving the DOCSIS 3.1linearity challenge when supportinga dual upstream/downstream bandarchitecture. CPE devices (such asset-top boxes, cable modems andhome gateways) previously sup-ported only one upstream/down-stream band combination. ThePE42722 and PE42723 are said tobe the only RF switches that enabledual upstream/downstream bandsto reside in the same CPE device.By using this dual-band architecture,CPEs can comply with the DOCSIS3.1 cable industry standard, andmulti-service operators (MSOs) havethe flexibility to offer customers newand expanded services. MSOs alsobenefit from the switches supportingboth DOCSIS 3.0 and 3.1 require-ments, allowing a simple and cost-effective transition to DOCSIS 3.1. “DOCSIS 3.1 represents a giant

leap forward in achieving multi-gigabit data rates,” comments Jim Koutras, director of productmanagement at RF/analog andmixed-signal semiconductor firmMaxLinear of Carlsbad, CA, USA.“With their exceptional linearity,Peregrine’s PE42722 and PE42723RF switches simplify the transitionfrom DOCSIS 3.0 to 3.1,” he adds.

“The ability to support dualupstream/downstream bands in thesame CPE device is a critical enablerto making DOCSIS 3.1 a reality.” Before the introduction of the

PE42722 in 2013, no switch hadmet the linearity requirements necessary to support a dualupstream/downstream band archi-tecture, says Peregrine. To createthis architecture, the switch isplaced directly at the cable modem(CM) F-connector before the filtersand must comply with the stringentDOCSIS 3.1 CM spurious emissionsrequirements of –50dBmV. Such alow spurious level requires theswitch harmonic performance to begreater than –115dBc. ThePE42722 and PE42723 are the onlyRF switches available that canachieve these high harmonicrequirements, it is reckoned. Covering a frequency range of

5–1794MHz, the PE42723 is areflective SPDT RF switch that deliv-ers high linearity and exceptionalharmonic performance. At 17MHz,the second harmonic is –121dBcand the third harmonic is –140dBc.The switch’s low insertion loss(0.3dB at 1218MHz) preservesnoise figure and receiver sensitivityand achieves superior signal quality,along with providing high isolationof 54dB at 204MHz. The PE42723has 3kV ESD protection on all pins. www.psemi.com/products/rf-switches/pe42723

Peregrine’s high-linearity RFswitches have been designed intomultiple DOCSIS 3.1-certified cablemodems. CableLabs, the R&D con-sortium that develops the DOCSISspecification, has certified the firstDOCSIS 3.1 cable modems. Ofthese, Peregrine’s UltraCMOSPE42722 and PE42723 RF switcheshave been designed into the cable

modems that feature a band-select feature. “As the world-wide cable industry

transitions from DOCSIS 3.0 to 3.1technologies, MSOs need to future-proof their CPE devices with higherflexibility in addition to backwardcompatibility,” says Duncan Pilgrim,VP & general manager of Peregrine’shigh-performance analog (HPA)

business unit. “Peregrine’s high-linearity RF switches rise to thechallenge and deliver a key sellingfeature for cable modem vendors,”he adds. “By offering a dual-bandarchitecture, our switches enable asimple transition from DOCSIS 3.0to 3.1 service.” www.psemi.com/products/rf-switches/pe42722

Peregrine’s dual upstream/downstream-band RF switches designedinto multiple DOCSIS 3.1-certified cable modems

Peregrine’s new RF switch exceeds linearityrequirements for dual-band DOCSIS 3.1

Page 16: Developments in 2D materials News from OFC Developments in 2D

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

16

At the Satellite 2016 conference inNational Harbor, MD, USA (7–10March), Advantech Wirelessreceived the Via Satellite ExcellenceAward for ‘Vertical Impact – Military’,recognizing it for innovation in support of the military sector. One of Advantech Wireless’

accomplishments this year was theintroduction of second-generationGaN products for tactical markets.

These are high-performance block-up converters and high-poweramplifiers that have overall size,weight and power reductions ofabout 50% of previous versions.For example, applying these ampli-fiers in troposcatter communicationsenables a new class of man-portableterminals, enabling new communi-cations applications for tacticaloperations to reduce size and

weight, while increasing effective-ness of military wireless networks. “We began our GaN technology

initiative because we knew thatever-increasing bandwidth demandswould require better performance,”says CEO David Gelerman. “Wecontinue to invest in research anddevelopment to produce higher-power and more efficient products.” www.advantechwireless.com

Advantech Wireless awarded Via Satellite Excellence Awardfor ‘Vertical Impact – Military’ sector

The Semiconductor’s business unitof Raytheon UK in Glenrothes,Scotland (a subsidiary of RaytheonCompany of Waltham, MA, USA)and Newcastle University’s School ofElectrical and Electronic Engineeringhave collaborated to produce silicon carbide (SiC)-based amplifiercircuitry with operational amplifier(op amp)-like characteristics. Onceintegrated and packaged into a single device, the amplifier has thepotential for use in monitoring andclosed-loop control circuitry appli-cations within a variety of harsh-environment industries, such asaerospace, oil & gas, geothermalenergy and nuclear, says Raytheon. “To date, the focus on silicon carbide

semiconductors has been powerelectronics and exploiting the mat-erial’s ability to dissipate internallygenerated heat,” notes Dr AltonHorsfall, reader in SemiconductorTechnology at Newcastle University.“For this project though we’vefocussed on creating circuitry thatcan operate in high temperatureand other harsh environments. Thiscould therefore lead to conditionmonitoring circuitry mounted ongas turbines or within the primarycoolant loop of a nuclear reactor,

which runs at about 350ºC,” he adds. At the heart of the amplifier circuit

is a lateral small-signal junctionfield-effect transistor (JFET). Thisoffers a significant improvement inreliability in hostile environments,because of the lack of a gate oxidelayer. This results in greater stabil-ity in the threshold voltage and areduction in the intrinsic noise,making these structures suitablefor the realization of high-tempera-ture, low-noise amplifier circuits.The current circuit is a fully differ-ential, three-stage amplifier, with asource follower final stage, opti-mized to operate on a ±15V supply.Modifications enable voltage sup-plies of ±45V to be utilized toincrease the voltage headroom ofthe circuit. Laboratory tests have shown the

amplifier circuit has an open-circuitgain over 1500 at room temperature.A high-temperature gain of 200 hasbeen recorded at 400°C, but this islimited by the passive componentsused in the circuit. The recent monolithic integration

of the amplifier into a single chipshould deliver the kind of op ampcapabilities with which electronicsengineers the world over are famil-

iar, says Raytheon. “Though we’re not the only ones

to be exploring the suitability of silicon carbide for control and moni-toring applications in harsh envi-ronments, we believe this amplifiercircuit represents the furthest any-one has gone down the lab-to-fabroute,” states Phil Burnside, busi-ness development manager ofRaytheon UK’s SemiconductorsBusiness Unit. “In this instance, it isNewcastle University’s designexpertise and understanding ofharsh environments, combinedwith our silicon carbide processingexpertise, that have the potentialto result in the full commercializa-tion of a high-temperature versionof a fundamental electronic buildingblock, the humble op amp.” A technical demonstrator of the

amplifier circuit is on Raytheon UK’sstand at Power Conversion Intelli-gent Motion (PCIM) Europe 2016 inNuremberg, Germany (10–12 May).Also at PCIM Europe, on 11 May inthe Industry Forum Area, RaytheonUK is on a panel session, organizedby Yole Developpement, regardingthe use of power electronics inhigh-temperature applications. www.raytheon.co.uk

Raytheon UK and Newcastle University produce SiC-based analog circuitry for high-temperature and harsh-environment monitoring applicationsLateral small-signal JFET enables high-temperature, low-noiseamplifier circuits

Page 17: Developments in 2D materials News from OFC Developments in 2D

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

17

The US Department of Defense’sAir Force Research Laboratory,Sensors Directorate, Devices forSensing Branch (AFRL/RYDD) hasissued a Request For Information(RFI) titled ‘Development of LargeDiameter Silicon Carbide Substrateand Epitaxial Processes’ (solicitationnumber RFI-AFRL-RQKS-2016-0002)to obtain information about potentialsources with demonstrated expertiseand experience to meet the Air Force’stechnical development requirementsin large-diameter silicon carbidesubstrates and epitaxial processes. The Air Force need for sensors

extends from DC to the radio-frequency spectra focusing on micro-wave through sub-millimeter wave(300MHz–300GHz). Exploitation ofhomo/hetero-epitaxial devicesfabricated on SiC holds promise forrevolutionary improvements in thecost, size, weight and performanceof a broad range of military RF andpower management and distribu-tion components, it adds. Gallium nitride high-electron-mobility

transistors (HEMTs) are rapidlybecoming the technology of choicefor high-power RF applications, butGaN RF devices are dependent onthe use of high-quality, semi-insu-

lating silicon carbide (SiC) sub-strates, notes the RFI. The combi-nation of high voltage and currenthandling as well as switching fre-quency capabilities make SiC-basedpower devices a viable alternativeto silicon technology, it adds. The fabrication of SiC power

devices requires homo-epitaxialgrowth of precisely doped SiC lay-ers ranging in thickness from a fewmicrons to >100μm, depending onthe voltage requirements. Criticalto the realization is the availabilityof affordable, high-quality, large-diameter SiC substrates and epi-taxy from a pure-play supplier. AFRL says that it is therefore

interested in advancing the techno-logical state-of-the-art with respectto SiC growth and fabrication. Key technical requirements

related to the RFI include: ● to demonstrate the axial gradienttransport (AGT) crystal growthprocess to enhance quality, pro-ducibility and furnace throughputfor conducting (N-doped) andsemi-insulating (V-doped) SiCboules up to 200mm diameter; ● to demonstrate vanadium as abackground dopant to achieve uni-form boule radial and lateral resistivity

of >1012Ω-cm for 4H- and 6H-SiCboules up to 200mm diameter; ● to demonstrate the fabricationand polishing of conducting andsemi-insulating SiC substrates upto 200mm diameter that exceedexisting state-of-the-art practices; ● to demonstrate substrate and epidefect reductions that are an orderof magnitude below existing industryavailability, enabling cost reductionsin excess of 50% for both substratesand epiwafers; and ● to demonstrate SiC epi growthrates exceeding 60µm/hr of high-quality epitaxial layers with uniformthickness and doping densities onwafers up to 200mm diameter thatare supportive of a broad range ofdevice structures, including but notlimited to Schottky diodes, metal-oxide-semiconductor field-effecttransistors (MOSFETs), junctionfield-effect transistors (JFETs), andbipolar junction transistors (BJTs). The deadline for responding to the

RFI was 18 April. The maximumaward for funding under a coopera-tive agreement resulting from theRFI is $13.5m. www.fbo.gov/spg/USAF/AFMC/AFRLWRS/RFI-AFRL-RQKS-2016-0002/listing.html

The Fédération des Chambres deCommerce du Québec (FCCQ) forInnovation and International MarketDevelopment has named AdvantechWireless Inc of Montreal, Canada(which manufactures satellite, RFequipment and microwave systems)as a finalist in the 36th edition ofthe Les Mercuriades 2016 businesscontest for innovation and interna-tional market development of itssecond-generation gallium nitride-based satcom systems and advancedsatellite networking technologies.

Since 1981, Les Mercuriades hascelebrated entrepreneurship andoutstanding achievements ofQuébec businesses, rewardingsmall- & medium-sized enterprises(SMEs) and large companies, espe-cially for the quality of management,development strategies, long-termvision and impact on the community,in a total of 12 categories. Winners ofLes Mercuriades will be announcedat the grand gala evening on 16 Mayat Palais des Congres de Montréal. www.advantechwireless.com

US Air Force offering $13.5m cooperative agreementto develop large-diameter SiC substrates and epi AFRL targeting affordable, high-quality, large-diameter SiC substratesand epi from pure-play supplier

Advantech Wireless named finalist of Les Mercuriades 2016

Mario Leclerc of La Coop fédérée andJoe D’Onofrio, David Gelerman, Harry Jiao and Yves Lamontagne ofAdvantech Wireless.

Page 18: Developments in 2D materials News from OFC Developments in 2D

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

18

Fabless high-temperature andextended-lifetime semiconductorfirm CISSOID of Mont-Saint-Guibert,Belgium has delivered the first prototypes of a three-phase1200V/100A silicon carbide (SiC)MOSFET intelligent power module(IPM) to Thales Avionics ElectricalSystems. Developed with the sup-port of Clean Sky Joint Undertaking,the module will help to increasepower converter density (by decreas-ing weight and size) for power gen-eration and electromechanicalactuators in ‘More-Electrical Aircraft’. CISSOID says that the IPM offers

optimal integration of the gate drivertogether with power transistors inorder to take advantage of the full benefits of SiC, i.e. low switch-ing losses and high operating tem-perature. Leveraging its HADES2isolated gate driver (which incorpo-rates years of development in driving SiC transistors), it combinesadvanced packaging technologies,enabling reliable operation of powermodules in extreme conditions, thefirm adds. For the new aerospace module, a

three-phase power inverter topol-ogy was selected while othertopologies are being investigatedfor hybrid electric vehicle (HEV)and railways projects. In this three-phase topology, each of the sixswitch positions includes a 100ASiC MOSFET transistor and a 100ASiC Schottky free-wheeling diode.The devices can block voltages upto 1200V, which provides enoughheadroom against over-voltages ina 540V aerospace DC bus, and themodule is designed to be easilyupgraded with 1700V/150A SiCdevices. The transistors have a typical on-resistance of 12.5mΩ or8.5mΩ, depending on their currentrating (either 100A or 150A). During the design of the module,

special care was placed on thermalaspects, says the firm. First, all thematerials have been selected to

allow reliable operation at highjunction temperatures (up to200°C, with peaks at 225°C) inorder to decrease cooling require-ments. Thismaterialsselection also enableshigh caseand storagetempera-tures (up to150°C).Finally, themodule isbased onhigh-per-formancematerialssuch as anAlSiC base-plate, AlNsubstratesand silversintering inorder tooffer near-perfect CTE(coefficientof thermalexpansion)matchingwith SiCdevices and highrobustnessagainstthermal andpowercycling.

Co-designing the gate driver withthe power module in a single IPMallowed CISSOID to optimize thegate driver circuit, taking intoaccount parasitic inductances of thepower module while minimizingthem when possible. Minimizingparasitic inductances allows fasterSiC transistor switching and lowerswitching losses. An IPM also offersa plug-and-play solution to powerelectronic designers, who can hencesave a lot of time in the design ofthe gate driver board (which is particularly challenging with SiCtransistors). They can then focus onthe design of high-density powerconverters, taking advantage of SiC. “It was a pleasure to work with

CISSOID team in the frame of thisClean Sky program. They showed agreat flexibility in proposing ussolutions addressing the require-ments of the next generation of high-density power converters for theMore-Electrical-Aircraft,” commentsTaoufik Bensalah, Power ConverterDesign Team Manager at ThalesAvionics. “We thank Clean Sky formaking this cooperation possible,which is a good example of CISSOIDcombined expertise in packagingand circuit design,” adds EtienneVanzieleghem, VP engineering atCISSOID. “This project was also anopportunity to strengthen our coop-eration with PRIMES platform inTarbes, which is hosting the CISSOIDpackaging team.”www.cissoid.com

CISSOID delivers prototypes of three-phase 1200V/100ASiC MOSFET intelligent power modules to Thales Module to boost power converter density in ‘More-Electrical Aircraft’

Minimizingparasiticinductancesallows faster SiCtransistorswitching andlower switchinglosses. An IPM alsooffers a plug-and-play solution topower electronicdesigners, whocan hence save alot of time in thedesign of the gate driver board(which isparticularlychallenging withSiC transistors).They can thenfocus on thedesign of high-density powerconverters,taking advantageof SiC

Page 19: Developments in 2D materials News from OFC Developments in 2D

Distribution & Control Department

For sales, product & distributor information, please visit http://www.americas.fujielectric.com/componentsdistribution-control

or contact us: [email protected]

Compact! Ground Fault Protection Built in One Unit for Space Saving Designs

SameFootprint!MCCBs&ELCBsInterchangeablewithoutPanelModifications

18mm Per Pole Width(2P & 3P), IEC 35mm DIN Rail Mounting

Higher Capacity SCCR ⇒ 18kA@ 240VAC UL489

The New Generation of Low Voltage Earth Leakage Circuit Breakers

Fuji Electric Lambda SeriesNew, Compact, Powerful

Page 20: Developments in 2D materials News from OFC Developments in 2D

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

20

Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA,which makes enhancement-modegallium nitride on silicon (eGaN)power field-effect transistors(FETs) for power managementapplications, has made availablethe EPC9066, EPC9067 andEPC9068 development boards(featuring 40V-rated EPC8004,65V-rated EPC8009 and 100V-rated EPC8010 eGaN FETs,respectively), which can be config-ured as either a buck converter oras a ZVS class-D amplifier. The firm says that the boards

provide an easy-to-use way forpower systems designers to evalu-ate the performance of GaN tran-sistors, enabling designers to gettheir products into volume produc-tion quickly. All three boards fea-ture a zero reverse recovery (QRR)synchronous bootstrap rectifieraugmented gate driver to increaseefficiency at high-frequency oper-ation, up to 15MHz. The boardscan produce a maximum output of2.7A in the buck and ZVS class-Damplifier configurations. Loss

reduction is realized across theentire current range. The boards are 2” x 1.5” and are

laid out in a half-bridge configur-ation. Each board uses the TexasInstruments LM5113 gate driverwith supply and bypass capacitors.The gate driver has been config-ured with a synchronous FET boot-strap circuit featuring the 100V,2800m_ EPC2038 eGaN FET,which eliminates the driver losses

induced by thereverse recoveryof the internalbootstrap diode.The boards havevarious probepoints andKelvin measure-ment points forDC input andoutput. In addi-tion, they provide thecapability toinstall a heat-sink for high-poweroperation.

The EPC9066/9067/9068 development board are priced at$158.13 each and are availablenow from distributor Digi-Key.Quick Start Guides, containing set-up procedures, circuit diagram,bill of material, and Gerber files forthe boards are provided on-line. http://digikey.com/Suppliers/us/Efficient-Power-Conversion.pagehttp://epc-co.com/epc/Products/DemoBoards.aspx

EPC offers 15MHz half-bridge development boards using eGaN FET synchronous bootstrap augmented gate drive

Freebird Semiconductor Corp ofNorth Andover, MA, USA, whichmanufactures high-reliability gal-lium nitride (GaN) high-electron-mobility transistor (HEMT) productsfor power semiconductor technolo-gies in the commercial space-flighthigh-reliability sector, has signedan agreement to develop productsfor use in high-reliability, space andharsh-environment applicationsbased on the enhancement-modegallium nitride on silicon (eGaN)power field-effect transistor (FET)technology of Efficient Power Con-version Corp (EPC) of El Segundo,CA, USA.

“Freebird is focused upon develop-ing components and circuits to beused in highly efficient, radiation-hardened power conversion sys-tems for use in harsh environmentand space applications,” says Free-bird’s president & CEO Dr SimonWainwright. “GaN technology willpermit space applications to utilizethe latest in high-performancesemiconductor material, whereaswhen using silicon-based componentsin these applications produces systems that are behind the latestperformance curves,” he adds. “The superior conductivity and

switching characteristics of GaN

devices allow designers to greatlyreduce system power losses, size,and weight,” notes EPC’s CEO & co-founder Dr Alex Lidow. “GivenGaN’s superior state-of-the-art per-formance, coupled with its demon-strated ability to operate reliablyunder harsh environmental condi-tions and high radiation, GaNdevices have a very bright future inspace applications,” he believes. In addition to collaborating on

power systems product develop-ment, the two firms aim to publishthe results of their work and givejoint presentations at conferences. www.freebirdsemi.com

Freebird partners with EPC to develop radiation-hardened GaN power conversion systems forsatellite and harsh-environment applications

Page 21: Developments in 2D materials News from OFC Developments in 2D

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

21

VisIC Technologies Ltd of Nes Ziona,Israel, a fabless developer of power conversion devices based onGaN metal-insulator-semiconductorhigh-electron-mobility transistors(MISHEMTs) founded in 2010, hasintroduced a half-bridge evaluationboard using its VT15R65A GaN PowerALL Switch (Advanced Low Loss Switch)in a ‘work horse’ half-bridge powerconversion circuit. The VT15R65A-EVBHB exhibits

98.5% power conversion efficiencyoperating at a switching frequencyof 200kHz. Silicon MOSFET-basedsystems with similar efficiencies inthis power range are limited to60kHz or less, and competitive GaNdevices have only shown similarefficiency at 100kHz, the firm claims.

The evaluation board can be easilyconfigured into any half bridge-basedtopology such as synchronous boostor buck conversion. It can alsooperate in a pulsed switching con-figuration for evaluating transistorwaveforms. VisIC’s GaN ALL Switchis driven by industry-standard high-frequency drivers. Providing power conversion system

designers with a complete, workingpower stage, the evaluation boardincludes high-frequency drivers fortwo VT15R65A power switches in ahalf-bridge configuration, the gatedriver power supply, and a heat-sink.With what is claimed to be the lowestRdson among 650V GaN transistorsor switches, the VT15R65A achievesextremely efficient power conversion

with switching transitions exceeding100V/nS, the firm adds. Configured as shipped with VIN up

to 400VDC, the VT15R65A-EVBHBsupports 2kW loads. The half-bridgeevaluation board has a built-in planarinductor but also has connectionsfor external power inductors andcapacitors to allow users to operatethe board in higher power modes. The user needs to provide an

external 15VDC AUX voltage at atwo-pin input. On-board voltageregulators create the required volt-ages for the logic circuits and gatedrives. The high-voltage DC BUSinput is connected using screw con-nections and the output bus is alsoconnected via screw-type connection. www.visic-tech.com

VisIC launches 650V half-bridge evaluation board

Engineering & licensing firm ALLOSSemiconductors GmbH of Dresden,Germany has transferred its latest-generation gallium nitride on silicon(GaN-on-Si) epiwafer technology towhat it describes as a major inter-national industry player that hassystematically invested in GaN-on-Siepitaxy and device processing inrecent years, as part of a compre-hensive joint project to acceleratethe customer’s GaN-on-Si powersemiconductor product development. ALLOS has completed the first

phase of the customer project todevelop market-ready GaN-on-Sipower semiconductor products. Theproject aims to improve the per-formance of upcoming productsand accelerate time-to-market. In the first project phase, ALLOS

transferred its newest GaN-on-Sipower semiconductor epi technologyto the client in less than 12 weeks,including comprehensive training ofengineers, detailed documentation,and proof of reproducibility. “ALLOS capability to make a com-

plete technology transfer possiblein just 12 weeks, our guarantee of

wafer spec achievement, and ALLOSindependent IP platform makes adecisive difference not only fornewcomers but also for experiencedplayers in the GaN-on-Si field,” saysCEO Burkhard Slischka. The customer was the first to

receive this latest generation ofALLOS’ technology, which has beendeveloped and fundamentallyimproved over the last 18 months.The technology is designed formanufacturability and combineswhat is said to be excellent crystaland electrical properties with pro-cessing properties such as zeromelt-back, zero cracks and controlledwafer bow. Enabled by good yield,fast growth times and the use ofvarious multi-wafer reactor plat-forms, production cost per wafer areais on the same level as for existingGaN-on-sapphire LED wafers, it isreckoned, opening the way for GaNto compete with established powersemiconductor technologies. “Youneed to combine and balance theseproperties in a wafer technologyplatform right from the beginning,”believes chief technology officer Dr

Atsushi Nishikawa regarding ALLOS’manufacturability strategy. “Manyorganizations who work on GaN-on-Siepitaxy achieve promising results onR&D level but don’t reach the qualityand reproducibility required in orderto progress towards production.” In the second project phase, ALLOS’

and the customer’s joint team arenow improving the existing devicetechnology to market-readiness.“In addition to ALLOS’ establishedrole as a provider of turn-key GaN-on-Si epiwafer technology, a mainpart of ALLOS’ contributions to theproject is in working on device pro-cessing and characterization topicsin joint teams with the customer,”says Slischka. “Results from theproject already show that the teamcan successfully combine ALLOS’technology and independent IP plat-form with the very good developmentachievements of the customer fromthe recent years... The customer isable to achieve product developmentresults faster and with significantlyreduced cost and risk, while lever-aging prior R&D investments.” www.allos-semiconductors.com

ALLOS transfers GaN-on-Si power semiconductorepitaxial technology to customer

Page 22: Developments in 2D materials News from OFC Developments in 2D

News: Wide-bandgap electronicss

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

22

Wolfspeed of Research Triangle Park,NC, USA says that its GaN-on-SiCRF power transistors have completedtesting to demonstrate compliancewith NASA reliability standards forsatellite and space systems. Thefirm’s proven GaN-on-SiC fabri-cation processes have deliveredover 100 billion total hours of fieldoperation with a best-in-class FIT(failure-in-time) rate of less than 5 per billion device hours for discreteGaN RF transistors and multi-stageGaN MMICs. Wolfspeed partnered with RF &

microwave component firm KCBSolutions LLC of Shirley, MA, USAto conduct a comprehensive testingprogram to demonstrate that itsGaN-on-SiC devices meet NASAEEE-INST-002 Level 1 reliabilityand performance standards, derivedfrom the MIL-STD requirements forClass S and Class K qualifications. “Customers now have the ability to

specify our GaN RF devices in themost critical aerospace, military, andsatellite electronics systems,” saysRF & microwave director Jim Milligan.“Our proven GaN-on-SiC technologyenables design engineers to make

smaller, lighter, more efficient andmore reliable solid-state poweramplifiers than are possible withconventional traveling-wave tube(TWT) amplifiers or those designedwith gallium arsenide devices. Now,aerospace designers can achievehigher-performance radar andcommunications systems with asignificantly lighter payload andlonger operating life,” he adds. “As an AS9100-certified facility with

an extensive history of supplyingClass S and Class K devices for aero-space and satellite electronic sys-tems, KCB Solutions implementeda comprehensive testing program inconjunction with Wolfspeed to ensurethat their GaN process was capableof producing devices that meetthese demanding NASA standards,”notes KCB’s president Ralph Nilsson.“This testing regime was derived fromthe established MIL-STD qualificationrequirements of Class S and Class Kand included evaluation for ESD,intrinsic reliability, SEM analysis,and radiation hardness.” The testing program consisted of

five test procedures conducted byKCB on Wolfspeed’s 25W GaN-on-SiC

HEMT CGH40025F and its 25Wtwo-stage X-band GaN MMICCMPA801B025F devices, which areproduced using Wolfspeed’s proven0.4µm G28V3 fabrication process.Both demonstrated no significantRF performance change afterundergoing all the test procedures,including exposure to a cumulativedose of radiation exceeding 1Mrad. Almost all space and satellite

equipment manufacturers requireelectronic components to meetestablished high-reliability militaryqualification standards in order tobe specified into their communica-tions and radar systems, notesWolfspeed. These standards includeMIL-PRF-38535 Class S for singlechips and MIL-PRF38534 Class K formultichip modules. Collaborationwith KCB allows Wolfspeed toupscreen its GaN devices to ensurethey are compliant with the NASAEEE-INST-002 level 1 standardsbased on Class S and K. As a resultof KCB’s testing, several firms havealready specified Wolfspeed’s GaNdevices for their space applications. www.kcbsolutions.com www.wolfspeed.com/RF

Kyma Technologies Inc of Raleigh,NC, USA (which provides crystallinenitride materials, crystal growthand fabrication equipment, andpower switching electronics) hasadded ß-Ga2O3 epitaxial wafers toits growing range of materials.Crystalline beta gallium oxide is a

promising wide-bandgap semicon-ductor (WBGS) material due in partto its large bandgap of 4.8–4.9eV,its high breakdown field of 8MV/cmand its high dielectric constant of10 which, together with its electronmobility of up to 300cm2/V-s,translate to a high-voltage Baligafigure of merit (HV-BFOM) that isover 3000 times greater than thatof silicon, over 8 times greater than

that of silicon carbide (4H-SiC), andmore than 4 times greater thanthat of gallium nitride. Also, itshigh-frequency Baliga figure of merit(HF-BFOM) is ~150 times that ofsilicon, ~3 times that of 4H-SiC,and 50% greater than that of GaN. Benefiting from a long-time col-

laborative partnership with leadingscientists in the Sensors Directorateof the Air Force Research Laboratory(AFRL) at Wright Patterson Air ForceBase, Kyma’s technical team recentlybegan developing processes for thegrowth of ß-Ga2O3 on a number ofsubstrates including homoepitaxialgrowth on commercially availablebulk ß-Ga2O3 substrates. The teamhas so far demonstrated high

growth rates (>3μm/hr) and high-quality epilayers of several micronsin thickness. Undoped films showsemi-insulating behavior, and n-type films appear to be wellbehaved, with electron concentra-tions in the range 1017–1018cm–3.More detailed characterization ofthese materials is ongoing. Kyma believes ß-Ga2O3 represents a

new frontier in the continuous searchfor higher-performance semiconduc-tor devices. “It is exciting to be ableto leverage our core competenciesto address this exciting newcomerto the advanced semiconductormaterials and device technologyspace,” says CEO Keith Evans. www.kymatech.com

Wolfspeed’s GaN RF devices demonstratereliability for harsh space environments

Kyma adds gallium oxide epiwafers to product portfolio

Page 23: Developments in 2D materials News from OFC Developments in 2D
Page 24: Developments in 2D materials News from OFC Developments in 2D

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

24

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany says its G5+ Cmulti-wafer batch metal-organicchemical vapor deposition (MOCVD)platform has been qualified for themanufacturing of specific bufferlayers as a result of the collaborationon high-voltage gallium nitride(GaN) power device technologywith nanoelectronics research center imec (Interuniversity Microelectronics Centre) of Leuven,Belgium. In combining their expertise,

Aixtron has joined imec’s industrialaffiliation program for high-powerGaN-on-Si device technology.Within the framework of this program,Aixtron’s 5x200mm G5+ MOCVDplatform was qualified to integrateimec’s proprietary high-voltage dispersion-free buffer technology tothe 5x200mm G5+ MOCVD platform.Aixtron says that this goal has beenreached in a very short time spanand demonstrates the high level oftechnology readiness of the AIXG5+ C system.

“The accomplishment was mainlybased on the rapid implementationof the portfolio of qualified layerprocesses by the epitaxy teams ofimec and Aixtron building up thecomplex epitaxial material stack tar-geting high-voltage switching appli-cations,” says Dr Frank Wischmeyer,VP marketing & business develop-ment Power Electronics at Aixtron. “imec targets high-performing and

reliable GaN power devices requir-ing a concerted effort betweendevice and material engineering,”says Rudi Cartuyvels, senior VPSmart Systems and Energy Tech-nologies at imec. “As the AIX G5+C enables exactly this, we are

pleased with the fast transfer of ourdevice structure processes onto thenew platform,” he adds. “We arehappy that Aixtron is part of imec’sGaN industrial affiliation programand look forward to a continuationof the successful collaboration”.It is claimed that the G5+ C is the

first fully automated GaN-on-Siproduction system including a cassette-to-cassette wafer loadingsystem and automated reactor in-situ clean, and that the Planetarybatch AIX G5+ C system demon-strated highest uniformity control oflayer properties and lowest particle.Based on a portfolio of qualified

MOCVD processes at Aixtron tailoredfor the needs of the GaN-on-Sipower HEMT industry, typical challenges like strain engineering ofthe AlGaN/GaN material on 200mmsilicon substrates, high-quality AlNnucleation on Si substrates and thepit-free growth of high-qualitybuffer layers could be addressed inthe cooperation with imec. www.imec.bewww.aixtron.com

Aixtron’s AIX G5+ C qualified for manufacturing of high-voltage GaN-on-Si materials in joint project with imec

Solmates of Science Park Twente in Enschede, The Netherlands (a spin-off from the MESA+ Instituteof Nanotechnology) has receivedan order for one of its pulsed laserdeposition (PLD) equipment systemsfrom nanoelectronics research center imec of Leuven, Belgium, fordelivery in second-quarter 2016. Solmates says that its PLD equip-

ment can help to accelerate the entryof new processes into commercialproducts, playing a role in thedevelopment of future chip designsand the integration of new materialsfor next-generation transistors. Solmates will become a member

of imec’s ‘Beyond CMOS’ IndustrialAffiliation Program (IIAP), which

focuses on the integration andbenchmarking of novel device concepts beyond traditional transis-tor scaling. These include piezo-electrics for alternative computingdevices and two-dimensional (2D)materials such as graphene andMoS2 for ultimate scaling. “This important and significant

deal fits our roadmap towardsmainstream CMOS compatibility,”says Solmates’ CEO Arjen Janssens,“Imec is a world-leading center fornanoelectronics with significantglobal partnerships and thereforerepresents the perfect gateway tothis key market.” Solmates (which owns key

patents related to PLD technology

and processes) claims that its PLDplatform is the next disruptive deposition technology following theadoption of atomic layer deposition(ALD) for thin-film manufacturing.The technology uses a laser to create a plasma of the material tobe deposited, enabling industrial-quality deposition of new-genera-tion materials. An automated tooloffers high-yield customized depo-sition of various ‘More than Moore’materials on a wide variety of different substrates. The technologyis already in use at customer sites,and several processes are qualifiedfor (piezo) MEMS, LED and power ICapplications. www.solmates.nl

Solmates wins order for PLD system from imec andjoins ‘Beyond CMOS’ Industrial Affiliation Program

Aixtron’s AIX G5+Csystem

Page 25: Developments in 2D materials News from OFC Developments in 2D

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

25

Together with Dr Tony SpringThorpe’steam at National Research Councilof Canada and Christoph Hums andhis co-workers at Fraunhofer HHI(Heinrich Hertz Institute) in Berlin(a research center for communicationsystems, digital media and services),in-situ metrology system makerLayTec AG of Berlin, Germany hasfurther improved the accuracy levelof its nk database for the two qua-ternary material systems InGaAsP(indium gallium arsenide phosphide)and InGaAlAs (indium gallium aluminium arsenide). Indium phosphide-based materials

exhibit higher electron mobility andhigher frequency response comparedto gallium arsenide (GaAs). Thismakes the InP heterojunction bipolar transistor (HBT) a goodcandidate for next-generation tran-simpedance amplifiers in opticalfiber communications and for 5Gapplications. Moreover, since an InPHBT’s base bandgap energy ismuch lower than that of a GaAsHBT’s, the InP-based device’s turn-on voltage and related power con-sumption are significantly lower. However, high-yield metal-organic

chemical vapor deposition (MOCVD)growth of device-grade quaternaryInGaAsP and InGaAlAs structuresprecisely lattice matched to InP israther challenging, especially onlarger wafers. The solution is in-situprocess control based on accuratehigh-temperature quaternary nkdata, says LayTec. Figure 1 gives selected examples.

Figure 1a shows the 633nm refrac-tive index of InGaAsP and InGaAlAsin the full composition range atthree relevant growth temperatures,T1<T2<T3. With n(x,T) and k(x,T)available for the full range of lattice-matched quaternary compositions,precise and quantitative processcontrol becomes straightforward,says LayTec. Figure 1b demonstratesthe smooth fit to in-situ reflectanceof a device structure. In-situ sensingof wafer bow (green line in Figure 1b)

using LayTec’s EpiCurveTT systemvalidates the lattice-matched growth. For this highly precise nk database,

growth rateand latticematch werecarefullymatched toex situ x-raydiffraction(XRD).Wafer tem-peratureswere meas-ured usingthe EpiTTtool, whichhad beenpreviously

calibrated using LayTec’s AbsoluTtemperature calibration tool. www.laytec.de/inp

Accuracy of LayTec EpiTT Gen3’s XRD-referencednk database improved for InP and related materials

Fig. 1: Control of device-related InGaAsP and InGaAlAs film growth on InP.When lattice-matched growth is validated by in-situ wafer bow sensing, bothquaternary material systems can be treated as an effective quasi-ternarymixture: (InGaAs)x(InP)1–x and (InGaAs)x(AlGaAs)1–x, respectively. (a) Therespective composition range (x=0…1) covers the reference (PL) wavelengthrange 0.92–1.65µm for InGaAsP and 0.85–1.65µm for InGaAlAs. The threelines for each material system give the 633nm refractive index at three wafertemperatures. (b) The quaternary/ternary layers (steps 17–29) are latticematched to InP (step 15), as can be seen from the unchanged wafer curvature(green line). Hence, the measured 633nm reflectance data (blue line) of anInP/InGaAsP device structure can be exactly fitted (red line), yielding allcompositions x and all growth rates. The –3K reduction in wafer temperaturedue to the changed As/P ratio is a real effect.

Page 26: Developments in 2D materials News from OFC Developments in 2D

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

26

Semiconductor process equipmentmaker SAMCO Inc of Kyoto, Japanis employing about 20 more peopleat its locations in North America,China, Taiwan and Singapore, aswell as its subsidiary Samco-UCP inLiechtenstein, in order to betterprovide services and support tooverseas customers. “Increasing the number of Samco

employees abroad is part of thecompany’s larger strategy to optimize our current sales structurewhile actively growing our customerbase across the globe,” says presi-dent, chairman & CEO Osamu Tsuji.Samco offers systems and

services that revolve around threemajor technologies, namely thin-film deposition with plasma-enhanced chemical vapordeposition (PECVD), metal-organic

chemical vapour deposition (MOCVD)and atomic layer deposition (ALD)systems; microfabrication withinductively coupled plasma (ICP)etching, reactive ion etching (RIE)and deep reactive ion etch (DRIE)systems; and surface treatmentwith plasma cleaning and ultra-violet (UV) ozone cleaning systems.“We’ve seen an increase in laser

diode, MEMS and power device-related inquiries from abroad,” saysTsuji. “Systems for research anddevelopment at universities andresearch institutions, which is anarea Samco specializes in, are alsoin high demand,” he adds. This includes India, where the

growing economy is expected toaccelerate in the future. The IndianInstitute of Technology (IIT) Bombayrecently installed a DRIE system and

collaborated with Samco to hostthe firm’s first thin-film technologyworkshop in the country. Samco iscurrently considering offering intern-ships to students at IIT Bombayand has started gathering a teamthat will focus on cultivating theIndian market, Tsuji says.Future goals include doubling the

firm’s on-site staff by July 2018,discussing the possibility of newlocations in the future, and ensuringthat its overseas sales encompassat least 50% of total net sales withinthe next 2-3 years. “Semiconductorequipment manufacturers’ overseassales generally account for around70–80% of their total net sales,” saysTsuji. “With these markets, we’llactively expand and reach our goal ofat least 10bn yen in total net sales.” www.samcointl.com

Japan’s SAMCO adds local sales staff for North American, European and Asian locations

Page 27: Developments in 2D materials News from OFC Developments in 2D

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

27

ClassOne Technology of Kalispell,MT, USA, which manufactures wet-chemical processing equipmentincluding Solstice electroplatingsystems (especially for emergingmarkets and other cost-conscioususers of ≤200mm substrates), hasannounced the completion of amajor new round of funding fromSalem Investment Partners of Winston-Salem, North Carolina.The announcement was made jointlyby ClassOne’s CEO Byron Exarcosand Salem Investment Partnersvice president Meredith Jolly. “It is evident that 2016 will be

another significant growth year forClassOne Technology,” says Exarcos.“With this new funding we will fillorder backlogs and address a fore-cast that is strong and rapidlyincreasing,” he adds. “This surge in business is coming

from the many emerging markets

that build products on 200mm andsmaller substrates. These users arelooking for advanced plating per-formance at an affordable price —and that’s precisely what Solsticesystems are designed for. As aresult, more and more of thesecompanies are ordering our tools.And that now includes many of thetop-tier manufacturers from aroundthe world,” Exarcos says. “We’re delighted to see the excep-

tional andsustainedgrowth thatClassOneTechnologyis achievingacross theUSA, Europeand Asia,”commentsJolly. “It’seven more

remarkable given that the companyjust introduced the Solstice systemtwo years ago.” The Solstice electroplating line

serves cost-sensitive markets suchas MEMS, sensors, LEDs, optoelec-tronics, and RF. Designed specifi-cally for ≤200mm wafer processing,the systems are available in threedifferent models and can electro-plate a range of metals and alloys,either on transparent or opaquesubstrates. Also, in March the firmunveiled its Plating-Plus capability,which allows Solstice to performadditional processing — such asmetal lift-off, resist strip and UBM(under-bump metallization) etch —along with plating, all on a singletool. In addition to plating equip-ment, ClassOne also provides spinrinse dryers, spray solvent tools,and advanced software. www.classone.com/products

ClassOne completes new round of funding to fulfill order backlogs and address rising demand

This surge inbusiness iscoming from themany emergingmarkets thatbuild products on 200mm andsmallersubstrates

Page 28: Developments in 2D materials News from OFC Developments in 2D

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

28

In response to increased demand for4- and 6-inch sapphire substrates,Monocrystal Inc of Stavropol, Russia(which manufactures large-diametersapphire substrates and cores forLEDs, optical products and RFICapplications) plans to significantlyramp up its polishing capacity to 14 million 2-inch equivalent wafers(TIE) by the end of 2016. Last year the firm’s shipments of

large-diameter polished wafersgrew by 30%, and crystal growingcapacities reached 53 million TIE.Monocrystal says that this allowed itto maintain its status as the world’slargest sapphire growing company. “2015 was a challenging year for

the whole sapphire industry, somekey players were forced to leavethe market,” notes CEO OlegKachalov. “However, Monocrystal

managed to increase its marketshare due to constant improve-ments in its cost structure and sev-eral technological breakthroughs,”he adds. “This year we will main-tain the momentum and strive todouble wafer production capacitiesto support our customers by pro-viding reliable supply of large-sizehigh-quality wafers.”www.monocrystal.com

Cambridge Nanotherm Ltd ofHaverhill, Suffolk, UK, producer ofnanoceramic thermal managementtechnology, has appointed solid-state lighting expert Jed Dor-sheimer to its board of directors.The firm says that Dorsheimer’s

role as managing director of equityresearch, display and lighting atUS-based investment banking andinstitutional research firm CanaccordGenuity makes him a suitable can-didate to help develop its strategicdirection. As an expert with is reck-oned to be a unique perspective ofboth the current state and thefuture direction of the solid-state

lighting industry, Dorsheimer wasranked as the fifth most influentialperson in the lighting industry byLux Magazine. “As LED manufacturers switch

their focus to general lighting, LEDsare getting brighter and this iscausing them to heat up,” notesCEO Erwin Wolf. “This isn’t good forthe LED chip, which degrades rap-idly when too hot. Getting the heatout of the chip as effectively aspossible is critical and that’s whereCambridge Nanotherm’s industry-leading thermal management solu-tions come in,” he adds.“Jed’s unique combination of tech-

nical and commercial knowledge,coupled with industry-leadingfinancial expertise, will go a longway to helping us to capitalise onthe market,” Wolf continues. “Jed isa perfect fit for Nanotherm as weprogress to the next stage in ourgrowth strategy.”“It’s a great time to be joining the

board of directors of CambridgeNanotherm as the company continuesits rapid growth,” says Dorsheimer.“The company is uniquely positionedto take advantage of the develop-ments in the LED market driven bythe general lighting segment.” www.camnano.com

Cambridge Nanotherm appoints Canaccord Genuity’s LED expert Jed Dorsheimer to board

Kyma Technologies Inc of Raleigh,NC, USA (which provides crystallinenitride materials, crystal growthand fabrication equipment, andpower switching electronics) hasincreased its capacity for manufac-turing aluminium nitride (AlN) tem-plates, including diameters rangingfrom 2” up to 150mm and 200mm. Kyma manufactures AlN templates

using its patented plasma vapordeposition of nanocolumns (PVDNC)technology. The firm says that blue,green and white LEDs makers arechoosing its PVDNC AlN templatesas a replacement for bare sapphiresubstrates and patterned sapphiresubstrates (PSS). Feedback fromcustomers indicates that Kyma’s

AlN-on-sapphire templates providesignificant improvements in LEDbrightness, reverse voltage, andelectro-static discharge (ESD) yield.Also, the firm’s AlN-on-silicon (Si)templates have been demonstratedto support high-performance GaNtransistor manufacturing for bothRF and power switching applications. While Kyma demonstrated large-

diameter AlN on both silicon andsapphire substrates several yearsago, most customers have orderedprimarily 2” and 4” diameter prod-ucts. Recently however, to drivedown device manufacturing costs,customers have shown growinginterest in larger-diameter AlNtemplate products. In response,

Kyma has added large-diameterPVDNC manufacturing capacitywhich, together with proprietaryenhancements in its PVDNCprocess and equipment design, hasenabled Kyma to move down themanufacturing cost curve for all ofits AlN template products. “We have listened to our cus-

tomers and have responded to theirneeds,” notes Kyma’s director ofsales Ms Tamara Stephenson. “Weare excited to be able to offer moreaggressive pricing as well asincreased volume availability of allof our AlN template products,including larger-diameter productsincluding 150mm and 200mm.” www.kymatech.com

Kyma increases AlN template manufacturing capacity

Monocrystal to double sapphire polishing capacity in 2016

Page 29: Developments in 2D materials News from OFC Developments in 2D

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

29

BluGlass Ltd of Silverwater, Australiais beginning a collaboration inwhich LED epitaxy and chip makerHC SemiTek Corp of Wuhan, China(which supplies full-color ultra-high-brightness LED productsthroughout China) will review theadvantages of its remote plasmachemical vapor deposition (RPCVD)for low-temperature deposition ofp-GaN in green LEDs and will alsoexplore low-temperature depositionof aluminium nitride (AlN) on sapphire substrates for use in high-brightness LEDs. HC SemiTekwill supply 4-inch wafers to BluGlass to deposit RPCVD films,then HC SemiTek will fabricate LEDdevices for testing. Spun off from the III-nitride

department of Macquarie Universityof Sydney, Australia in 2005, Blu-Glass developed a low-temperatureprocess using RPCVD to grow

materials including gallium nitride(GaN) and indium gallium nitride(InGaN) on glass substrates. Bygrowing critical semiconductormaterials at lower temperatures,manufacturers can produce higher-performingdevicessuch asLEDs andpower elec-tronics atlower cost,BluGlasssays. Thefirm holdspatents inkey semi-conductormarketsincluding theUSA, China,Europe andJapan.

Commercial interest is building inthe capability of RPCVD technology,says managing director Giles Bourne.“We now have three industry evalu-ations in place with companies thathave respected leadership positionsin their relative markets,” he adds.“This forms part of our key strategyto complete our industry accept-ance phase as we progress towardscommercializing our proprietaryRPCVD technology.” The new agreement with HC

SemiTek is expected to take severaliterations to demonstrate the per-formance capability, and willinvolve BluGlass’ new RPCVDchamber (designed to improve theuniformity of the RPCVD deposition).This chamber is expected to becommissioned in the comingmonths. www.bluglass.com.au http://en.hcsemitek.com

Rubicon Technology Inc ofBensenville, IL, USA (which makesmonocrystalline sapphire substratesand products for the LED, semicon-ductor and optical industries) hasconfirmed that investment firmParagon Technologies Inc of Easton,PA, USA, which owns 30,000(about 0.1%) of the outstandingshares of Rubicon, has submittednotice to nominate two candidates,Hesham M. Gad and Jack H. Jacobs,to stand for election to Rubicon’sboard of directors at the 2016Annual Meeting of Stockholders. “Rubicon is open to constructive

input from all stockholders and hasbeen engaged in an ongoing dia-logue with Paragon,” says Rubicon’sCEO Bill Weissman. “Our board ofdirectors and management team

respect the rights of stockholdersto nominate director candidates,but we are disappointed thatParagon has chosen to proceed inthis manner. The Nominating andGovernance Committee inter-viewed and thoroughly vettedParagon’s proposed director candi-dates and indicated our willingnessto appoint Mr Jacobs to the Rubiconboard to avoid a costly proxy con-test. Unfortunately, Paragonrejected our constructive offer andinstead demanded the appointmentof both of its nominees,” he adds. “The board noted as part of its

vetting process that, in addition toserving as chairman and CEO of aParagon portfolio company subjectto an involuntary bankruptcy pro-ceeding, Mr Gad previously pleaded

guilty to theft from a prioremployer and was separatelycharged with making false state-ments to a government authority.We believe that these factors,among others, render him unfit toserve on the Rubicon board,” con-tinues Weissman. Rubicon’s board will present its

recommendations with respect tothe election of directors in its proxystatement, which will be filed withthe Securities and Exchange Com-mission (SEC) at a later date. Thedate of the 2016 Annual Meeting ofStockholders has not yet beenannounced, and stockholders arenot required to take any action atthis time. www.pgntgroup.com www.rubicon-es2.com

HC SemiTek collaborates to explore BluGlass’RPCVD for low-temperature p-GaN in green LEDsand AlN-on-sapphire for HB-LEDsBluGlass to deposit RPCVD films on 4-inch wafers from HC SemiTek

Rubicon comments on director nominations fromParagon Technologies

We now havethree industryevaluations inplace withcompanies thathave respectedleadershippositions in theirrelative markets.This forms part ofour key strategyto complete ourindustryacceptance phase

Page 30: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

30

UK-based Plessey has signed aglobal exclusive license agreementto manufacture and sell the horti-cultural lighting solution of UK firmPhytoLux. Plessey has taken on PhytoLux’s

operational, technical and commer-cial activities. The collaborationcombines design engineering toprovide LED plant growth lightingsolutions for the global market. “Plessey, one of the UK’s most

prestigious engineering brands, isat the forefront of the global LEDLighting revolution,” commentsPhytoLux’s founder & managingdirector Steve Edwards, now headof the LED lighting solutions divi-sion of Plessey. “We are looking for-ward to delivering our uniquesolution to the global horticulturalmarket over the coming monthsand years,” he adds. “Steve and the team at PhytoLux

have built an impressive record ofinnovation and proof of conceptwith the researchers and industrialgrowers in the UK,” says Plessey’s

CEO Michael LeGoff. “The horticul-tural market is a key growth areafor solid-state lighting and is with-out a significant dominant player…We have an opportunity to be thatdominant player with the PhytoLuxend-product,” he believes. “OurMaGIC [Manufactured on GaN-on-SiI/C] GaN-on-silicon technology for

power LEDapplications isperfect forthese kinds ofdirected light-ing appli-cations wherewe have verytight wave-length control.The next-generationPlessey LEDswith integ-rated electron-ics and opticswill further addto the intrinsicadvantages of

the PhytoLux range of products.” LeGoff describes plant growth as

one of the fastest-growing sectorsfor LED lighting. A recent marketresearch report by WintergreenResearch projects that the horticul-tural LED lighting market is set toreach £3.6bn by 2020. www.phytolux.com

Plessey signs license agreement with PhytoLux forsolid-state horticultural growth lighting solutions

Plessey's PhytoLux Attis-7 LED horticultural lights, used by acommercial strawberry grower near Colchester, UK forseason extension.

LED lighting technology firm Flip Chip Opto Inc of Fremont, CA,USA has introduced mid-powersolutions for the horticultural industry. The Duet F series consists of

100W, 150W, 200W, 250W and300W versions of the flip-chip chip-on-board (COB) LED. Offeringfive different photosynthetic photon flux density (PPFD) ratiosunder dedicated spectrumsbetween 450nm royal blue and600–700nm broadband red allowswhat is claimed to be unparalleledphotosynthesis and corresponds tothe various plant growth stages. The structure of each COB is

based on the patented DBR LED flip chip and uses the firm’s in-house

low-temperature bonding technolo-gies (LTBT), boosting lighting efficacy and decreasing the thermal resistance between the

LED chip junction and the module’smetal substrate.The Duet series features 1/3, 1/6,

1/8, 1/10 and 1/12 ratios in blueand red power consumptions. ThePPFD outputs in various ratios allowhorticultural applications that focuson different stages of the plant lifeas photosynthetic absorption ratesvary throughout the life cycle. The three selections of red peakspectrum (627nm, 640nm, 650nm)enable growers to choose their preferred broadband. Additionally,it can be substituted in spectrumsranging from correlated color tem-peratures (CCTs) of 2700–5700Kand color rendering index (CRI) of60–98. www.fcopto.com

Flip Chip Opto introduces mid-power horticultural chip-on-board LEDs

Flip Chip Opto’s 250W Duet LED.

Page 31: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

31

Soraa Inc of Fremont, CA, USA,which develops solid-state lightingtechnology fabricated on ‘GaN onGaN’ (gallium nitride on galliumnitride) substrates, says that itsLED lamps have been installed atthe University of Oxford’s PittRivers Museum in the UK (whichhas more than 400,000 visitorsannually). As well as saving energyand money, the museum choseSoraa’s LED lamps to illuminate itsrare collections of anthropology andarchaeology artifacts.The university installed 500 VIVID

MR16 LED lamps, which shouldsave the museum an estimated£45,000 over the next 5 years andreduce its carbon emissions by 44 tonnes each year. Not only doesthe new LED lighting make themuseum more energy efficient andthe displays easier to see, it alsoemits no harmful ultra-violet light,protecting the artifacts from UV lightdamage. Soraa worked with its UKpartners, 4D Lighting, to supply thelamps to the Pitt Rivers Museum. The lighting designers for the

museum chose Soraa’s lamps withViolet-Emission 3-Phosphor (VP3)technology to showcase the space’s

industrial design and to illuminatethe colors and whiteness of therelics on display. Soraa says that,utilizing every color in the rainbow(especially deep red emission), itslamps render warm tones accu-rately and achieve a color-render-ing index (CRI) of 95 and deep red(R9) rendering of 95. Also, unlikeblue-based white LEDs without any

violetemission,the lampshave violetemissionsto properlyexcite fluorescingbrighteningagents,includingnaturalobjectslikehumaneyes andteeth, aswell asmanufac-turedwhitematerials

such as textiles and paper. “The Soraa LED lamp produces

color rendition that is comparable toa halogen light source,” comment’sUniversity of Oxford’s Robert Gregg.“Utilizing the Soraa SNAP system, wenow have the flexibility to adjust thebeam angles for our many displays,”he adds. www.soraa.com

Soraa’s LED lighting installed in University of Oxford’sPitt Rivers Museum

The Pitt Rivers Museum at the University of Oxford (photo credit:Redshift Photography).

Soraa Inc of Fremont, CA, USA,which develops solid-state lightingtechnology fabricated on ‘GaN on GaN’ (gallium nitride ongallium nitride) substrates, hasappointed marketing veteran ToddAntes as senior VP of marketing,reporting directly to CEO JeffParker and working closely withthe sales and product develop-ment teams. “Todd brings extensive marketing

experience to Soraa and will play akey role in driving the company’snext phase of growth into full-spectrum, smart and connectedlighting,” says CEO Jeffrey Parker.

Mostrecently,Antes wasvice presidentat Qualcomm,responsiblefor the

strategy, product roadmap and go-to-market activities for its Networking, and ConnectedHome/Office/IoT products. Previ-ously, he was VP of marketing at

Atheros Communications, whichgrew to become the world’s largestsupplier of Wi-Fi technology andchipsets prior to its acquisition byQualcomm in 2011. Also, he hasheld several executive marketingleadership positions at small start-ups through large, public technol-ogy companies, including Philipsand AirPrime (acquired by SierraWireless). “I look forward to applying my

marketing experiences in IoT andconnected products to help thecompany deliver truly innovativeLED lighting to more customersaround the world,” comments Antes.

Soraa hires former Qualcomm executive as senior VP of marketingto drive go-to-market activities

Todd Antes,Soraa’s newsenior VP ofmarketing.

Page 32: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

32

At LIGHTFAIR International (LFI2016)in San Diego, CA, USA (26–28 April),Taiwan-based Everlight ElectronicsCo Ltd is launching a new UV LEDportfolio comprising five productscovering wavelengths between365nm and 400nm. The UV LED market is expected to

grow rapidly in 2016, due toupcoming applications (in additionto the established application toprinting). Emerging curing appli-cations (such as UV paint and cos-metic curing), medical treatments,domestic disinfection and homeappliances (such as photocatalyticair and water purifiers) are as

appealing as counterfeit checking. All five new UV LED products are

based on a special UV ceramic sub-strate to effectively improve theheat resistance. Three high-power(1.8W) components — EAUVA35352(3.5mm x 3.5mm x 2.31mm),EAUVA35353 (3.5mm x 3.5mm x3.51mm) and EAUVA4545 (4.5mmx 4.5mm x 5.0mm) — feature dif-ferent viewing angles of 120°, 50°

and 30°, respectively. Comple-menting the high-power range aretwo lower-power (0.08W) compo-nents: EAUVA3020 (3.0mm x 2mmx 0.65mm) has a very uniform lightpattern and EAUVA2016 (2.0mm x1.6mm x 0.75mm) is the smallestoption in the new UV LED range. Samples are available now (upon

request). Mass production will startin second-quarter 2016.

Everlight launches UV LED portfolio spanning 365–400nm

Everlight’s new series of UV LEDs.

Everlight has updated its range oftop-view, white, low- to mid-powerLEDs in 2835 form-factor (2.8mmx 3.5mm x 0.7mm) packages byadding versions operating at threevoltages 3V, 6V, 9V at 0.5W andtwo voltages 6V and 9V at 1W.Target applications are mainly general lighting, especially con-sumer, commercial and profes-sional lighting. With a low dollar-per-lumen ratio,

the 2835 package has been themost popular product of allEverlight’s lighting packages. It isan upgrade from standard 3528

packages but, due to a newlydesigned heat slug, the LED main-tains its compact size with an evenlower profile and can easily beoverdriven to achieve maximumflux. The 2835-ELB, an upgradefrom the standard 3528, is a ver-satile package that can accommo-date a wide range of wattages andvoltages. Different wattage andvoltage ranges are needed to opti-mize lamp and fixture designs toensure the best system efficienciesand cost. For the new 0.5W versions, three

different voltage options are avail-

able: 3V, 6V and 9V. In addition,two 1W high-power versions areavailable: 6V and 9V. Each of these2835-ELB LEDs has high efficacy,high-CRI (color rendering index)options of >80 or 90Ra, high-R9options of >0 or 50, and easy-to-use lambertian light patterns.3SDCM binning is available as wellto keep chromaticity coordinatesunder tight control. These featuresmake the 2835-ELB package suit-able especially for general lightingapplications such as bulbs, linearlamps and fixtures, or downlights. www.everlight.com

Mid-power 2835-packaged LEDs with different voltages

Taiwan-based Everlight ElectronicsCo Ltd has launched the Shwo F-ELB high-power LED series, thelatest and most powerful update to its existing high-power andbright Shwo LED Series. F-ELBLEDs features flip-chip technologyto enable better overdrive condi-tions while maintaining high effi-ciency.The F-ELB series is a high-power

SMD device featuring high lumendensity in a compact size, suitablefor all kinds of lighting applications

including general illumination,flash, spot, signal, industrial andcommercial lighting.The F-ELB devices can be driven

at 1.0W with a standard operatingcurrent of 350mA or up to 5W witha standard operating current of1500mA. Luminous flux of the1.0W F-ELB is up to 165lm(152lm/W) at 6500K CCT or 130lm(120lm/W) at 3000K CCT whendriven at 350mA of current. At1500mA, it can achieve 545lm at6500K CCT or 430lm at 3000K CCT.

The high-power SMD device isoffered in a compact ceramic pack-age (3.5mm x 3.5mm x 2.36mm).The thermal pad of the device iselectrically isolated, providing con-venience in thermal and electricaldesign. The F-ELB series also has alow thermal resistance of less than5ºC/W for optimized heat manage-ment.The new Shwo F-ELB devices are

currently available for sampling andmass production. www.everlight.com

Everlight adds higher-power F-ELB series to Shwo high-power LED range

Page 33: Developments in 2D materials News from OFC Developments in 2D

www.evatecnet.com

LEDs • ADvAncED PAckAging • PowEr DEvicEs • MEMs • TELEcoMs • PhoTovoLTAics • EvATEc - ThE Thin FiLM PowErhoUsE

MORE INFO

COMplEtE thin film dep & etch sOlutIONs

Let Evatec engineers help you solve your manufacturing puzzles. From raising

throughput in Under Bump Metallisation (UBM) processes on 12 inch, to

delivering plasma damage free sputter processing on GaN for LEDs and Power

Devices, Evatec platforms with Advanced Process Control (APC) raise yields and

lower Cost of Ownership. For more information about Evatec visit our website at

www.evatecnet.com or contact your local sales agent.

rADiAncE sPUTTEr cLUsTEr BAk EvAPorATor LLs Evo ii LoAD-Lock sPUTTEr cLUsTErLinE® 300 ii sPUTTEr

Page 34: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

34

For its fiscal second-quarter 2016(to 29 February), LED chip andcomponent maker SemiLEDs Corpof Hsinchu, Taiwan has reportedrevenue of $2.92m, down 36% on$4.6m a year ago but only 2% on$2.96m last quarter. “We have made good progress

toward restructuring our businessand focus,” says chairman, president& CEO Trung Doan. “Compared withthe first quarter of fiscal year 2016,cash position and gross margin have

improved and our capital spendinghas reduced in the second quarterof fiscal year 2016,” he adds. Gross margin was –27%, an

improvement on –49% last quarterbut still down on –14% a year ago. On a non-GAAP basis, net loss was

$2.2m, cut from $3.3m last quarterand better than $2.5m a year ago. Capital expenditure has been cut

further, from $0.42m a year agoand $0.34m last quarter to $0.14m.However, cash used in operating

activities was $1m, less than$1.4m a year ago but up from$0.6m last quarter. Total free cashoutflow hence rose slightly from$0.9m to $1.15m (although this isstill less than $1.8m a year ago).Despite this, during the quarter,cash and cash equivalentsrebounded from $3.5m to $5.3m. For fiscal third-quarter 2016

(ending 31 May), SemiLEDs expectsrevenue of $2.4–3m.www.semileds.com

LED maker Lumileds of San Jose,CA, USA has launched the LUXEONHR30 LED, a mid-power device thatwithstands harsh chemical environ-ments (including chlorine and sulfur)and is designed with robust pack-aging and industry-best materialsto operate for over 100,000 hourscontinuously. The LED addresses thegreat need for dependable lightingthat will operate in hazardous envi-ronments (such as chemical plants,power generation facilities andnatatoriums) and be able to with-stand extremes of temperature andoperating current. “The LUXEON HR30 is the only LED

in its class to provide a service lifein the 100,000 hour range [at 105°C]while withstanding corrosive ele-ments like sulfur and chlorine,” says

Matthew Everett, senior productdirector for LUXEON Mid PowerProducts. The chemical resistance ispartially attributed to its gold-platedleadframe and its optimized mater-ials package. The LUXEON HR30 hasdemonstrated strong performancein chemical resistance tests such as

IEC68-2-43 (15ppm): DLOP<10%,Du’v’<0.006 after 21 days. “Engineering for chemical resist-

ance is all about selecting the bestmaterials and then testing thosematerials under harsh conditions,”says Everett. “We have leveragedour expertise in materials scienceand lumen maintenance to producethe industry’s most robust mid-power LED,” he claims.The LUXEON HR30 produces 125

lumens at a luminous efficacy of134lm/W when driven at 150mAcurrent at a color temperature of4000K and a color rendering index(CRI) of 70. The LED is available atcolor temperatures of 4000K, 5000Kand 5700K at 70 CRI and 2700K,3000K, 4000K and 5700K at 80 CRI. www.lumileds.com/LUXEONHR30

Lumileds launches mid-power LUXEON HR30 LED,resistant to harsh chemical environments

SemiLEDs improves quarterly margins & cash reserves

SemiLEDs has effected a one-for-tenreverse split of its outstanding common stock, as approved bythe board of directors on 7 Januaryand by shareholders on 12 April.The final ratio of the split wasapproved by the board on 12 April,and did not require separate share-holder approval as it was withinthe range previously approved. As a result, every ten shares of

the common stock issued and

outstanding on 15 April has beencombined into one issued and outstanding share (reducing thenumber of shares outstandingfrom about 29.1 million to about2.9 million). The firm will pay cashin lieu of any resulting fractionalshares. In connection with thereverse stock split, there will be nochange in the par value per shareof $0.0000056. Also, the reversestock split will not reduce the

number of authorized shares ofcommon stock. The reverse stock split is

intended to increase the per-sharetrading price of the stock to satisfythe $1 minimum bid price require-ment for continued listing on theNASDAQ Capital Market. Trading of the stock on the

NASDAQ Capital Market continued(on a split-adjusted basis) underthe existing trading symbol ‘LEDS’.

SemiLEDs announces reverse stock split to maintain NASDAQ listing

Lumileds’ new LUXEONHR30 LED

Page 35: Developments in 2D materials News from OFC Developments in 2D

Pick your size.The Temescal UEFC-4900–ultimate lift-o� metallization

performance like the UEFC-5700, but optimized for smaller wafers and smaller production volumes.

It’s the elephant in the room. With our Auratus™ deposition

enhancement methodology and the UEFC-5700, we brought

you huge metallization process improvements including near

perfect uniformity; but UEFC-5700 is a high-volume production

tool. Now we’ve packed this performance into a mid-sized system,

the UEFC-4900, because sometimes the elephant is just too big.

Harmonize your process to the vapor cloud and experience the

huge performance bene�ts, even if you run smaller wafers and

smaller production volumes.

A Temescal system can bring near perfect uniformity to your

lift-o� metallization coating process. To �nd out more, visit

www.temescal.net/auratus-elephant or call +1-925-371-4170.

© 2015 Ferrotec (USA) Corporation. All rights reserved. Temescal is a registered trademark and Auratus is a trademark of Ferrotec (USA) Corporation.

TemescalUEFC–4900

Page 36: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

36

For fiscal third-quarter 2016 (ended27 March), Cree Inc of Durham,NC, USA has reported revenue of$366.9m, down 10.4% on $409.5ma year ago and 15.8% on $436mlast quarter (and 11.5% below theoriginally targeted $400–430m). Specifically, Lighting Product

revenue was a lower-than-targeted$187.7m (51% of total revenue),down 16% on $224.1m (55% of totalrevenue) a year ago and down 26%on $255m (59% of total revenue)last quarter. This is due primarily tolower commercial lighting revenue,driven by three main factors: customer service disruptionsrelated to converting to a new ERP(enterprise resource planning) sys-tem; delays in new product launches(which prompted some customersto delay their projects or switch toother products); and weaker marketconditions than forecast. LED Product revenue was $150.2m

(41% of total revenue), down just3% on $154.4m (38% of total rev-enue) a year ago and 2% on $153m(35% of total revenue) last quarter. Wolfspeed Power & RF Product

revenue was $29m, down 6% on$31m a year ago but up 6% on$28m last quarter. On a non-GAAP basis, gross margin

is down from 31.6% last quarter to30.6% (below the expected 31.7%).Specifically, Lighting Product grossmargin fell back from 28.5% to26%, due to lower lighting factoryutilization, an inventory write-downon LED tubes, and a higher relativemix of consumer sales. However, theLED Product business continue toexecute well, with gross margin levelat 34.7%. Wolfspeed Power & RFProduct gross margin has fallenfrom 52.2% last quarter to 46.4%,at the lower end of the targetedrange due to an unfavorable productmix while new products ramp up. Operating expenses were $96m,

below the targeted $100m (duemainly to lower variable costs on

the lower Lighting sales) and cutfrom $103m last quarter. Net income was $16.9m ($0.17

per diluted share), almost halvingfrom $30.5m ($0.30 per dilutedshare) last quarter, and below theoriginally targeted $0.22–0.29 per diluted share (but above the$0.13–0.15 forecasted on 5 April). Cree generated $15m in cash

from operations (down from $77mlast quarter). This was offset bycapital expenditure (CapEx) of$21.3m (down from $35.2m asplanned). Free cash flow was hence–$6.3m (compared with +$41.7mlast quarter). Also, Cree spent$17.8m to repurchase an additional600,000 Cree shares (taking totalrepurchases to $150m for 5.8 millionshares, leaving $350m remainingon the share repurchase program).However, Cree borrowed $20m onthe firm’s line of credit (leaving$225m outstanding) and received$6m from common stock issuancesand fixed-asset sales. Hence, overall, cash and investments roseby $3m to $620m. “Operating results were in-line

with the preliminary estimates weprovided on 5 April,” says chairman& CEO ChuckSwoboda.“We’veaddressed theroot causesthat led to ourrecent Light-ing businesschallenges,but recognizeit will taketime torebuild salesmomentum,”he believes.“We improvedcustomerresponsive-ness inMarch, andwe’re opti-

mistic that this, combined with newproduct momentum [with therelease of several new commerciallighting products and two new LEDproducts], will drive sequentialgrowth in fiscal Q4.” “In the last several weeks, we’ve

released the expanded family ofRSW streetlight products, theEssentia by Cree track and down-light product lines, and SmartCastManager for our new PoE [Powerover Ethernet] intelligent lightingproducts,” says Swoboda. “Over the next two months, we’re

scheduled to release performanceupgrades and product line exten-sions for our XSP streetlights, CPYcanopy lights and OSQ area lights,”continues Swoboda. “We also targetreleases of SmartCast LN for sus-pended fixtures, next-generationhigh-bay fixtures and a troffer per-formance upgrade in the quarter.We recently released our next-generation XLamp XP-G3 platform,which delivers 31% more lumensthan our previous generation aswell as improved lumen density,voltage characteristics and reliability.We’ve upgraded LED performancein Q3 with new versions of our XPLand XP-G2 products that incorpo-rate SC5 technology. We released anew family of XLamp CXA2 high-density LED arrays that doublelumen output and deliver the mostlumens in the industry for theirlightning emitting surface size. Werecently announced TrueWhitePlusTechnology, which will be availablein upcoming LED components aswell as new high-end lighting prod-ucts. The first products are beingshown to customers this week atLIGHTFAIR, and take our industry-leading TrueWhite color technologyto the next level by optimizing thespectral content of light.”For fiscal fourth-quarter 2016

(ending 26 June), Cree expectsrevenue to rise to $370–395m,driven by Lighting Products as

We’ve addressedthe root causesthat led to ourrecent Lightingbusinesschallenges. We improvedcustomerresponsivenessin March...this,combined withnew productmomentum, willdrive sequentialgrowth in fiscal Q4

Cree’s quarterly revenue falls 15.8% to $367m Recovery to $370–395m expected next quarter, following delays tonew products in commercial lighting

Page 37: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

37

commercial sales grow (more thanoffsetting lower targeted consumersales as Cree ramps down the current generation products inadvance of launching a new familyof bulbs this Fall). Wolfspeed salesshould also grow further, driven bygrowth in both Power & RF productlines. LED Product sales should besimilar to or slightly lower than fiscal Q3 as competitive marketdynamics offset typical seasonaldemand improvement. Gross marginshould rebound to 31.5%, drivenby gross margin improvement inLighting and Wolfspeed. Operatingexpenses should rise by $2m to$98m, due primarily to variable

sales costs from the targetedhigher commercial lighting sales.Improved gross margin and operating leverage are expected to yield net income of $16–22m($0.16–0.22 per diluted share). “While we believe the Lighting ERP

implementation issues are mostlybehind us, we believe near-termcommercial orders will take time tofully recover as we are regainingour customers’ confidence,” sayschief financial officer & executiveVP Mike McDevitt. “The commerciallighting business lost ordermomentum in Q3, but I believewe’ve addressed the delivery issuesand new-product momentum has

started to improve,” notes Swoboda.“Our consumer lighting business isworking on a new generation ofpremium LED bulbs that are tar-geted for release during the Falllighting season,” he adds. “As we enter our fourth fiscal

quarter, we remain focused on taking advantage of the growingmarket opportunities in Lightingand Power & RF,” says Swoboda.“Our LED business has performedwell over the last several quarters,but we need to continue to innovateand expand the number of appli-cations for a high-power technologyto help offset a very challengingcompetitive environment.”

Cree Inc of Durham, NC, USA hasintroduced the XLamp XP-G3 LED,which delivers 31% more lumensand 8% higher lumens-per-watt(LPW) than the XP-G2 LED. Leveraging key elements of Cree’s

SC5 Technology Platform, the high-power XP-G3 LED improves thelumen density, voltage characteris-tics and reliability of previous XP-Ggenerations, enabling lighting man-ufacturers to deliver differentiatedsolutions at lower system costs forapplications such as roadway, out-door area, spot and high-bay light-ing. “We are designing a new series

of 130 lumens-per-watt high-performance streetlights, and thechoice to use the new Cree XP-G3LED was clear,” comments WilburTarn, director of LED lighting manu-facturer, distributor and installer

OrangeTeK. “The XP-G3 LED delivershigh efficacy and high reliability inthe familiar XP-G footprint, allowingus to modify elements of an existingdesign to shorten our design timeby half.” Cree says that it pioneered the

industry-standard high-power 3535form factor with the XP platform,and now the XP-G3 delivers over205 LPW at 350mA and up to863lm at 2A. Regarding reliabilityand lumen maintenance, the XP-G3

LED has 6000 hours of LM-80 dataimmediately available that providesL90 lifetimes well beyond 50,000hours, even at an extreme 105°C,1500mA test condition. “The XP-G3 is the first LED in its

class to shatter the 200 LPW barrier,setting a new benchmark for theXP-G class of LED and joiningCree’s other high-power LEDs thatdeliver this level of performance,”says Dave Emerson, Cree’s VP &general manager, LEDs. The new LEDs are characterized

and binned at 85°C, available inANSI White, EasyWhite 3- and 5-step color temperatures(2700–6500K) and color renderingindex (CRI) options of 70, 80 and90. Product samples are availablenow and production quantities areavailable with standard lead times. www.cree.com/xlamp/xpg3

Cree Inc of Durham, NC, USA hasintroduced TrueWhitePlus Technol-ogy, a development in spectralcontrol. Using Cree LED technol-ogy that optimizes the spectralcontent of the light to deliver light-ing that people prefer, True-WhitePlus Technology is said to

make objects, spaces and anyenvironment more appealing. According to Cree, TrueWhitePlus

Technology will enable LED innova-tions that are not possible withincumbent technology, leading tobetter light experiences that helppeople feel safer, more alert and

more productive. It is targeted toappear in Cree’s LED componentsand commercial lighting solutionsthis year. The new technology was demon-

strated at LIGHTFAIR International(LFI 2016) in San Diego, CA, USA(26–28 April).

Cree introduces TrueWhitePlus LED technology platform

Cree launches XP-G3 LED as next generation of XLampXPG platform, boosting efficacy by 8% to 205lm/W

Page 38: Developments in 2D materials News from OFC Developments in 2D

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

38

Excelitas Technologies Corp ofWaltham, MA, USA, which providescustomized photonic solutions forthe lighting, detection and opticaltechnology needs of OEMs world-wide, has announced a new distri-bution agreement with Digi-KeyElectronics of Thief River Falls, MN,USA, a global, full-service providerof both prototype/design and pro-duction quantities of electroniccomponents, Select products from Excelitas’

broad portfolio of illumination,optoelectronic and sensor/detectionproducts are now available for

online purchase at Digi-Key’s globalwebsites.“Adding Excelitas’ products to our

global product offering makes iteasier for engineering teams toexplore and purchase from a fullselection of lighting and detectionsolutions for their designs,” saysDavid Stein, VP, global semiconduc-tor at Digi-Key. “Our customers cannow find even more of the compo-nents they need for their R&D andproduct development projects froma single, convenient source.”Initially, Digi-Key will add a selec-

tion of more than 100 Excelitas

products to its catalogue. This willinclude photonic detectors, thermalinfrared sensors, and a variety ofLED, xenon, flashlamp and arclamp illumination solutions cover-ing the spectrum from infrared (IR)to ultraviolet (UV). “Digi-Key’s renowned, global

customer base and reach will helpus market our leading-edge solutions to an expanded audienceof designers and users,” saysExcelitas Technologies’ senior VPDanny Miller. www.excelitas.comwww.digikey.com

Distributor Digi-Key expands standard catalogue toinclude Excelitas’ opto and photonic sensor technology

Osram Opto Semiconductors GmbHof Regensburg, Germany hasimproved the luminous efficacy ofits high-power LEDs by as much as7.5% by reducing the unwantedeffect of efficiency droop at highcurrents. This development wasmade possible by optimized epitaxialprocesses. The unwanted drop in efficiency

as the current density increases(i.e. droop) limits the maximumluminous efficacy of indium galliumnitride (InGaN)-based LEDs and ishence the subject of intense R&Dactivities worldwide. Osram Optohas now been able to reduce thiseffect considerably and thereforeachieve a significant increase in theefficiency of the LEDs. Under laboratory conditions and

at a current density of 3A/mm2, atypical luminous flux of 740lm wasverified in a QFN (quad flat no lead)LED package — an improvement of about 7.5% compared with previous typical values (6200K, Cx 0.319, Cy 0.323, single-chipversion LDxyz). At low current den-sities of 0.35A/mm2, the benefit ofthe optimized LEDs is still around

4%. “We have been able to reducethe droop effect considerably byextensively revising and improvingthe epitaxy,” says project managerDr Alexander Frey. The new processes are being used

in all Osram Opto LEDs based on

UX:3 chip technology and will alsohave a positive impact on otherhigh-power products. The resultswill now be integrated step by stepin the existing product portfolio,says the firm. www.osram.com

Osram boosts luminous efficacy of white and blue high-power LEDs by 7.5% Improved epitaxy reduce droop in LEDs based on UX:3 chip

At 3A/mm2 the luminous efficacy of white LEDs is boosted by 7.5%.

Page 39: Developments in 2D materials News from OFC Developments in 2D

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

39

DENSO International America Incof Southfield, MI, USA (a subsidiaryof Japan-based automotive tech-nology, system and componentsupplier DENSO Corp) has investedin TriLumina Corp of Albuquerque,NM, USA, which manufactures andintegrates vertical-cavity surface-emitting laser (VCSEL) array lightsources for LiDAR (light detectionand ranging) and interior illumina-tion products. DENSO aims to speed adoption

of LiDAR and driver monitoringtechnologies in Advanced DriverAssistance systems (key technolo-gies in autonomous vehicles). The strategic investment enablesTriLumina to gain broader access tothe automotive market. TriLumina has developed eye-safe

near-infrared (IR) emitters forhigh-power laser radar, depth sensing and smart illuminationapplications. As well as targetingdepth sensing and gesture controlfor the industrial robotics, commer-cial and consumer electronics markets, the firm aims to helpaccelerate the automotive industry’sadoption of semi-autonomous andautonomous vehicles by providinglasers for 100% solid-state LiDARproducts and advanced driver mon-itoring systems (DMS). “As a supplier of advanced driver

assistance systems, DENSO iseager to work closely with TriLuminato bring high-performance, cost-effective light source solutions tothe market,” says Tony Cannestra,director of corporate ventures forDENSO International America Inc. “It is critical to work with leading

tier-1 suppliers like DENSO as weintroduce and deploy technologythat will shape the automotiveindustry for years to come,” reckonsTriLumina’s CEO Kirk Otis. “It is atremendous endorsement of ourtechnology to have DENSO engageas one of our tier-1 partners, workwith us to become qualified, andhelp fuel development.”

DENSO International America’sSilicon Valley office actively workswith startup companies and,through these types of partnerships,expects to gain access to new technologies in the areas ofautonomous drive, electric vehicles,

transportation, batteries, andenergy storage while also expandingthe company’s overall presence and visibility within entrepreneurialnetworks. www.trilumina.comwww.globaldenso.com

DENSO invests in laser startup TriLuminaAutomotive supplier targets LiDAR and driver monitoring systems

Page 40: Developments in 2D materials News from OFC Developments in 2D

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

40

Epiwafer foundry and substrate makerIQE plc of Cardiff, Wales, UK hasjoined the new consortium MIRPHAB(Mid InfraRed PHotonics devicesfABrication for chemical sensingand spectroscopic applications),which will establish a €15m pilotline to serve the growing needs ofEuropean industry in analyticalmicro-sensors. Funding comes fromthe both the European Commission’sPhotonics Public Private Partnershipunder the Horizon 2020 program(€13m) and the Swiss Government(€2m). IQE’s role will be to provideconsortium partners and commercialcustomers with a volume source ofmid-infrared laser epitaxial wafers. MIRPHAB’s aim is to establish a

European-based production capa-bility for mid-IR photonics devices.By bringing together high-volumeepiwafer production facilities at IQE

along with key end-users, the con-sortium will deliver an end-to-endproduction supply chain for mid-IRdevices for a wide range of infraredsensing applications. Mid-infrared lasers and detectors

are a key enabling technology inapplications where the precise andfast detection of chemicals in gasesand liquids is required. The rapidgrowth in demand for mid-IR deviceswill be exploited by the pilot line inproduct areas that include: ● measurement and control ofenvironmental pollutants fromindustrial manufacturing processesand automotive engines; ● stand-off detection of explosivesand biological threats; ● non-invasive medical diagnosis;and ● specialized free-space communi-cation systems.

In addition, the initiative will pro-vide open access to the Europeanphotonics industry, focusing oninnovative SMEs, catering for theirrequirements to prototype a widerange of mid-IR devices for multipleend-use applications. “IQE has established a strong

track record in the manufacture ofcompound semiconductor wafersfor laser applications, so we arevery pleased to participate in MIR-PHAB and provide the consortiumwith a commercial source of mid-infrared epitaxial wafers,” says DrMark Furlong, VP of IQE’s Infraredbusiness unit. “With demand formid-infrared devices growing rap-idly, supporting the pilot line with ahigh production volume capabilityfor wafers will be essential.”www.mirphab.eu www.iqep.com

At the SPIE Defense and CommercialSensing conference (DCS) in Baltimore, MD USA (17–21 April),IQE presented two invited paperson recent key developments andcommercialization of advancedinfrared materials. ● ‘Bulk Growth and Surface Characterization of Epitaxy ReadyCadmium Zinc Telluride substrates

for use in IR imaging applications’,covering new product developmentin the area of cadmium zinc telluride(CZT) IR substrate technologythrough a partnership betweenIQE’s US substrate manufacturingsubsidiary Galaxy Compound Semi-conductors and Redlen Technologiesof Victoria, BC, Canada, a leader inthe manufacture of CZT-based

radiation detectors. ● ‘A study of the preparation ofepitaxy-ready polished surfaces of(100) Gallium Antimonide substratesdemonstrating ultra-low surfacedefects for MBE growth’. http://spie.org/conferences-and-exhibitions/defense--commercial-sensing www.redlen.com

IQE joins €15m MIRPHAB project to establish mid-IR photonics device fabrication supply chainIQE to provide volume source of laser epiwafers

In March. IQE received record volume purchase orders worth over$3m (to be delivered over the next12 months) for its indium antimonide(InSb) and gallium antimonide(GaSb) substrate materials.The orders from three long-term

customers of IQE’s Infrared businessunit are for various specifications ofInSb and GaSb substrate materials,including large-diameter productsthat are used to fabricate infrareddetector products. InSb and GaSbare key materials for a wide range

of infrared detector technologies inconsumer, defence, security, medicaland industrial imaging applications. IQE Infrared reckons it is uniquely

positioned as a global supply ofantimonide materials to the IRdetector industry, with IQE’s US(Galaxy Compound Semiconductors)and UK (Wafer Technology) opera-tions providing a secure dual sourceof 2-5” InSb and GaSb wafers. IQE claims it has the largest anti-monide wafer production capacityin the industry, using multiple

production tools (pullers), volumedouble-side polishing platforms andstate-of-the art product metrology. The new orders “reflect the high

quality of the antimonide substrateproducts that we supply as well asthe confidence that our customershave in Galaxy Compound Semi-conductors and Wafer Technologyto support their longer-termrequirements for a wide range ofInSb and GaSb substrate materialsup to 5” in diameter,” says Dr MarkFurlong, VP of IQE’s Infrared unit.

Infrared division wins $3m in orders for antimonide IR products

IQE’s Infrared division presents invited papers at SPIE DCS

Page 41: Developments in 2D materials News from OFC Developments in 2D

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

41

Sofradir of Palaiseau near Paris,France, a subsidiary of Safran andThales that makes cooled infrared(IR) detectors for military, space,scientific and industrial applications,says that its new Daphnis HD isentering production. Sofradir says that the decision to

launch production of the 10µmpixel-pitch high-definition midwave(MW) IR detector follows the positive feedback and subsequentnew orders received from selectcustomers that have been exten-sively sampling the product. System-level equipment maker

Safran-Sagem has selected theDaphnis HD MW in order to meetend-customer demands for morerecognition and identificationranges on optronic products,” saysSafran-Sagem’s R&T directorThierry Dupoux. “High-end optronicequipment is required to carryincreasingly more functions in con-strained volumes. From this stand-point, the reduction in pixel pitchsize of Daphnis FPA enablesimproved performance across alldimensions of an ISTAR mission —information, surveillance, targetacquisition and reconnaissance —without compromising the com-pactness of the optronic product.This is another strong marketrequest,” he adds. Whereas visible imaging systems

(which use shorter wavelengthsthan IR wavelengths) have beenusing HD formats for several yearsnow, the transition to the HD formatin IR wavelengths (3–5µm) has just begun to take place. In thatrespect, Daphnis’ 4.8µm spectralcut-off wavelength is a key differ-entiator that allows unsurpassedsignal-over-noise ratio, even in alow-temperature scenario, saysSofradir. “Thanks to the sharp square-like

profile of its mercury cadmium tel-luride photodiode and enlarged MW

band, Daphnis offers improvedrecognition range versus any com-peting 10µm-pitch products wehave recently seen introduced inthe market,” claims Sofradir’s general manager Laurent Fullana.“This elevates Daphnis to the product of choice for applicationslike gimbals, high-end vehiclessights and infrared search andtrack systems,” he adds. “Moreover,the ability to obtain an HD imageformat from a detector whose sizefits previous platform generationsis a real benefit as it facilitates customer system upgrades.” The Daphnis-HD MW IR detector

brings a wider field of view, longer-range capabilities and all-importanthigher resolution to elite militaryequipment. Key features include: ● higher resolution (1280 x 720pixels); ● longer range (achieving up to55% DRI range improvement onthe preceding generation of IRdetectors); ● wider field of view (enabling upto twice the field coverage of 15µmpixel-pitch MWIR detectors); ● ROIC (read-out integrated circuit)functionalities with digital outputfor easy integration; and ● full compatibility with HD screenformats and visible or SWIR camerachannels. www.sofradir.com

Sofradir starts production of Daphnis 10μm-pixel-pitch HD-format midwave IR detector

At the SPIE Defense + CommercialSensing (DCS 2016) event in Baltimore, MD, USA (17–21 April),Sofradir marked its 30th anniversary. At DCS, Sofradir unveiled its

extended Daphnis MW portfoliofeaturing the HD version, which isclaimed to be the first high-defini-tion (HD)-format midwave IRdetector based on the new 10μmpixel-pitch industry standard(which replaces 15μm pixel-pitchgeneration models). With 1280 x

720 pixels packaged to fit previousplatform generations, Daphnis-HDMW enables imaging equipmentused in airborne, naval and groundvehicles to achieve longer range,wider field of view and better reso-lution than previously available(significantly improving targetdetection, recognition and identifi-cation ranges). Sofradir’s IR detectors are at the

center of multiple military andspace programs and applications:

thermal imagers, missile seekers,surveillance systems and targetingsystems. Its IR detectors haveplayed a key role in space-borneearth observation, meteorologyand environment monitoring suchas Sentinel 2, Tropomi, Hayabusa2 and, more recently, ExoMarsspace programs (now totaling over70 flight models to date). http://spie.org/conferences-and-exhibitions/defense--commercial-sensing

Sofradir celebrates 30th anniversary at SPIE DCS

The Daphnis 10µm-pitch HD-formatmidwave IR detector.

Page 42: Developments in 2D materials News from OFC Developments in 2D

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

42

A team led by Alexander Spott ofUniversity of California, Santa Bar-bara — in collaboration with the USNaval Research Laboratory (NRL)and the University of Wisconsin,Madison — has fabricated what issaid to be the first quantum cascadelaser (QCL) on silicon. The advancemay have applications that spanfrom chemical bond spectroscopyand gas sensing to astronomy andfree-space communications. Integrating lasers directly on

silicon chips is challenging, but it ismuch more efficient and compactthan coupling external laser light tothe chips. The indirect bandgap ofsilicon makes it difficult to fabricatea laser from silicon, compared withdiode lasers fabricated from III-Vmaterials such as indium phosphide(InP) or gallium arsenide (GaAs).By directly bonding a III-V layer ontop of the silicon wafer and thenusing the III-V layers to generategain for the laser, this same researchgroup previously integrated a multiple quantum well (MQW) laseron silicon that operates at 2µm.However, limitations in diode lasersprevent going to longer wave-lengths where there are manymore applications, so the groupinstead turned its attention to usingquantum cascade lasers. Fabricating a quantum cascade

laser on silicon was a challengingtask made more difficult by the factthat silicon dioxide becomes heavilyabsorptive at longer wavelengths inthe mid-infrared. “Not only did wehave to build a different type oflaser on silicon, we had to build adifferent silicon waveguide too,” saysSpott. “We built a type of wave-guide called a SONOI waveguide[silicon-on-nitride-on-insulator],which uses a layer of silicon nitride[SiN] underneath the silicon waveguide, rather than just SiO2,”he adds.

The development could lead toseveral applications. “Traditionally,silicon photonic devices operate atnear-infrared wavelengths, withapplications in data transmissionand telecommunications,” Spottsays. “However, there is emergingresearch interest in building thesesilicon photonic devices for longermid-infrared wavelengths, for arange of sensing and detectionapplications, such as chemical bondspectroscopy, gas sensing, astron-omy, oceanographic sensing, ther-mal imaging, explosive detection,and free-space communications,”he adds. The next step for the team is to

improve the heat dissipation toimprove the performance of theQCLs and to allow them to makecontinuous-wave (cw) QCLs on silicon. “We generally hope toimprove the design to get higherpowers and efficiency,” Spott says.“This brings us closer to buildingfully integrated mid-infrared

devices on a silicon chip, such asspectrometers or gas sensors,” headds. “Silicon is inexpensive, thefabrication can be scaled up to significantly reduce the cost of individual chips, and many smalldevices can be built on the samesilicon chip — for example, multipledifferent types of sensors operatingat different mid-infrared wave-lengths.” A paper ‘Quantum Cascade Laser

on Silicon at 4.8 μm’ by AlexanderSpott, Jon Peters, Michael Daven-port, Eric Stanton, Charles Merritt,William Bewley, Igor Vurgaftman,Jerry Meyer, Jeremy Kirch, LukeMawst, Dan Botez and John Bowerswill be presented at the Conferenceon Lasers and Electro-Optics (CLEO 2016) on 9 June (2–4pm) inthe San Jose Convention Center,San Jose, CA, USA. https://labs.chem.ucsb.edu/bowers www.nrl.navy.mil www.wisc.edu www.cleoconference.org

UCSB-led team reports first quantum cascade laseron silicon Eliminates the need for external light source for mid-IR siliconphotonic devices or photonic circuits

3D artistic depiction of multiple quantum cascade lasers integrated abovesilicon waveguides. Credit: Alexander Spott.

Page 43: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

43

POET Technologies Inc of San Jose,CA, USA — which has developedthe proprietary planar optoelec-tronic technology (POET) platformfor monolithic fabrication of integ-rated III-V-based electronic andoptical devices on a single semicon-ductor wafer — has providedupdates toward key operationalmilestones and reaffirmed its confi-dence to prototype its first detectorproduct by the end of 2016. POET aims to commercialize its

integrated resonant cavity detectoras its first product and demonstratethis prototype by the end of 2016.Fabricated recently at the firm’sfoundry supplier, 6-inch detectorwafers based on the POET platformdemonstrated superior responsivityparameters relative to best-in-classperformance, it is claimed. The detectors differ from conven-

tional devices in that they are resonant cavity designs, whichenable greater sensitivity in detectorapplications. Benchmark parameters(such as responsivity) far exceed theperformance of detectors currentlyon the market, it is claimed. At abias voltage of 3.3V, POET detectorshave demonstrated a room-

temperature thyristor enhancedsaturated responsivity of 13A/W at an input optical power thresholdof less than 200mW and at anaperture of 10mm. This is about 20times higher on a 3 times smallerdevice relative to typical 850nm PiNdiodes. These results from the firstset of detector wafers from the production foundry provide criticalproof points for POET to introduce adifferentiated detector product tothe market later this year, the firmsays. Confirming a key milestone in val-

idating POET’s process technologytransfer, multiple 6-inch wafer lotsof vertical-cavity surface-emittinglasers (VCSELs) and transistorswere also processed at the high-volume production foundry sup-plier. Testing and characterizationof the devices is underway, andPOET expects to require furtherdevelopment cycles through addi-tional wafer processing to fullyachieve the true potential for theintegrated optoelectronic devices. “Over the next few months we

plan to make additional announce-ments on our key milestones anddevice performance as we continue

our aggressive drive towards commercialization of POET’s highlydifferentiated technology,” sayschief operating officer Dr SubhashDeshmukh. Chief scientist Dr Geoff Taylor is

retiring (effective 30 April), in orderto focus on recuperating from multiple surgeries. “Over the pastseveral months I have been dealingwith surgeries arising from compli-cations with a knee replacementand therefore been unable to fulfillmy duties,” says Taylor. “The company is now on the verge ofsuccessful growth under its newleadership and I believe is wellpositioned to produce critical prototypes necessary for POET tobe recognized as a leader in thedata communications market,” headds. “We expect to remain on-plan in

the execution of our lab-to-fabcommercialization initiative toachieve market success for thetechnology developed and nurturedby Geoff over the past severalyears,” comments CEO SureshVenkatesan. www.poet-technologies.com/poet-operations-update

VI Systems GmbH of Berlin, Germany(a fabless spin-off of the TechnicalUniversity of Berlin and the A. F. Ioffe Physico-Technical Institutein St Petersburg, Russia) hasdemonstrated a record transmissiondistance of 2.2km of multimodeOM4 fiber at a data rate of 54Gb/s.The standard graded index fiber isspecified with 50/125µm. Developed with the help of

advanced computer simulation

software (implemented to advancethe performance of the firm’s laser products), the new 850nmvertical-cavity surface-emittinglaser (VCSEL) design features anarrow optical spectrum at a highoptical output power. The transmission experiment is

based on a conventional non-return-to-zero (NRZ) modulationformat. This on-off keying (OOK)modulation scheme favors low

latency performance in communica-tion networks compared with morecomplex higher-order modulation. Details of the achievement were

published on 14 April (G Stepniaket al, ‘54 Gbps OOK transmissionusing single-mode VCSEL up to 2.2 km MMF’, Electronics Lettersvol52 (2016) no8, p633). http://digital-library.theiet.org/content/journals/10.1049/el.2015.4264www.v-i-systems.com

VI Systems demos record 54Gb/s reach of 2.2km MMFusing single-mode VCSEL with OOK modulation New 850nm VCSEL design features narrow optical spectrum at high optical output power

POET demonstrates resonant cavity detectorperformance exceeding best-in-classProcess transfer to 6” foundry on target for 2016 product launch

Page 44: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

44

Financial results have beenannounced for GigOptix Inc of SanJose, CA, USA (a fabless supplier ofanalog semiconductor and opticalcommunications components forfiber-optic and wireless networks)for first-quarter 2016 to 27 March(not including any contributionfrom Magnum Semiconductor Inc,acquired subsequently on 5 April,after which GigOptix was renamedGigPeak Inc, focusing on ICs andsoftware solutions for high-speedconnectivity and high-quality videocompression over the network andcloud). Revenue rose for the eighth con-

secutive quarter to a record$11.4m, up 3% on $11.1m lastquarter and up 25% on $9.1m ayear ago. “First-quarter 2016 was an excep-

tional quarter to conclude ourexactly 9 year history of GigOptixgoing back to our inception in2007,” says founder, chairman &CEO Dr Avi Katz. Gross margin has risen further,

from 62% a year ago and 67% lastquarter to a record 69%. Excluding $0.8m of expenses

incurred from due diligence andnegotiation of the Magnum Semi-conductor acquisition, net incomehas risen from $0.7m ($0.02 perdiluted share) a year ago and$2.2m ($0.05 per diluted share)last quarter to $2.4m ($0.05 perdiluted share). Likewise, adjustedEBITDA has risen from $1.4m ayear ago and $2.9m last quarter to$3m. Free cash flow generation was a

record $2.8m, compared with$20,000 last quarter and outflow of –$0.7m a year ago (and about 3.5 times higher than the $0.8mgenerated in full-year 2015). During the quarter, the firm

invested $1.2m in Anagog Inc inJanuary, but also raised $4.7m froma direct investment by ShanghaiPudong Science and TechnologyInvestment Co Ltd (PDSTI) inMarch.

Hence, during the quarter, totalcash and cash equivalents rosefrom $30.2m to $36.8m. “We delivered yet again another

quarter of historic record revenue,highest ever gross margin,enhanced profitability, and gener-ated exceptional record free cashflow,” notes Katz. “These resultsdemonstrate the continuedstrength of our business model and core business execution in allour servedmarkets,” headds. “With a

strong founda-tion in place,the recentacquisition ofMagnumSemiconductorsignificantlyenlarges ourfinancial profile,” saysKatz. Followingthe acquisi-tion, the firmexpects todeliver a 50%increase infull-year revenue over2015, with furtherimprovementsto gross mar-gin, improvedprofitability,and higherthan initiallyguided non-GAAP earningsper share.“Supportingour betterfinancial out-look is the fact that theacquisitiongives us amuch broaderproduct port-folio with the

addition of software-based solutions to deliver best-in-classvideo and data-streaming capabili-ties to the world’s leading broad-casting, IoT [Internet of Things]and consumer customers,” saysKatz. “With the exponential growthin video traffic putting more pressure on the network, weexpect a growing demand for theentire GigPeak product portfolioand solutions that deliver real-time,high-speed and high-quality information streaming and videocompression in the cable, satellite,telco/IPTV and mobile/over the topmarkets,” he adds. Driven by the acquisition and the

opportunities to expand the firm’sfocus from the enterprise, telecomand datacom networking marketsinto the cloud connectivity, broadcasting head-end, IoT, andconsumer markets, it is expectedthat the targeted available marketshave risen from $1bn to about$5bn. “As we will reorganize GigPeak to address these markets,we will report our financial resultsbeginning 1 January 2016 withoutthe historical classification of High-Speed Communications andIndustrial ASICs, as we did in theprevious years,” notes Katz. “We will likely move later this yearto line up the company along twobusiness lines: enterprise network-ing (which will include telecom,datacom and broadcasting, andwhich will be named the GigOptixproduct line) and consumer andcloud connectivity (which will benamed the GigCloud line). Whenwe complete this realignment in thefuture, we will then start to reportaccording to those two businesslines.” The initial revenue outlook for

second-quarter 2016 (which willinclude about 10 weeks of salesfrom Magnum Semiconductor prod-ucts) is expected to be $15–15.3m,up 33% on Q1/2016 and up 55%year-on-year. www.gigoptix.com

Driven by theacquisition ...it is expectedthat thetargetedavailablemarkets haverisen from $1bnto about $5bn.

We will likelymove later thisyear to line upthe companyalong twobusiness lines:enterprisenetworking(which willincludetelecom,datacom andbroadcasting,and which willbe named theGigOptixproduct line)and consumerand cloudconnectivity(which will benamed theGigCloud line)

GigOptix’s Q1 sales up 25% year-on-year to record $11.4m Firm renamed GigPeak after acquiring Magnum Semiconductor

Page 45: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

45

GigOptix Inc of San Jose, CA, USA(a fabless supplier of analog semi-conductor and optical communica-tions components for fiber-optic andwireless networks) has launched a complete chipset portfolio for28Gbps- and 100Gbps-based solutions for short-reach (SR) and long-reach (LR) Ethernet applications for inter- and intra-datacenter connectivity. “With an already dominating mar-

ket share in the current generationof 40Gbps active optical cables(AOCs) and transceivers for data-center connectivity, GigOptix ispleased to announce that it startedshipping complete productionchipsets for 100Gbps short-reach(SR) and long-reach (LR) Ethernetapplications for inter- and intra-datacenter connectivity,” says Tom Kapucija, director of datacommarketing. The chipsets comprise:1x and 4x short-reach trans-impedance amplifier (TIA) receiver(HXR8201 and HXR8204), vertical-cavity surface-emittinglaser (VCSEL) driver (HXT8201 andHXT8204), 1x and 4x long-reachdirectly modulated laser (DML)driver (HXT42100 and HXT42400),complemented by the proven clock & data recovery (CDR) technology represented by the 2x and 4x CDR/re-timer chips(HXC42200 and HXC42400). GigOptix short- and long-reachconfigurations In addition to the quad-channeldevices, GigOptix is releasing production samples of its single-channel products for SFP28+ modules and its 12-channel productsfor super-computer applications. For SR10 applications In addition, the 12-channel solutionsfor super-computer applicationsconsist of the following configur-ation: ● HXT8201 — single-channel,28Gbps VCSEL driver;

● HXR8201 — single-channel,28Gbps TIA+PA (power amplifier)receiver; and ● HXC42400 — quad-channel,28Gbps CDR/re-timer. Short-reach solutions The single- and 12-channel TIA+PAreceivers are developed around theHXR8204 four-channel receiverarchitecture with integrated TIAprovides 60_APP input sensitivity at28Gbps with 10–12 bit error rate(BER), AGC and ATC, and a limiting post-amplifier stage. Linear per-channel RSSI outputsare provided to enable active alignment during manufacturing in order to provide optimum performance and manufacturability.The 1MHz I2C interface enables fullcontrol of additional functionalitysuch as signal detect and squelch,pre-emphasis, maskable interruptgeneration, internal temperaturemonitor selection, channel polarityinversion, and output voltage swingwith enable. The single- and 12-channel VCSEL

drivers are developed upon theHXT8204 four-channel VCSELdriver architecture which supportsmodulation and average VCSELcurrents up to 10mA with a dedi-cated BurnIn capability up to 15mAaverage current. The 1MHz I2Cinterface enables full control of alldriver functions including inputequalization, output peaking andpeaking duration, signal detect andsquelch, channel polarity inversion,diagnostics such as average current and temperature monitoringas well as user-maskable interruptsand VCSEL voltage supervisoryfunctions. Long-reach solutions The HXT42100 and HXT42400 DMLdrivers share the same architecturewith a high level of integration, low power dissipation, and smallform factor. The HXT42100 single-channel driver footprint is

sufficiently small to use within aTOSA (TO-can) solution. Both DMLdriver solutions support low voltagesupply operation as low as 2.5Vwith modulation and bias currentsof 50mA and 50mA respectively,enabling the next generation oflow-power modules, and can bedriven with supply voltages up to3.3V if higher modulation and bias currents are required. A single-channel operating at 2.5Vwill dissipate less than 200mW ofchip power. Neither of the twodevices require any external digital analog convertors (DAC) foroperations. A high level of integration is

provided with both the HXT42100and HXT42400 by incorporating themodulation, bias and pulse-shapingDAC into the devices with an integrated I2C interface for control,monitoring, fault and status detection. The 1MHz I2C interfaceenables full control of additionalfunctionality such as signal detectand squelch, bandwidth adjust,maskable fault and interrupt generation, internal temperaturemonitor selection, channel polarityinversion, and programmable CTLEinput equalization. “Our patented, low-power digital

CDR architecture complements ourleading datacom transimpedanceamplifier (TIA) and laser driver(LD) portfolio to support bothshort- and long-reach applications,”says Tom Kapucija. “GigOptix willcontinue to leverage its innovativeproducts and architectures for thecurrent generation and future gen-erations of datacenter connectivitysolutions.” GigOptix gave SFP28+ and QSFP28

product demonstrations at theOptical Fiber Communication Conference & Exhibition (OFC 2016)in Anaheim, CA, USA (22–24 March). www.gigoptix.comwww.ofcconference.org

GigOptix launches complete 28G/100G chipset portfoliofor short- and long-reach Ethernet product datacenterapplications

Page 46: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

46

At the Optical Fiber CommunicationConference & Exhibition (OFC 2016)in Anaheim, CA, USA (22–24 March),Mellanox Technologies Ltd of Sunnyvale, CA, USA and Yokneam,Israel (a supplier of end-to-endInfiniBand and Ethernet interconnectsolutions and services for servers,storage and hyper-converged infra-structure) demonstrated 50Gb/ssilicon photonics optical modulatorsand detectors. Since the devicesare the key component in 200Gb/sand 400Gb/s LinkX cables andtransceivers, the demonstration isan important milestone toward providing end-to-end solutions forHDR 200Gb/s InfiniBand and Ether-net interconnect infrastructure,according to the firm. “Silicon photonics is the enabling

technology for 200Gb/s InfiniBandand Ethernet networks,” says Amir Prescher, executive VP of business development & interconnectproducts. “The QSFP56 doubles thefront-panel density for next-gener-ation switches; enables 200G cop-per DACs and 50G breakout cablesfor adapters and inside-the-rackapplications; and silicon photonicstransceivers supports all data centerreaches to 2km,” he adds. Mellanox plans to offer 50Gb/s and

200Gb/s direct-attach copper cables(DACs); copper splitter cables(QSFP56 to 4x SFP56); silicon pho-tonics-based active optical cables(AOCs) for reaches to 200m; andsilicon photonics transceivers forreaches to 2km. Mellanox’s 200Gb/scables and transceivers will seam-

lessly support previous generationsof 40 and 100Gb/s networks. “The transition from 40G to 100G

networks inside the data center hasbegun in earnest,” comments DaleMurray, principal analyst at Light-Counting Market Research. “Thisannouncement comes just as thecloud and hyperscalers are planningtheir migration to 200G and 400G.” Mellanox’s LinkX interconnect

product family includes 10, 40, 25,50 and 100Gb/s copper cables,active optical cables and trans-ceivers for both single-mode fiberand multi-mode fiber applications.The newest LinkX additions, intro-duced at OFC, are 25Gb/s trans-ceivers and active optical cables,and use the SFP28 form factor. www.mellanox.com

Mellanox announces first 200Gb/s silicon photonicsdevices, doubling performance in QSFP form factor

At OFC, Mellanox, network test firmIxia of Calabasas, CA, USA andInnoLight Technology Corp ofSuzhou, China (which designs andmakes optical transceivers forcloud computing) announced theadvent of interoperable parallelsingle-mode (PSM4) transceiversthat allow cloud and Web 2.0 companies to mix and matchtransceivers in their data centers.Representing an industry first, theEthernet demonstration at OFC withIxia’s QSFP28 Xcellon-Multis Loadmodule and Mellanox’s Spectrumswitch confirms 50Gb/s and 100Gb/sinteroperability between Mellanox’s1550nm PSM4 transceivers andInnoLight’s 1310nm PSM4 trans-ceivers. “Interoperability is an important

consideration for Web 2.0, cloud andtelecom customers,” says InnoLight’schief marketing officer Osa Mok.“We are delighted to participatewith Mellanox and Ixia to showcasethe expanded capability of ourwavelength-agnostic transceivers.”

“We selected PSM4 transceiversbecause they are currently thebest option for scaling networksfrom 10 and 40G Ethernet to 25,50 and 100G Ethernet,” commentsYuval Bachar, principal engineer,global infrastructure architecture &strategy at LinkedIn. “With PSM4,the transceivers are readily availableat high volumes and we can havenetworks with a mix of 50G linksand 100G links by splitting thePSM4 to two 50G ports,” he adds. “Interoperability provides more

options and better solutions for ourcustomers,” says Amir Prescher,senior VP & general manager ofMellanox’s LinkX Interconnectbusiness unit. “We are demonstrat-ing end-to-end networking usingour extensive LinkX interconnectproduct family including 25, 50 and100Gb/s copper cables, activeoptical cables and transceivers forboth single-mode and multi-modefiber applications,” he adds. “Theend-to-end demos with 32 100Gb/sports, ConnectX-4 adapters with

25, 50 and 100Gb/s ports, and thenewest LinkX products: 25Gb/stransceivers and active optical cablesin the popular SFP28 form factor.” To ensure that all LinkX cables and

transceivers work the first timeevery time, Mellanox subjects itsproducts to a full system test in astressed environment. So, networkengineers don’t waste time debug-ging a new installation with inade-quately tested products. LinkXproducts deliver higher quality andsignal integrity, allowing installersto bring up new clusters rapidlydue to fewer interconnect problems. To maximize overall data-center

performance, all commercial versionsof Mellanox’s LinkX interconnectproducts endure full system testingto a bit error rate (BER) of 10–15

(which provides 1000x fewertransmission errors than manycompeting products, it is claimed).Fewer transmission errors translateto fewer re-tries, higher systemperformance, and more revenue-generating traffic, says Mellanox.

Mellanox and InnoLight announce availability and interoperabilityof 100Gb/s PSM4 transceivers at 1310 and 1550nm wavelengths

Page 47: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

47

At the Optical Fiber CommunicationConference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March),Kaiam Corp of Newark, CA, USA —a private company founded in 2010commercializing hybrid photonicintegrated circuit (PIC) technology— demonstrated what it claims isthe first silicon photonics-based100Gb/s CWDM4 transceiver.To showcase the capability of the

QSFP28 transceiver, Kaiam gave alive demonstration with the moduleconnected to a standard directlymodulated laser (DML) version of itsCWDM4 transceiver through 10kmof single-mode fiber. The siliconphotonics module combines all thehigh-speed electronics — modulatordriver, transimpedance amplifier (TIA)and clock & data recovery (CDRs)— together with high-performancesilicon modulators and detectors in a

single 3D chip stack. The wavelengthmultiplexing and demultiplexing isrealized in the firm’s glass-basedplanar lightwave circuits (PLCs), whilethe continuous-wave (CW) light isgenerated by indium phosphidelasers, coupled to the PLCs usingKaiam’s proprietary MEMS-basedalignment technology. Standardgrating couplers connect the glassPLCs to the silicon photonics IC.“Data-center operators are contin-

ually looking for performance andcost improvements as many ofthem transition to WDM interfaces,”says VP of engineering Dr RonKaneshiro. “This silicon photonicstransceiver highlights that Kaiamhas the tools available to deliverproducts in volume [via its large-scale manufacturing in Livingston,Scotland, UK] to meet and exceedour customers’ demands,” he adds.

“Each material system has its ownstrengths and weaknesses. Ourtechnology allows us to combinethe best materials for each functionin a simple low-cost integratedmodule,” notes CEO Dr BardiaPezeshki. “For example, imple-menting wavelength multiplexingand demultiplexing in silicon pho-tonics has traditionally been diffi-cult in uncooled applications. Bycombining Kaiam’s temperature-insensitive and low-cost PLC tech-nology with silicon photonics ICs,we can bring benefits of electronicintegration to applications requiringWDM interfaces,” he adds. “Ourdemonstration further shows thatKaiam’s MEMS approach is wellsuited for hybrid integration of arange of optical technologies fromDMLs to silicon photonics.”www.kaiam.com

Kaiam demonstrates first complete 100Gb/sCWDM4 silicon photonics transceiver

At the Optical Fiber CommunicationConference & Exhibition (OFC 2016)imec presented improvements inperformance of various key buildingblocks of its wafer-scale integratedsilicon photonics platform (iSiPP).The new results expand imec’siSiPP device portfolio to support50Gb/s non-return-to-zero (NRZ)optical lane rates, and represent amilestone for the realization ofhigh-data-rate silicon integratedoptical interconnects targetinghigh-density, high-bandwidth, low-power telecom and datacomtransceivers, as well as for low-costlarge-volume applications such assensors or LiDAR, says imec.Through process and design opti-

mization, imec has improved theoperating speed of the silicon-basedtraveling-wave Mach–Zehndermodulators and ring modulators toreach 50Gb/s NRZ lane rates. Also,a C-band GeSi (germanium-siliconalloy) electro-absorption modulatorwas developed with an electro-

optical bandwidth beyond 50GHz,enabling NRZ modulation at 56Gb/sand beyond. All modulator typescan be driven with competitivedrive voltages of 2Vpp or below,enabling compatibility with power-efficient CMOS driver circuits.The responsivity of the high-speed

germanium (Ge) photodetectors hasbeen improved to 1A/W, enablinghighly sensitive 50Gb/s NRZ receiversboth in the C-band and the O-band.Also, edge coupling structures weredeveloped for broadband opticalcoupling to high-NA (numericalaperture) and lensed fiber with lessthan 3dB insertion loss in the C-band.Moreover, designers can exploit thepatterning fidelity provided by 193nmlithography, enabling robust activeand passive waveguide devices. The 50Gb/s components are

included in imec’s 200mm siliconphotonics multi-project wafer (MPW)foundry offer, and are supported bya process design kit (PDK). TheMPW service is available via the

Europractice IC service and MOSIS,a provider of low-cost prototypingand small-volume production serv-ices for custom ICs. Imec’s activeiSiPP50G run is now open for regis-tration (with a deadline of 28 June)for first wafers out on 9 January 2017.Imec also provides technology

customization options with dedicatedwafer fabrication services supportedby a PDK. This service enables theuse of full-size reticles, delivery offull wafers, and access to specialtymodules enabling high-efficiencyintegrated heaters, MOSCAP devicesand flip-chip assembly etc.The PDKs have been validated with

silicon data, based on a minimumof two process runs for most of thecomponents, and describe theprocess and device performancestatistics. They are supported invarious electronic design automation(EDA) environments and includeDRC (design rule checking), sup-porting first-time right designs. www.imec.be

Imec enhances silicon photonics for 50G NRZ lane rates

Page 48: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

48

Semtech Corp of Camarillo, CA,USA, which supplies analog andmixed-signal semiconductors forhigh-end consumer, enterprisecomputing, communications andindustrial equipment, hasannounced the production releaseof its GN2108 and GN2109 chipsetfor quad-channel 100G-SR4 opticalinterconnects operating at25.78Gb/s. The GN2108 is a quad-channel

clock & data recovery (CDR) chipwith integrated vertical-cavity sur-face-emitting laser (VCSEL) driv-ers, and the GN2109 is aquad-channel CDR with integratedtransimpedance amplifiers (TIAs).A full suite of on-chip features mini-mizes external components andhelps users to speed up their hard-ware and firmware development.The GN2108 and GN2109 chipsetoffers users developing opticalmodules, active optical cables andoptical interconnects what isclaimed to be best-in-class link per-formance, high flexibility and a low

overall solution cost. The GN2108 VCSEL driver was

architected to compensate for opti-cal distortion introduced byVCSELs. This enables several bene-fits, including extended reach wellbeyond the 100G-SR4 standard togreater than 300m over OM4 multi-mode fiber (and greater than 150mover OM3 multi-mode fiber), oper-ation with lower-bandwidth andlower-cost VCSELs, and operationwith lower VCSEL bias settings forlower power and higher VCSEL reli-ability. The GN2108 and GN2109chipset’s comprehensive digital cir-cuitry, together with a set of ana-log-to-digital (ADC) anddigital-to-analog converters (DAC),implements a complete set ofalarms monitoring and test fea-tures, which enables the use ofcost-effective micro-controller units(MCUs) and simplifies module-levelfirmware design (speeding time-to-market) as well as aiding moduledebugging and production testing.In addition, the GN2109’s receiver

input sensitivity and crosstalk per-formance makes it suitable for bothSR4 multi-mode as well as PSM4single-mode optical interconnects. “The GN2108 and GN2109 chipset

has strong traction with our cus-tomers,” says Dr Timothy Vang,senior director of datacom market-ing for Semtech’s Signal IntegrityProduct Group. “The performanceand feature set of the GN2108 andGN2109 is enabling our customersto quickly bring to market winningsolutions for 100Gbps QSFP28 andactive optical cables (AOCs) fordatacenters and a wide range ofother applications,” he adds. Semtech demonstrated the

GN2108 and GN2109 by appoint-ment in booth 1383 at the OpticalFiber Communication Conference(OFC 2016) in Anaheim, CA, USA(22–24 March). The GN2108 andGN2109 are in initial productionnow. Semtech offers comprehen-sive design assistance, includingfield- and factory-based support. www.semtech.com

Tokyo-based Mitsubishi Electric Corpsays that on 1 July it will start shipping a laser-diode transmitteroptical subassembly (TOSA) capableof supporting 100Gbps opticaltransmissions. The new FU-402REAwas displayed at the Optical FiberCommunication conference & exhi-bition 2016 (OFC) in Anaheim, CA,USA (20–24 March). The new TOSA, which comprises

four 1.3μm-wavelength electro-absorption modulator lasers (EMLs)integrated with an optical multi-plexer, offers what is claimed to beone of most compact EML-TOSAsolutions available for IEEE100GBASE-ER4 applications. Modu-lated by a small driving voltage,operating power consumption is

low (2W maximum) with a highextinction ratio (9dB typical) for anoutput power of –2dBm to +2dBm. Mitsubishi Electric says that, in

response to the growing need to

install communication equipment inincreasingly confined spaces, itsnew laser-diode TOSA offers long-distance 40km transmission capa-bility (e.g. between data centers) inone of the industry’s smallest foot-prints. Optical multiplexer andother components have been opti-mized to reduce the package sizeto 6.5mm x 25.0mm x 5.4mm (just30% that of its predecessor FU-401REA), compliant with commonspecifications for CFP4 opticaltransceivers. This combination isexpected to help downsize100Gbps communication facilitiesand expand high-speed 100Gbpsoptical transmission networks. www.mitsubishielectric.com/semiconductors/products/opt

Mitsubishi Electric’s new FU-402REA100Gbps EML-TOSA.

Semtech announces initial production release of quad25G chipset for 100G SR4 optical modules and AOCs

Mitsubishi Electric shrinks integrated 100Gbps40km EML-TOSA to 30% size of predecessor

Page 49: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

49

At the Optical Fiber CommunicationConference & Exhibition (OFC 2016)in Anaheim, CA, USA (22–24 March),engineered materials and optoelec-tronic component maker II-VI Incof Saxonburg, PA, USA showcaseda portfolio of optical communicationproducts, including the following: Intelligent subsystems & line-cards: II-VI designs and makes line-cardsfor next-generation systems using abroad portfolio of small componentsand intelligent modules producedin-house. The line-cards featuremature, stable and reliable softwarecontrols as well as differentiatedoptical monitoring methods. Embedded optical monitoring: II-VI’s channel monitors are claimedto be the world’s smallest and capable of monitoring super-channelson a flexible grid. The firm’s newoptical channel monitor (OCM) features a dwell mode to enableadvanced signal analysis on aselected channel. II-VI’s new OTDR+(optical time-domain reflectometry)platform, designed with patent-pending technologies, performssensitive fault location measure-ments using very low optical power.

Vertically integrated opticalamplifiers: II-VI designs and manufacturesoptical amplifiers including thepump laser chips and micro-optics,packaged with other optical functionsinto hybrids, arrays or hybrid-arrays,in order to minimize cost and size.This results in custom-designedoptical amplifiers that are small,efficient and cost effective, says II-VI. 980nm pump lasers: II-VI continues to evolve its 980nmpump laser portfolio, either boostingthe launched optical power to higherlevels or shrinking the packagesize. The new 1W pump laser canreplace pairs of lower-power modules, enabling cost-effective,more thermally efficient and envi-ronmentally conscious designs. The cooled dual-chip pump laserdelivers 2 x 810mW of opticalpower to meet demanding arrayedor multi-stage amplifier designs. Transceiver-embedded amplifiers & components: II-VI offers a complete portfolio ofsmall, hybrid and tunable opticalcomponents designed to meet thecompact physical requirements ofhigh-density transceivers. The new

8-pin uncooled 980nm pump lasersfeature 80µm-diameter tight-bendlow-loss fiber pigtails designed to fitin tight spaces. Tunable receivers & burst-modeamplifiers for NG-PON2: For upstream time wavelength division multiplexing (TWDM) passive optical network (PON)links, II-VI recently announced aprototype-stage burst-mode gain-controlled optical amplifier. For downstream transmission, II-VI has demonstrated a miniatur-ized tunable receiver that can beintegrated in standard bi-directionaloptical subassemblies (BOSAs). Products for optical switching &wavelength routing: II-VI says that its optical switchesand flat-top tunable filters for50GHz channel spacing are compact and cost-effective solutionsfor wavelength routing and opticalpath-protection switching at theedge of the network. The high-port-count MEMS optical switch isespecially suited to applicationsrequiring frequent switching, such aswhen monitoring multiple points inthe network, says the firm.www.ii-vi-photonics.com

II-VI Inc showcases new optical amplifiers, pump lasers,optical monitors and transceiver-embedded components

II-VI has announced prototype-stage availability of a burst-modegain-controlled optical amplifierdesigned for upstream transmissionin NG-PON2 broadband accessnetworks. The Full Service Access Network

(FSAN) industry interest group hasselected TWDM (time and wave-length division multiplexed) PONtechnology as the NG-PON2 stan-dard for the next-generation pas-sive optical network architecture.This architecture supports the con-vergence of the communicationinfrastructure for services such asresidential broadband, enterpriseaccess and wireless backhaul. II-VI

has developed an optical amplifierfor TWDM PON upstream linksusing patent-pending technology. “This new technology showcases

our ability to leverage our coreexpertise and deliver application-specific optical amplifier solutions,”says Dr Sanjai Parthasarathi, VP,product marketing & strategy,Optical Communications Group.“We designed an optical amplifierto match the requirements ofTWDM PON upstream transmissionand enable service providers toincrease bandwidth to their sub-scribers over their existing passiveoptical network infrastructure.” Passive optical networks are eco-

nomical due to their broadcastarchitecture. II-VI says that itsnew optical amplifier implements anovel gain-control technique thatadapts instantaneously to rapidchanges in optical signal levelsreceived, as subscribers take turnscommunicating upstream fromvarious distances. This fast adapt-ability enables service providers toscale up the bandwidth on theirexisting distribution infrastructure,while the time-shared functionalityminimizes capital expenditures. II-VI’s burst-mode gain-controlled

optical amplifiers have completedcustomer laboratory trials. Prototypeunits are available for evaluation.

II-VI introduces burst-mode gain-controlled optical amplifier forNG-PON2 broadband access networks

Page 50: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

50

M/A-COM Technology Solutions Incof Lowell, MA, USA (which makessemiconductors, components andsubassemblies for analog RF,microwave, millimeter-wave andphotonic applications) has launchedthe MAOP-L284CN, a 4-channel,28Gbps silicon photonic integratedcircuit (PIC) integrated with lasers(L-PIC) to provide a driverless100G transmit solution for CWDM4and CLR4 applications. To meet the explosive growth of

data traffic driven by video andmobile, major Internet contentproviders (such as Amazon,Microsoft, Google and Facebook)are building hyper-scale data-centers, which require high-speedinterconnect solutions that arepower efficient, compact and costoptimized. MACOM says that itsEtched Facet Technology (EFT) continuous-wave (cw) lasers areattached to the silicon PIC using itsproprietary self-alignment process(SAEFT) with high coupling effi-ciency, offering a power efficientsolution at reduced manufacturingcost.

The MAOP-L284CN features fourhigh-bandwidth Mach–Zehndermodulators integrated with fourlasers (emitting at wavelengths of1270, 1290, 1310 and 1330nm)and a CWDM multiplexer, with eachchannel operating at up to 28Gb/s.The L-PIC operates on a standardsingle-mode optical fiber, andincludes integrated tap detectorsfor fiber alignment, system initial-ization and closed-loop control. A single fiber aligned to the outputedge coupler of this 4.1mm x 6.5mm

die is the only opticalrequirement for imple-menting this device intoQSFP28 transceiver applications. MACOM isalso offering the MASC-37053A modulator driverintegrated with CDR,matched with this L-PICfor optimized performanceand power dissipation. “MACOM’s L-PIC solves

the key challenge ofaligning lasers to the silicon PIC with high yieldand high coupling effi-

ciency, making the adoption of silicon PICs a reality for high-speedoptical interconnects within thedatacenter,” states Vivek Rajgarhia,VP of strategy, High-Speed Networking, for MACOM. The new L-PIC was on display at

the Optical Fiber CommunicationConference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March). www.macom.com/products/product-detail/MAOP-L284CN www.macom.com/opto www.ofcconference.org

At the Optical Fiber CommunicationConference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March),M/A-COM Technology Solutions Incof Lowell, MA, USA (which makessemiconductors, components andsubassemblies for analog RF,microwave, millimeter-wave andphotonic applications) has launchedwhat is claimed to be the industry’sfirst 64 Gbaud quad-channel linearMach–Zehnder modulator driver.The MAOM-006428 will supportdata rates of 400Gbps and beyondon a single wavelength for long-haul communications using coher-ent technology. By increasing the data rate on a

single wavelength, operators can

increase capacity and reduce theoverall cost-per-bit of transmission.Metro networks can use higher-order modulation formats at32Gbaud to achieve this, but thesehigher-order modulation formatssuch as 32 and 64 QAM have verylimited reach due to signal-to-noise requirements. Long-haulnetworks therefore require the useof higher baud rates up to 64G toachieve data rates of 400G on asingle wavelength. The MAOM-006428 modulator

driver features surface-mountinputs and G3PO outputs to matewith the next-generation high-bandwidth modulator which is cur-rently being standardized by the

Optical Internetworking Forum(OIF). The device has linear per-formance to support higher-ordermodulation formats with lowpower consumption and a compactform factor taking up <275mm2 ofPCB real estate. “The MAOM-006428, in addition

to being the industry’s first 64Gbaud linear modulator driver,provides customers with a revolu-tionary package design that deliv-ers the high performance requiredby 64Gbaud in a cost-effectiveform factor,” believes RayMoroney, direct of product market-ing for High-Performance Analogat MACOM. www.macom.com/opto-1

MACOM launches first 64Gbaud linear modulator driver for 400G and beyond

MACOM launches first CWDM4 L-PIC for 100G data-center applications

MACOM’s new L284CN 4-channel, 28Gbps L-PIC.

Page 51: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

51

At the Optical Fiber CommunicationConference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March),MACOM launched its first OSAproducts since the acquisition ofJapan-based FiBest Ltd (a merchant-market component supplier of optical subassemblies) in December.Optimized for 100G LR4 applicationsand targeted at the QSFP28, CFP4,CFP2 and CFP form factors, theFBT4821AG transmitter optical subassembly (TOSA) and theFBR4102PB receiver optical sub-assembly (ROSA) were on displayin private demonstrations at OFC. High density and low power con-

sumption are critical enablers foraddressing demand for higher-bandwidth long-reach connectivity,

which underpins the communicationof aggregated cloud, data-centerand mobile traffic, says MACOM.The FBT4821AG and FBR4102PBoffer a 100G LR4 solution for integ-ration in high-density form factorssuch as QSFP28, while exceedinglink budget requirements for communication over 10km of single-mode fiber. MACOM says thatits TOSA and ROSA solutions, alongwith the firm’s de-facto standardclock & data recovery (CDR) integrated circuits, provide an optimized solution for implementing100G LR4 optical modules. The FBT4821AG provides optimal

low power consumption by integratingthe directly modulated laser (DML)driver function within the TOSA.

This enables the TOSA to interfacedirectly with MACOM’s low-powerM37049 CDR and match to theinternal laser without requiringpower-hungry back termination.Integration of the DML driver alsoenables a simpler module implemen-tation and excellent mask margin,the firm claims. As well as low power consumption,

the FBR4102PB ROSA offers whatis claimed to be industry-leadingsensitivity and overload and isdesigned to interface with MACOM’sM37046 CDR. The ROSA featuresoperation up to 28Gb/s and per-channel receive signal strengthindication. www.macom.com/products/optoelectronics

MACOM launches first optical subassemblies since FiBest acquisition

M/A-COM Technology Solutions Incof Lowell, MA, USA (which makessemiconductors, components andsubassemblies for analog RF,microwave, millimeter-wave andphotonic applications) haslaunched the MASC-37028 andMASC-37029, dual 28Gbps clock &data recovery (CDR) devices withintegrated laser driver, suitable forSFP28 short-reach (SR) or long-reach (LR) module applicationswith flexibility in module design. The dual reference-free CDR

devices feature multi-rate re-tim-ing, with the MASC-37028 re-tim-ing from 24Gbps to 26.5Gbps andthe MASC-37029 re-timing from25.5Gbps to 28.1Gbps. The flexi-ble output drive options with pro-grammable output swing andeye-shaping feature support verti-cal-cavity surface-emitting laser(VCSEL), directly modulated laser(DML) and externally modulatedlaser (EML) applications. TheMASC-37029 also incorporatesdedicated RS0/RS1 functionality toenable use with Fibre Channel

speed negotiation. These devices offer high input jit-

ter tolerance and low output jitterwith low-power and low-latencyperformance. The devices providean integrated temperature sensor,supply voltage monitor, Tx powermonitor and receive power indica-tor. Additionally, both the MASC-37028 and MASC-37029 offeradaptive input equalization, pro-grammable LOS threshold andslice level adjust and integratedPRBS generator, checker and bi-directional loop back. “MACOM’s MASC-37028 and

MASC-37029 are highly integ-rated, low-power 28G solutionsdesigned to be flexible for both SRand LR SFP28 applications,” saysAngus Lai, MACOM’s director ofproduct marketing, High-Perfor-mance Analog. “These devicesinterface seamlessly with MACOM’sM03002, a low-power single-chan-nel 28G TIA [transimpedanceamplifier], for a complete SFP28SR application solution. They arealso compatible with MAOM-

002301, a low-power single-chan-nel 28G DML driver, for a completeSFP28 long-reach application solu-tion,” he adds. “MACOM’s new innovative dual

28G CDR with integrated laserdriver provides the rich feature setand superior performance ideal forease of use in SFP28 moduledesign,” comments Frank Wang,project manager at Accelink Tech-nologies Co Ltd. “This SFP28chipset solution will enable us todeliver cost-effective, flexible solu-tions for both SFP28 SR and LRmodules to our customers, furtheraccelerating the deployment of24G wireless, 25G Ethernet and32G Fiber Channel applications.” The MASC-37028 and MASC-

37029 were featured in a privatedemonstration at the Optical FiberCommunication Conference &Exposition (OFC 2016) in Ana-heim, CA, USA (22–24 March). www.macom.com/products/product-detail/MASC-37028 www.macom.com/products/product-detail/MASC-37029

MACOM launches dual 28Gbps clock & data recovery devices with integrated laser driver for SFP28 modules

Page 52: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

52

NeoPhotonics Corp of San Jose, CA,USA (a vertically integrateddesigner and maker of hybrid photonic integrated optoelectronicmodules and subsystems for high-speed communications networks)has launched 1310nm and 1550nmhigh-power distributed feedback(DFB) lasers and laser arrays for 100Gsilicon photonics-based QSFP28modules for intra-datacenter applications. With the ever-growing demand

for more bandwidth, data-centeroperators and service providers areactively deploying low-cost, scalable,silicon photonics based 100G mod-ules defined in industry-standardmulti-source agreements (MSAs)such as CWDM4, CLR4 and PSM-4.Each of these is designed around aspecific implementation of siliconhigh-speed analog and digital electronic devices and related photonic components. All rely on

indium phosphide (InP) DFB lasers asan efficient, high-power light source. In support of the emerging silicon

photonics ecosystem, NeoPhotonicshas introduced a range of high-power,uncooled lasers and laser arraysources for both the 1310nm and1550nm wavelength bands. Theselasers support power of 40–60mWover a wide temperature range. One specific 100G data-center

application uses silicon photonicsparallel single-mode architecture(PSM4) in a high-power laser diodearray product. NeoPhotonics haspartnered with Mellanox Technolo-gies Ltd of Sunnyvale, CA, USA and Yokneam, Israel (a supplier ofend-to-end InfiniBand and Ethernetinterconnect solutions and servicesfor data-center servers and storagesystems) to develop laser arraysthat can be passively flip-chipbonded onto Mellanox’s opticalengine, producing a high-volume,

low-cost, electronics-style assem-bly for a 100G PSM-4 module. “NeoPhotonics has opened its

unique high-power, high-yield lasertechnology to the ecosystem,” saysMehdi Asghari, Mellanox’s VP of silicon photonics. “Several key laserintegration and performance featureshave enabled us to support ourcustomers with a solid and growingportfolio of high-performance LinkX100G modules and cables,” he adds. “NeoPhotonics was a pioneer in the

development and volume productionof InP PIC [photonic integrated circuit]-based DFB lasers and particularly inthe extension of this technology toarrays,” says NeoPhotonics’ chairman& CEO Tim Jenks. “Among otherapplications, we have shipped several hundred thousand of theselasers over the last decade intodemanding DWDM applications.” www.mellanox.comwww.neophotonics.com

NeoPhotonics has announced its400G transceiver development fornetwork interconnections in data-center, cloud and other telecomapplications. “The drive for higher performance

and higher density in optics isincreasing as transmission speedsmove toward 400G,” says chairman& CEO Tim Jenks. “We are extend-ing our high-speed product familyin a manner which leverages bothproven, high-performance andhigh-volume capabilities, whileproviding an efficient path to highdensity and higher speed,” he adds. NeoPhotonics’ 400G PAM4 (4-level

Pulse Amplitude Modulation) CFP8form-factor module developmentleverages the firm’s high-speedcomponent technologies including: ● a 28Gbaud high-performanceEML (electro-absorption modu-lated laser);

● high-speed opto-electronicspackaging techniques; and ● high-performance and low-lossmultiplexers. The 400G CFP8 transceiver will

be compliant with the IEEE802.3bs 400GBASE- LR8/FR8specifications for links up to 10km. NeoPhotonics’ high-speed module

technologies from its QSFP28 andCFP2 dual-rate 100G LR4 productsare leveraged in this new 400GCFP8 LR8/FR8 PAM4 development.This also leverages the firm’sdemonstrated 100G dual-lambdaPAM4 transmission, introduced atthe European Conference on OpticalCommunications (ECOC 2015) andcomplying with the 400GBASE-LR8standard. “A number of market factors are

driving the need for smaller andfaster 400G client-side trans-ceivers, as the move to 400G can-

not be reasonably achieved byusing the same 100G modules,”says Jenks. “Our 400G PAM4 CFP8solution will fulfill key marketneeds by leveraging the superiorlink performance of our high-per-formance EML lasers, while reduc-ing power consumption throughintegration of technology-leadingCMOS PAM4 chipsets,” he believes. Also, at the Optical Fiber Commu-

nication Conference & Exhibition(OFC 2016) in Anaheim, CA, USA(22–24 March) NeoPhotonics gavea live demonstration of its single-wavelength 112Gbps link enabledby the firm’s new 56Gbaud EMLwith an integrated driver, whichcreates the path to delivering100G and 400G transceivers incompact modules for data-centerapplications. www.neophotonics.com/pam4-the-solution-for-400g-client-side-links

NeoPhotonics extends high-speed pluggable transceiver platform to 400G with CFP8 PAM4 development

NeoPhotonics launches high-power InP DFB lasers for100G silicon photonics intra-datacenter applications

Page 53: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

53

At the Optical Fiber CommunicationConference & Exhibition (OFC 2016)in Anaheim, CA, USA (22–24 March),NeoPhotonics Corp of San Jose, CA,USA (a vertically integrateddesigner and maker of hybrid photonic integrated optoelectronicmodules and subsystems for high-speed communications networks)conducted demonstrations of 100Gto 400G transmission, enabled bynew products for 100–400G telecom and datacenter networks,including a CFP2-ACO Class 3 pluggable module, a 45Gbaudintegrated coherent receiver (ICR)and a 56Gbaud electro-absorptionmodulated laser (EML). First, the operation and optical

signal-to-noise ratio (OSNR) per-formance of NeoPhotonics’ ClearLightCFP2-ACO Class 3 pluggable coherentmodule was illustrated in a loop-backDP-QPSK 100G configuration. The module incorporates the firm’sultra-narrow-linewidth power-efficienttunable laser and high-responsivitycoherent receiver and is also suitablefor 200G DP-16QAM operation.

Second, the new 45Gbaud microcoherent receiver was used withthe firm’s ultra-narrow-linewidthtunable laser to show the bit-errorrate (BER) and OSNR performanceof a single-wavelength 400G data-center interconnect link utilizing a45Gbaud DP-32QAM configuration.This demonstration also includedNeoPhotonics’ off-line digital signalprocessing (DSP) algorithms. Thisconfiguration can also be used for200G transmission over long-haulreaches with 45Gbaud DP-8QAM,and 300G transmission over metroreaches with 45Gbaud DP-16QAM. Finally, a single-wavelength

112Gbps link enabled by the new56Gbaud EML with integrated driverin a PAM4 configuration was shownfor intra-datacenter connections ofup to 2km. This configuration canalso be utilized to make a four-wavelength 400G intra-datacentertransceiver module. “The drive for higher-density optics

has not reduced the requirementsfor high performance, particularly astransmission speeds move toward

400G, making it imperative toselect the best technology for eachfunction,” says chairman & CEOTim Jenks. “These demonstrationscombine several elements of ouradvanced hybrid photonic integrationtechnology, including our hybrid silica/InP ICR [integrated coherentreceiver], our ultra-narrow-linewidthtunable laser, as well as our integratedhigh-speed EML and driver, andillustrate how high performance canbe achieved by closely combiningdifferent technologies for differentfunctions in a compact form factor.” Also at OFC, NeoPhotonics

exhibited its suite of standard andsmall-form-factor photonic integratedcircuit (PIC)-based components for100–400G coherent line-side applications, along with its 100Gclient-side and datacenter CFP2 andQSFP28 transceivers, its multi-castswitches for ‘contentionless’ recon-figurable optical add/drop multi-plexers (ROADMs) and its next-generation transceivers for access. www.neophotonics.comwww.ofcconference.org

Infinera Corp of Sunnyvale, CA, USA,a vertically integrated manufacturerof digital optical transport networkingsystems incorporating its ownindium phosphide-based photonicintegrated circuits (PICs), haslaunched the Infinite Capacity Engine,a multi-terabit optical subsystem forwave division multiplexing (WDM)that is claimed to be the first to offerthe combined benefits of deliveringoptical super-channel capacity up to2.4Tb/s and reach up to 12,000kmin a single small package. Powered by Infinera’s FlexCoherent

Processor and its fourth-generationPIC, the Infinite Capacity Enginewill be integrated into InfineraIntelligent Transport Network platforms customized for long-haulterrestrial, subsea, metro and data-

center interconnect networks to pro-vide a comprehensive set of solutions. Driven by the rapid growth in

cloud-based services, increasingbroadband access speeds, 5Gmobile data, the Internet of Thingsand on-line video, the exponentialgrowth in demand for transportnetwork bandwidth requires inno-vation to be made, says Infinera. Defining a new approach to deliver

multi-terabit capacity in optical net-works, the Infinite Capacity Engineenables the pre-deployment ofbandwidth that is service-readyand can be provisioned on-demandin 100Gb/s increments with simplesoftware activation that leveragesInfinera Instant Bandwidth (whichenables new capacity to be deployedin less than 1 hour). Infinera’s

standard license offerings have beenexpanded to include Time-basedInstant Bandwidth, providing 100Gtemporary licenses enabling capac-ity to be deployed for a specific dur-ation of time. This, combined withup to 2.4Tb/s of pre-deployedcapacity, can be SDN-controlled in100G increments, with each sliceconfigurable for color, modulationand direction, which provides net-work operators with a way toreduce operational rigidity andforecasting complexity. The InfiniteCapacity Engine allows networkoperators to instantly deploy massiveincrements of line-side bandwidthwhen and where they need it (ratherthan weeks or months to deploybandwidth with a competing solution). www.infinera.com/go/engine

NeoPhotonics conducts demos enabled by new productsfor 100–400G telecom and datacenter networks

Infinera launches Infinite Capacity Engine

Page 54: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

54

Oclaro Inc of San Jose, CA, USA(which provides components, mod-ules and subsystems for opticalcommunications) has announced itsline-up of fiber-optic transceiversdesigned to drive the data-centertransition from 40Gb/s to 100Gb/sand multi-mode fiber to single-modefiber networks, displayed at theOptical Fiber Communication Conference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March). Featuring small form factors, high

density and low power dissipation,the products will enable users toquickly and cost-effectivelyupgrade to higher-speed networksthat can meet the demand forhigher bandwidth, says Oclaro.“Delivering the higher bandwidth

that data-center operators andtelecom service providers aredemanding requires critical advance-ments in core optics technology,which is where Oclaro remainsfocused,” says chief commercialofficer Adam Carter. “Oclaro hasleveraged its decades of experienceand world-class manufacturingstrength to deliver the breakthroughsin fiber-optic transceivers and components needed to transition tohigher speeds while still maintainingcompetitive cost structures,” he adds. 100Gb/s and 400Gb/s high-speed optics demonstrations At OFC, Oclaro demonstrated its100Gb/s LR4 full product line-upshowcasing interoperabilitybetween its second-generation CFP,CFP2, CFP4 and the newly intro-duced QSFP28 module. Details ofthe demo include:● 100GbE/OTU4 dual-rate capabil-ity based on Oclaro’s in-houselasers; and ● higher front-panel density andmeeting bandwidth requirementswith new smaller form factors(CFP4 & QSFP28) while keeping fullbackward compatibility with older-generation form factors (CFP/CFP2)

for core routing and data-centerapplications.Oclaro also showcased its

400Gb/s CFP8 for next-generationcore and data-center applications.Details of the demo include:● support for reaches of 2km and10km, based on the optical 50Gb/sPAM4 modulation scheme accord-ing to IEEE 802.3bs 400GBASE-FR8/LR8 specifications underdiscussion at IEEE; ● use of Oclaro’s in-house laserdiode and photodiode devicesbased on mature 100Gb/s technol-ogy used in LR4 CFPx/QSFP28products; and ● eight times front-panel band-width density compared with100Gb/s CFP.First single-carrier 400Gb/send-to-end live demonstration Together with partners TeledyneLeCroy, Coherent Solutions andAnritsu, Oclaro demonstrated thatits industry-first high electro-opticbandwidth lithium niobate (LiNbO3)external modulator is suitable to rundata rates of 400Gb/s on a singlecarrier (wavelength). This demoshowcased a symbol rate of56Gbaud and 16-QAM as modula-tion format.The combi-nation ofthis symbolrate andmodulationformatallowsusers to runa true400Gb/sdata rateon a singlecarrier.Oclaro saysthat the livedemo wasthe secondmilestonethat

demonstrates the capability of itsLiNbO3 to run data rates higherthan 400Gb/s (the first milestonewas at ECOC 2015, when Oclaroran a live demo using 56Gbaud andQPSK modulation format) making itsuitable for many different appli-cations, from data-center intercon-nect to metro and long-haulnetworks.SFP28-LR/LR Lite I-Temp demoOclaro demonstrated what is saidto be the first SFP28-LR Lite I-tempand SFP28-ER interoperating withQSFP28 CWDM4. The SFP28/LR/LRLite is designed for enterpriseswitches, next-generation 5Gmobile front-haul networks, data-center switches and accessapplications where data rates areincreasing from 10Gb/s to 25Gb/sand 40Gb/s to 100Gb/s. To develop the SFP28 LR/LR Lite

I-temp transceiver, Oclaro leveragedits 1310nm 28Gb/s DML laser chipand its experience as the highestvolume manufacturer of 100Gb/sclient-side transceivers. The firmalso developed a 28Gb/s TO-CANtransmitter optical subassembly(TOSA) for this product using itsexisting 10G TOSA packaging tech-nology. Oclaro claims to be the firstsupplier to offer an SFP28 LR/LRLite solution that achieves 1.2W(maximum) at commercial operat-ing temperatures. Prototype sam-ples of the SFP28 I-temp areavailable now, and Oclaro expectsto begin volume production of theSFP28 LR in second-quarter 2016. Oclaro 400Gb/s external modulatorOclaro’s 400Gb/s lithium niobateexternal modulator is designed toenable 400Gb/s-and-beyond speedson a single wavelength or carrier.The modulator is a high electro-opticbandwidth polarization multiplexedquad parallel Mach–Zehnder (PM-QMZ) device that integrates into ahermetic package an input beam

Oclaro showcases products to help data-centeroperators and service providers accelerate transitionto 100–400Gb/s single-mode fiber networks

Oclarodemonstrated thefirst SFP28-LRLite I-temp andSFP28-ER inter-operating withQSFP28 CWDM4...designed forenterpriseswitches, next-generation 5Gmobile front-haulnetworks, data-center switchesand access

Page 55: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

55

splitter, four parallel Mach–Zehndermodulators configured for I–Qmodulation, a polarization combiner,and a monitor photodiodes forpower and bias control. Key features of the 400Gb/s lithium niobate external modulatorinclude the following:● a 3dB electro-optic bandwidthexceeding 35GHz; ● very smooth optical response upto 50GHz, enabling a symbol rateof up to 64Gbaud; ● an extinction ratio above 25dB toenable complex modulation formats;and ● insertion loss below 13dB forhigh efficiency.Non-hermetic 25Gb/s DFBlaser diodesAlso at OFC, Oclaro announcedinternal qualification of its non-her-metic 25Gb/s 1.3μm distributedfeedback (DFB) laser diodes suit-able for use in 100Gb/s trans-ceivers. Featuring low operatingcurrent at high temperatures, the25Gb/s DFB is a key component forenabling data-center operators to

transition faster to highly meshed100Gb/s connections over single-mode fiber.Oclaro representatives participate on panels at OFC At OFC, Oclaro had representativesparticipating on the following panels: ● Workshop: ‘Silicon Photonic Transceivers:Competition or Coexistence?’ by senior vice president R&D Beck Mason (co-organizer of theworkshop). ● OSA Executive Forum Presentation: ‘Optics Inside the Datacenter — Is COBO the Next Big Thing or JustAnother Thing?’ by chief commercialofficer Adam Carter. ● Market Watch Presentation: ‘The Promising Market of 100Gbit/s and Beyond Pluggable Devices —Talk with Experts’ by YvesLeMaitre, president of Oclaro’sOptical Connectivity Business; ● Panel Presentation: ‘Next Generation Data CenterOptics’ by Beck Mason, Oclaro’ssenior VP, R&D;

Poster Presentation: ‘IntegratedNonlinear-optical Signal Processing’by Mike Wale, Oclaro; ● Poster Presentation: ‘Quasi Single-Sideband (SSB)IM/DD Nyquist PAM Signaling forHigh-Spectral Efficiency DWDMTransmission’ by Takayoshi Fukui,Oclaro Japan; Partner presentations:Oclaro partners also participated onseveral technical presentations,including: ● ‘Industry first End-to-End 400GTest Equipment Platform’ at theTeledyne LeCroy booth; ● Dr Katarzyna Lawniczuk of theTechnical University Eindhoven, The Netherlands, spoke in a workshop on Photonics Foundries‘Open-Access InP Foundry Servicesfor Photonic ICs’; ● Oclaro sponsored a photonicintegrated circuits workshop‘Everything You Need to Know inOrder to Design a PIC and to UseKey Service Providers to Do ThisFaster and Cheaper’. www.ofcconference.org

Oclaro has announced qualificationof its non-hermetic 25Gb/s 1.3μmdistributed feedback (DFB) laserdiodes for 100Gb/s transceivers.Featuring low operating current athigh temperatures, the 25Gb/s DFBis a key component for enablingdata-center operators to transitionfaster to highly meshed 100Gb/sconnections over single-mode fiber. “The 25Gb/s DFB laser diode is a

critical component at the core of anew generation of 100Gb/s trans-ceivers optimized for data-centerswitching applications such asQSFP28 CWDM4/CLR4,” says Yves LeMaitre, president, OpticalConnectivity Business at Oclaro.“By making our lasers suitable foruse in non-hermetic packages, we are enabling a faster adoptionof proven telecom-grade lasertechnologies into data-center environments,” he adds. “Oclaro isstrongly focused on the market

transition to distributed cloud net-working and will continue to lever-age its optical expertise to delivercost-optimized, reliable and high-performance 100Gb/s solutions.” The 25Gb/s DFB laser diode is

designed for 100Gb/s and 25Gb/soptical transceivers used in trans-mission client interface, high-endspine switch/core router interfacesand large-scale data-center meshednetworks.Leveragingthe firm’sexperiencein high-speed laserdesign, the25Gb/s DFBlaser diodefeatureshigherbandwidthand lowerpower con-

sumption, allowing transceivermodule designers to migrate tonon-hermetic designs to furtherreduce costs and footprint. Additional features of the 25Gb/s

laser diode include the following: ● eye mask performance withmore than 5dB extinction ratio at60mA driving current at 70°C oftransceiver module level; ● leveraging Oclaro’s design andmass-production technology ofInAlGaAs-MQW (multi-quantumwell) and ridge waveguide (RWG)structure to deliver optimum opti-cal performance; and ● completing the non-hermetictest compliant with the TelcordiaGR-468-CORE issue 2 includingnon-hermetic damp heat/dampheat for powered non-hermeticdevices.The 25Gb/s DFB laser diode is

now fully qualified and availablefor production.

Non-hermetic 25Gb/s 1.3μm DFB lasers for 100Gb/s transceivers

By making ourlasers suitable for use in non-hermeticpackages, we areenabling a fasteradoption of proventelecom-gradelaser technologiesinto data-centerenvironments

Page 56: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

56

At the Optical Fiber CommunicationConference & Exhibition (OFC 2016)in Anaheim, CA, USA (22–24 March),fiber-optic communications component and subsystem makerFinisar Corp of Sunnyvale, CA, USAannounced several new opticsproducts and technology demon-strations. Specifically, the firm showcased

two new products for 25 GigabitEthernet (25GbE) data-centerapplications, including an SFP28eSR transceiver enabling 300mlinks over existing OM3 MMF(multi-mode fiber) as well as 25GSFPwire, an active optical cable(AOC) with embedded technologythat provides real-time trouble-shooting and link performancemonitoring. Finisar also demonstratedoptics technology supporting thePAM4 modulation format for Ethernet interfaces at a data transmission rate of 50Gb/s perlane.25GbE SFP28 eSR transceiversfor data centers During OFC, Finisar featured itsSFP28 eSR (extended short reach)transceiver in a demonstration targeting 25G Ethernet data-centerapplications. The new optical module extends links from 70m to300m over existing OM3 MMF andenables a seamless upgrade frominstalled 10GbE fiber plant to 25GbE.The transceiver leverages in-housevertically integrated optics and ICsand interoperates with existing25GbE SFP28 SR and QSFP28 SR4modules. The demonstration showed the

SFP28 eSR module transmittingover 300m of OM3 MMF, in compli-ance with the same specification asthe 25GbE SR module, per the IEEE standard. With this product,users are able to utilize existingdeployed fiber infrastructure, whileincreasing network bandwidth by2.5x over existing 10GbE networks,saving significant capital expense,the firm says.

25G SFPwire AOC with Connectivity Diagnostics The 25G SFPwire AOC is a solutionfor intra- and inter-rack high-speeddata-center interconnections.Designed for ease-of-use, the 25G SFPwire AOCs are lighter,more flexible and lower in powerconsumption than comparabledirect attached copper cables (DACs).Finisar says that its vertical-cavitysurface-emitting laser (VCSEL) andIC technology deliver high signalintegrity and reliable performancefor error-free 25G connectivity. Thisallows users the option to by-passthe host FEC, for non-standard,low-latency connections, which isnot possible with comparable DACs.Embedded Connectivity Diagnostics

technology provides data centerswith real-time performance moni-toring of the 25G SFPwire AOCs,through both a host-software inter-face and physical indicators on theAOC pull-tabs. Claimed to be theonly technology of its kind in theindustry,ConnectivityDiagnosticsprovides crit-ical informa-tion used fortroubleshoot-ing, link per-formancemonitoringand port-connectivitymapping. The booth demonstration showed

multiple 25G SFPwire AOCs operat-ing between two switches. Connec-tivity Diagnostics features aredemonstrated without affectingdata traffic. Driving the definition of PAM4optics technology PAM4 is a modulation format thathas been adopted by the EthernetStandards for 50Gb/s per lane sig-naling, and will become the buildingblock for future 50GbE, 100GbE,200GbE and 400GbE interconnects.

Finisar says that PAM4 presents asignificant and challenging transi-tion for the optical interconnectindustry, driving an industry-widere-assessment of link budgets,optical components and trans-ceivers in order to implement PAM4optical technology in an open, stan-dards-driven way. The firm says that it is leading the

definition and development ofPAM4 optical technology for bothshortwave (SW, multimode) andlongwave (LW, single mode) applications. The PAM4 OpticalTechnology demonstration, locatedin both Finisar and EthernetAlliance booths, showcased Finisardirectly modulated laser (DML)technology transmitting two channelsof 50Gb/s PAM4 on the CWDM gridover 10km of single-mode fiber(SMF). Hosted within Juniper andSpirent systems in the EthernetAlliance booth, it is the first inter-operability demonstration usingDML technology to transmitNx50Gb/s PAM4. Finisar reckonsthat its DML technology, in both SW and LW implementations, willbe critical for the success of PAM4modulation, especially in higherloss-budget implementations suchas large data-center interconnectswith multiple patch-panels.Test instrument portfolio Finisar also demonstrated newcapabilities of the WaveShaper andWaveAnalyzer test equipment portfolio in combination with theUltraSpan broadband source. TheWaveShaper 16000S demonstratesbroadcasting to 16 ports, each withindividual amplitude and phase filtering. The WaveAnalyzer demon-strates triggered spectral measure-ments as they are required, forexample, in a recirculating loopsetup. The demonstrations are supported by Finisar’s new UltraSpan broadband source, whichprovides a powerful and flat ASEsignal across the C-band. www.finisar.com

PAM4 presents a significant andchallengingtransition for the opticalinterconnectindustry, drivingan industry-widere-assessment

Finisar launches 25G Ethernet optics for high-speed data centers;drives definition of PAM4 optics technology

Page 57: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

57

At the Optical Fiber CommunicationConference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March),M/A-COM Technology Solutions Incof Lowell, MA, USA (which makessemiconductors, components andsubassemblies for analog RF,microwave, millimeter-wave andphotonic applications) gave a private technology demonstrationfeaturing a complete electronicschip-set utilizing PAM-4 technologyand enabling a 56Gbps per lanedata rate. The featured IP demon-strates MACOM’s chipset solution for200G and 400G optical connectivityin both parallel single-mode fiber(PSM) and wavelength-divisionmultiplexing (WDM) applications. MACOM claims that its technology

demonstration achieves industry-leading transimpedance amplifier(TIA) sensitivity and low power,which enables the development of200G QSFP and 400G MSA opticalmodules. On the transmit side, the demon-stration features a 28Gbaud lineardriver and clock & data recovery(CDR). The signal is transmittedover single-mode fiber, and recov-ered on the receiver side usingMACOM’s 28Gbaud TIA and re-timed with the firm’s receiver CDR. “MACOM has achieved excellent

performance in silicon through its28Gbaud PAM-4 chipset IP. Thistechnology, along with recentlyannounced L-PIC silicon photonicsand our CW lasers, will enable

next-generation 200Gbs and 400Gbssolutions for small-form-factoroptics,” says Preet Virk, senior VP & general manager, Networks, atMACOM. “We anticipate that ourcomplete chipset and laser solutionsfor PAM-4 technology will build onour success at 100Gbps and enablethe next generation of 200G and400G optical connectivity for enter-prise and data-center applications,”he adds. “Based on this technology,MACOM is now engaged with customers on the development ofcommercial products with projectedindustry-leading performance tosample later this year.” www.macom.com/products/optoelectronicswww.ofcconference.org

At Optical Fiber CommunicationConference & Exposition (OFC 2016)in Anaheim, CA, USA (22–24 March),optical communication productmaker Source Photonics Inc ofWest Hills, CA, USA demonstrated a 2x50G-PAM4 QSFP28 100G module, adding to its portfolio ofsmall-form-factor 100G products.The PAM4 demonstration followsthe launch of the firm’s 100GQSFP28 LR4 platform of products.Source Photonics announced industry-first samples of LR4 andLR4 Lite modules in March 2014 andmass production in March 2015.This January it announced shipmentof its 10,000th QSFP28 module. For 100G-and-higher products,

Source Photonics has developed acommon platform using externallymodulated laser (EMLs) for both2km and 10km CWDM4, LR4 Liteand LR4 products operating withtypical power consumption of lessthan 3.5W. The unique designreduces component count andcomplexity versus directly modu-

lated laser (DML) solutions andenables the firm to leverage thesame design blocks and manufac-turing footprint to support all threeproducts and future products like50G-PAM4 and 100G-PAM4. Source Photonics has now taken

the next step by reducing the complexity and component count of100G transceivers by a factor oftwo using 50G-PAM4 modulation.The 2x50G-PAM4 QSFP28will operate ata worst-casepower consumptionof 3.5W at70°C casetemperatureand supportKR4 FEC inthe host IC.The firm isalso in devel-opment tosupport a KP4FEC imple-

mentation similar to the FR8requirements for 8-channel 400Gstandards. Source Photonics — which has

now released 100G QSFP28 LR4,QSFP28 LR4 Lite, CFP4 LR4 andCFP4 OTU4 to mass production,and will be in production on theQSFP28 CWDM4 by the end of second-quarter 2016 — continuesto grow its portfolio of 100G+ product offerings. “Cloud-scale and Web 2.0 data-

centers are demanding increasedvolume and lower-cost 100G small-form-factor products, andreducing component count is a critical long-term solution,” sayschief scientist Sheng Zhang. “We are demonstrating a majorstep towards the productization ofPAM4 technology that will beinstrumental in the cost reductionof 100G QSFP28 products and therelease of initial 400G products,” he adds. www.sourcephotonics.comwww.ofcconference.org

Source Photonics demos 2x50G-PAM4 QSFP28 module

MACOM showcases PAM-4 technology, targeted at28Gbaud PAM-4 applications enabling 200G & 400Goptical connectivity

SourcePhotonics hasnow taken thenext step byreducing thecomplexity andcomponentcount of 100Gtransceivers bya factor of twousing 50G-PAM4modulation

Page 58: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

58

Emcore Corp of Alhambra, CA, USA— which provides indium phosphide(InP)-based optical chips, compo-nents, subsystems and systems forthe broadband and specialty fiber-optics markets — has launched theMedallion 6100 series DOCSIS 3.11550nm externally modulatedCATV transmitter, which was dis-played at the Optical Fiber Commu-nication Conference & Exhibition(OFC 2016) in Anaheim, CA, USA(22–24 March) and also at theChina Content Broadcasting Networkshow (CCBN 2016) in Beijing(24–26 March). The Medallion 6100 series repre-

sents the next level of evolution ofthe widely deployed Medallion 6000series. The use of Emcore’s low-noise, high-optical-output-powerand narrow-optical-linewidth laserresults in what is claimed to beunmatched fiber-optic transmissionlink performance. The transmittersupports the DOCSIS 3.1 standardof 1.2GHz in the CATV domain, andoptionally up to 3.5GHz in the SAT-IF band to support new interna-tional satellite deployments. Gaintilt control is now available for boththe CATV and SAT-IF band. In par-

allel, the Medallion 6100 offers anumber of platform upgradesincluding the addition of a USB portfor local communication, a1000BaseT Ethernet port with anon board 4-port Ethernet switch,support for IPv4/IPv6, and SNMPv3for advanced services.The Medallion 6100 transmitters

leverage proprietary pre-distortioncircuitry to provide superior CSO(composite second order) and CTB(composite triple beat) perform-ance allowing links up to 150km,making it suitable for extendingtraditional hybrid fiber coaxial CATVsystems. Additionally, SBS (stimu-lated Brillouin scattering) suppres-sion levels greater than 21dBmthrough 40km of fiber makes theMedallion 6100 series suitable forRFoG (radio frequency over glass)and RF overlay in FTTx networks incountries around the world.“Our Medallion

series of rack-mount CATVtransmissionequipment isideal for networksystemsproviders that

demand the highest-quality, economical delivery of high- andultra-high-definition video andaudio, along with the highest bandwidth data transmission,” saysGyo Shinozaki, VP of marketing.“The Medallion 6100 transmittersextends bandwidth for DOCSIS 3.1compatibility, while addingadvanced platform features to support cable operators as theymigrate their networks to the latesttechnology,” he adds.At OFC and CCBN, Emcore also

showcased its chip-level devicesportfolio for telecom, GPON FTTx,datacom and wireless applicationsincluding 1310, 1490 and 1550nmlaser diode chips, 10G Fabry–Perotlaser chips, and 2.5G and 10G APDphotodetectors. In addition,Emcore featured its full line of distributed feedback (DFB) butterflylasers, DOCSIS 3.1 lasers, TO-56 lasers, low-noise opticalreceivers, broadband photodiodesand components for wireless anddistributed antenna system (DAS)applications. www.ofcconference.org www.ccbntvwww.emcore.com

Gain tiltcontrol is nowavailable forboth the CATVand SAT-IFband

Emcore launches Medallion 6100 series DOCSIS 3.11550nm externally modulated CATV transmitter withDOCSIS 3.1-compatible frequency extension to 1.2GHz

Emcore has launched the Medallion 7110 series of CATVfiber amplifiers, as displayed at the Optical Fiber CommunicationConference & Exhibition (OFC 2016)in Anaheim, CA, USA (22–24 March)and also at the China ContentBroadcasting Network (CCBN) showat the in Beijing (24–26 March). As the latest addition to the 7000

series of optical amplifiers, thenew Medallion 7110 series offershigh power and low noise figuresdemanded by CATV applications. It is packaged in a compact 1 RU

housing, compatible with industry-standard 19” and 23” rack systems,while offering up to 31.5dBm ofpre-splitter output power, and upto 16 SC/APC output ports. Variousoutput power and port count configurations are available withoptional integrated WDM filters forRFoG (radio frequency over glass)or RF overlay in FTTx which furtherpreserves rack space and reducescost by eliminating a separate net-work element. “The Medallion 7110 fiber amplifier

is an ideal companion product to

our Medallion series of rack-mountCATV transmission equipment thatfurther pushes the boundaries ofhigh-density, high-optical-powerlaunch and split architecturesdeployed in RFoG and RF overlay forFTTH networks,” says Gyo Shinozaki,VP of marketing. “The Medallionseries is designed for network sys-tems providers that demand thehighest-quality, economical deliv-ery of high- and ultra-high-defini-tion video and audio, along withthe highest-bandwidth data trans-mission,” he adds.

Emcore launches Medallion 7110 series of CATV 1RU high-powererbium ytterbium fiber amplifiers

Page 59: Developments in 2D materials News from OFC Developments in 2D

News: Optical communications — OFC

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

59

Emcore Corp of Alhambra, CA, USA— which provides indium phosphide(InP)-based optical chips, compo-nents, subsystems and systems forthe broadband and specialty fiber-optics markets — says that it hasreceived a favorable ruling from theInternational Court of Arbitrationtribunal relating to its ongoing dispute with Tokyo-based SumitomoElectric Industries Ltd (SEI).In September 2014, SEI filed

for arbitration against Emcore inconnection with certain disputes

arising from Emcore’s sale of itsvertical-cavity surface-emittinglaser (VCSEL)-based product lines— including VCSEL and photodiodecomponents, parallel-optical transceiver modules, and active optical cables (AOCs) — to Sumitomo Electric in May 2012.Sumitomo Electric was seeking$47.5m from Emcore relating tonumerous claims. On 12 April, a three-member

arbitration panel rejected SumitomoElectric’s claims. The panel ruled

that Emcore owes none of theamounts that Sumitomo Electricsought in the arbitration and thatEmcore is entitled to collect the$1.9m it is holding in escrow.Emcore is also entitled to recovermore than $2.5m in fees and costs.As of 31 December 2015, Emcorehad accrued $3.4m of liabilitiesrelating to potential claims, in addi-tion to the $1.9m it held in escrowfor these claims. www.emcore.com http://global-sei.com

Arbitration tribunal rejects Sumitomo Electric’sclaims, awarding legal fees of $2.5m to Emcore

ClariPhy Communications Inc ofIrvine, CA, USA, which developshigh-speed mixed-signal digital signal processing (MXSP)systems-on-chip (SoC) for coherent optical networks, hasintroduced its multi-source CFP2-ACOcoherent optical ecosystem partnersincluding Finisar, Fujitsu OpticalComponents (FOC) and Oclaro. At the Optical Fiber Communicationsconference (OFC 2016) in Anaheim,CA, USA (22–24 March), ClariPhyjointly showcased its CL20010LightSpeed-II Coherent DSP (digi-tal signal processor), based on itsintegrated CFP2 analog coherentoptical (ACO) reference platform.ClariPhy notes that CFP2-ACO israpidly gaining momentum andbeing adopted because of its cost,scalability and interchangeabilityacross optical networks. “Coherent metro and data-center

inter-connect (DCI) networkdeployment is ramping now. This isa more cost-sensitive market;CFP2-ACO and merchant DSPs arekey technologies that will enabledeployment in these new metroand DCI applications,” says AndrewSchmitt, lead analyst at Cignal AI.

“ClariPhy’s LightSpeed-II 200GCoherent DSP along with its CFP2-ACO module partners are demon-strating solutions today thatdesigners can use now,” he adds. “ClariPhy continues to build on its

CFP2-ACO coherent reference plat-form by creating a field-proven,multi-sourced coherent opticsecosystem ready for mainstreamvolume deployment,” says ClariPhy’schief technology officer & co-founderNorm Swenson. “By delivering aneasy-to-design turnkey CFP2-ACOplatform, we are accelerating cost-effective metro and data-centeroptical networks.” The integrated 100G/200G

CFP2-ACO reference platform is a turnkey solution, solving the chal-lenges of the linear interfacebetween coherent DSPs and plug-gable optical modules while alsoproviding the necessary provenschematics, layout files, GUI/Soft-ware, and other development docu-mentation to speed time to marketwhile reducing risk, says ClariPhy.The platform optimizes perform-ance in full support of the OpticalInternetworking Forum’s (OIF’s)CFP2-ACO Implementation Agree-

ment, combining two boards into asingle PCB reference platform thatsimplifies line-card design whilestreamlining manufacturing byenabling CFP2-ACO skew control,pre-emphasis and calibration. ClariPhy claims its LightSpeed-II

CL20010 28nm multimode SoCoffers unprecedented levels ofintegration, performance and software-defined networking (SDN)capabilities. Solutions based on theLightSpeed-II SoC enable supportfor 100G/200G 16QAM modulationon a single wavelength. The SoChence enables single-carrier 200Gor dual-carrier 400G super channels.The advanced equalization and forward error correction (FEC) algo-rithms enable systems to overcomethe impairments of the optical link,including chromatic dispersion,polarization mode dispersion andbandwidth limitations of optoelec-tronic components.At OFC, ClariPhy also gave a live

demonstration of the CFP2-ACOplatform at the OIF PLL Interoper-ability Demo. www.clariphy.com/products/cl20010.php www.ofcconference.org

ClariPhy introducing multi-sourced CFP2-ACOcoherent optical ecosystem partners includingFinisar, Fujitsu Optical Components and Oclaro

Page 60: Developments in 2D materials News from OFC Developments in 2D

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

60

The European Commission’s European Research Council (ERC)has granted €2.5m to professorMircea Guina of the OptoelectronicsResearch Centre (ORC) at TampereUniversity of Technology (TUT) toapply new technology in solar celldevelopment as part of the five-yearproject ‘Advanced III–V Materialsand Processes Enabling Ultrahigh-efficiency (50%) Photovoltaics’(scheduled to begin at the end of2016 and run through 2021). Currently, the best performing

solar panels can convert 30–40%of the sun’s energy, while the newcells could improve this to over 50%.“Percentage-wise, this may notsound like a major improvement,but when you consider the fact thatincreased cell efficiency could reducethe energy costs by at least 20%,we are talking about billions of eurosworldwide,” says project leader Guina. The ERC funding is the result of

long-term research strategy at TUT.

Guina’s group began its researchon high-efficiency solar cells sup-ported by funding from the FinnishFunding Agency for Technology andInnovation (TEKES) in 2009. At thetime, material was developed thatenabled several cell structures tobe stacked, one atop the other, forbetter harvesting of the solar spec-trum. A follow-up project funded bythe European Space Agency (ESA)involved the development of three-junction solar cells using thesame material. The new ERC projectwill build on the previous researchand aim to develop solar cells withup to eight junctions. ERC funding enables Guina’s group

to focus on its work for the nextfive years. “The funding could nothave been granted at a better time:this is a testimony that TUT currentlyholds world-leading expertise inthis area of research,” says Guina. In addition to creating new tech-

nology, Guina’s group also aims to

deploy the technology over a quickschedule, with the aim of makingFinland one of the world’s foremostcountries in terms of next-generationsolar energy solutions and also in thefield of renewable energy as a whole,it is reckoned. “A whole soccer fieldfull of current silicon cells could bereplaced with just a few squaremeters worth of new cells made ofthe III–V materials and producesame energy,” Guina explains. This new technology could also

prove useful in space. Light weightis a substantial benefit for telecom-munications satellites, for example.Higher efficiency solar cells are alsoneeded for deeper space travel. “I hope to be able to incorporatethese III–V cells in satellites inapproximately ten years’ time, but for terrestrial purposes, thetechnology can be deployed a lotsooner,” concludes Guina. https://erc.europa.eu www.tut.fi/orc/semicon

Tampere granted €2.5m to develop III-V solar cellswith up to eight junctions

Sol Voltaics AB of Lund, Sweden,which provides nanomaterial tech-nology for enhancing solar panelsand other products, has confirmedthe successful alignment and orien-tation of nanowires in a thin film.The firm reckons this is the mostsignificant technology milestone insolar nanowire manufacturing todate, paving the way for photovoltaicmodule efficiencies of 27% or more— a 50% boost in energy conversionefficiencies for existing solar modules. While showing highly promising

characteristics in solar energy gen-eration, nanowires are notoriouslydifficult to align due to their highaspect ratios and material charac-teristics. By controlling nanowireorientation and alignment at thecentimeter scale on standard-sizedwafers, Sol Voltaics reckons that it

has taken a major step toward thecommercial production of solarfilms for tandem solar PV modules. “Gallium arsenide nanowires have

recently come to the forefront asholding great promise for boostingsolar module efficiencies well beyondcurrent levels,” says CEO Erik Smith.“By aligning nanowires within amembrane, we’ve taken our great-est stride yet toward manufacturingsolar nanowire films at the com-mercial scale. This will enable solarpanel manufacturers to greatlyenhance the energy-generatingcapability of their products.” This follows Germany’s Fraunhofer

Institute for Solar Energy Systems(ISE) in March 2015 confirming arecord 1-sun PV efficiency of 15.3%for the firm’s epitaxially grown GaAsnanowire solar cells. The cells were

recently re-tested by Fraunhoferand showed little or no degradationnearly 18 months after the initialtests, affirming the technology’sperformance reliability. Also, Sol Voltaics has progressed

through several generations ofdevelopment of its Aerotaxy pro-duction technology. The patentedprocess allows cost-effective III-Vnanowire solar cell production via acontinuous gas-phase process. “We have a few remaining hurdles

to get over in order to get intocommercialization but we’re veryconfident we can deliver a trulytransformative energy solution, thekind of solution called for by theBreakthrough Energy Coalition,COP 21 summit,” says Smith. www.breakthroughenergycoalition.com www.solvoltaics.com

Sol Voltaics achieves alignment and orientation ofGaAs nanowires in thin film

Page 61: Developments in 2D materials News from OFC Developments in 2D

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

61

First Solar Inc of Tempe, AZ, USA —which makes thin-film photovoltaicmodules based on cadmium tellurideas well as providing engineering,procurement & construction (EPC)services — have entered into aframework agreement for 231.6MWDC

of its modules to be used by a subsidiary of Nashville-based full-service renewable energy providerSilicon Ranch Corp in projects to beconstructed in 2017 and early 2018. The new agreement builds on

previous agreements for more than180MWDC of modules used in Silicon Ranch projects enteringcommercial operations in 2015 and2016, located in Colorado, Georgia,Mississippi, Arkansas and Ten-nessee. The southeastern USregion is rapidly emerging as agrowing market for PV solar devel-opment, where Silicon Ranch hasplayed a leading role as a devel-oper, owner and operator.

First Solar says that its thin-filmPV technology has demonstratedclear advantages over conventionalmulti-crystalline silicon (mc-Si)solar products in all regions of theworld, most significantly in the hot,humid climates that make up alarge part of Silicon Ranch’s projectfootprint. Taking into account con-version efficiency, temperaturecoefficient, spectral response andshadow tolerance, First Solar reck-ons that its technology provides anenergy density of more than 10%over mc-Si products in this region.So, given the same land area withan equivalent module ground coverratio, its modules can producemore annual energy from the sameland area than mc-Si. “There is deep value in aligning with

a trusted, major solar developer,and our previous endeavors withSilicon Ranch have allowed us tojointly benefit from working in a

region with exciting growth oppor-tunities,” says Roger Bredder, First Solar’s VP of US businessdevelopment. “First Solar is a US manufacturer

with superior products and a strongbalance sheet, all of which wereimportant factors in our selection,”says Silicon Ranch’s chief technologyofficer Pete Candelaria. “Further-more, their technical and logisticsunits are the best in the business.” Similar to a portion of the

2015/2016 Silicon Ranch projects,some of the 2017 projects may alsoemploy First Solar’s balance of sys-tem solutions, including the firm’ssingle-axis tracker. Silicon Ranchplans to begin to take delivery ofthe first modules under this agree-ment in first-quarter 2017 and willcontinue to supply its projects untilfirst-quarter 2018. www.siliconranchcorp.comwww.firstsolar.com

Cadmium telluride (CdTe) thin-filmphotovoltaic module maker First Solar Inc of Tempe, AZ, USAhas published its first CorporateSustainability Report which, thefirm says, showcases its commit-ment to drive down the cost ofsolar electricity by providing eco-efficient photovoltaic (PV)solutions through implementationof sustainable business practicesand its PV module manufacturing,recycling and responsible PVpower plant construction. First Solar's utility-scale PV power

plants have consistently led theway in driving down the cost andimproving the reliability of solarelectricity, cementing PV as a valued component of the globalgeneration portfolio. The reportprovides a look at how First Solarfulfills its mission on delivering the

‘triple bottom line’ of people,planet and profit. Highlightsinclude: ● How the firm is delivering theleading eco-efficient PV technologywith a superior energy yield, competitive cost and smallestenvironmental impacts on a lifecycle basis; ● How PV module manufacturingfacilities in the USA and Malaysiaand its PV power plants (whichgenerate clean electricity for 25+years) create local jobs and cancontribute to biodiversity protec-tion; ● How globally available PV recycling services (that recoverover 90% of the semiconductormaterial for reuse in new modulesand 90% of the glass for reuse innew glass products) are the newindustry standard;

● Why minimizing the firm’s environmental impact (throughincreased module and manufac-turing throughput efficiency, conservation projects and on-sitePV installations) is important andachievable; ● Examples of how First Solar support local communities and workwith non-governmental organizations(NGOs) through the firm’s Global Charitable Giving Program. “By continuously driving down

the cost of solar electricity andproviding a solution that addressesenergy security and water scarcity,we are delivering on our commit-ment to build a more sustainableenergy future,” says First Solar’sAlex Heard, VP of global technicalservices. www.firstsolar.com/en/About-Us/Corporate-Responsibility.aspx

First Solar publishes its first Corporate Sustainability Report

First Solar to provide 230MW more modules toSilicon Ranch in new supply agreement building onstrategic partnership

Page 62: Developments in 2D materials News from OFC Developments in 2D

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

62

Tokyo-based Solar Frontier — the largest manufacturer of CIS(copper indium selenium) thin-filmphotovoltaic (PV) solar modules —says that its CIS modules will beinstalled by California-based engi-neering, procurement & construc-tion (EPC) firm Staten Solar at 16solar project sites (ranging in sizeup to 522kW) on farms producingalmonds, pistachios and tablegrapes in Tulare and Kern Countiesof California’s Central Valley. Each project has unique charac-

teristics requiring innovative con-struction techniques and designsincluding a mix of ground-mountedand Staten Solar’s proprietarylevee-mounted racking systems.Solar Frontier says that the PV sys-tems integrate cost effectively withthe customer’s existing facilities,environmental/land requirementsand business models. The moduleswere selected by Staten Solar for

their performance in real-worldconditions, including high yields inhot temperatures and under partialshading. Three of the 16 projectsare currently operational, with thebalance expected to be completedby the end of 2016.“Staten Solar understands the

challenges the agricultural commu-nities face and utilizes their solar

expertise in aggregated netmetering, rate optimization,demand response, peak daypricing, and financing todeliver valuable energy solu-tions that help farmers reducetheir monthly operating costs,”comments Charles Pimentel,chief operating officer ofSolar Frontier Americas. “Staten Solar has been

impressed with the quality,performance and customerservice provided by SolarFrontier,” comments Staten

Solar’s chairman & managing direc-tor Sandipan Bhanot. “Our cus-tomers expect us to recommendthe best product for their needsand for the hot Central Valley —Solar Frontier panels are an excellentchoice in terms of superior productionand high performance in the field.”www.StatenSolar.com www.solar-frontier.com

Solar Frontier modules chosen by Staten for 16 projectsin California’s agricultural segment

XsunX Inc of Aliso Viejo, CA, USA,which is developing hybrid copperindium gallium (di)selenide thin-film(CIGS) photovoltaic (TFPV) celltechnologies and ‘CIGSolar' manu-facturing processes, has concludedthe construction of another largecommercial solar power system, a157kW Solar Carport Installation inAnaheim, CA, capable of deliveringover $1m in projected energy savingsin its first 25-years of operation. Installed in Anaheim to service a

multi-tenant commercial office space,the project should reduce the facil-ity’s operating costs by nearly$30,000 in the first year alone.Annual savings will continue to riseto nearly $40,000 by the tenth year. “The robust solar carport appli-

cation will provide our client withmany years of clean energy andpay for itself in just over fouryears,” says CEO Tom Djokovich.“Solar carports are one of the better

investments [that] commercialproperty owners can make,” he adds.“With our ability to offer solar car-ports at nearly the same costs asroof-top solar, we help turn parkingareas into profitable assets, allow-ing our clients to never look at theirparking areas the same way again.” XsunX says that its turn-key solar

carport solutions, inaddition to improvingparking area aesthetics,enable the conversion of parking areas intovaluable solar powerplants capable of reducingfacility operating costsand increasing net operating margins andproperty values whiledelivering thousands inFederal tax credits. XsunX notes that it is in

a growing sector of therenewable energy market

that provides solutions unhinderedby common logistical challengesassociated with traditional roof-topapplications. The firm continues todevelop its strategy to market to abroad range of customers, includingschool, government and commercialorganizations.www.xsunx.com

XsunX completes commercial solar carport project

The 157kW Solar Carport Installation.

Solar Frontier’s CIS modules installed in theAg-solar installation in Delano, California.(Photo courtesy of Staten Solar.)

Page 63: Developments in 2D materials News from OFC Developments in 2D

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

63

By optimizing various manufacturingprocesses, ZSW (Zentrum fürSonnenenergie- und Wasserstoff-Forschung) in Stuttgart, Germanyhas raised the European record forcopper indium gallium diselenide(CIGS) thin-film photovoltaic solarcell efficiency to 22% — just 0.3percentage points behind the worldrecord — as confirmed by FraunhoferInstitute for Solar Energy SystemsISE. In September 2014, ZSW hadraised its cell efficiency to 21.7%(which was, at that time, a worldrecord for thin-film PV). ZSW’s latest cell has a surface area

of 0.5cm2 (standard for test cells)and was produced in a laboratorycoating machine by co-evaporation.“The technological potential is farfrom tapped out at 22% efficiency,”says professor Michael Powalla,ZSW board member and head of

the Photovoltaics division. “It willbe possible to achieve up to 25% inthe next few years,” he believes. A race between solar powertechnologiesCIGS technology overtook market-dominating multi-crystalline silicontechnology in cell efficiency sometime ago, extending its lead to a full percentage point. However, inmodule efficiency, silicon PV is stillahead (about 17% for commerciallyavailable modules, versus about14% for CIGS modules). Manufacturing costs amount to

just 40 cents per watt even in smallCIGS factories, and they can beslashed by expanding productioncapacity. Given an annual output of0.5–1GW, CIGS PV plants canachieve 18% or greater moduleefficiency and costs as low as 25 cents per watt. So, costs can be

brought down to a competitive leveleven at a relatively low output, it isreckoned, and it follows that CIGSPV manufacturing requires lessupfront investment than silicon PVproduction. Thin-film PV market on the rise ZSW notes that thin-film PV modulesdeliver higher yields under low lightconditions and are more shade-tolerant. Also, it takes less energyto manufacture CIGS modules thansilicon PV modules. Flexible versionsfeaturing high-efficiency CIGS arealso in the works. The efficiency rates achieved in

the southwest of Germany are alsoof interest to industry, ZSW adds.Industry partner Manz AG of Reut-lingen, Germany recently decidedto continue its commitment to CIGSand is developing the technologyfurther in a joint effort with ZSW.

ZSW raises its CIGS PV cell efficiency from 21.7% to European record of 22%

Midsummer AB of Järfälla, nearStockholm, Sweden, a provider ofturnkey production lines for manu-facturing flexible, lightweight copper indium gallium diselenide(CIGS) thin-film photovoltaic (PV)solar cells, has received fundingfrom the Swedish Foundation forStrategic Environmental Research(Mistra) to develop lightweightsolar modules for integration intobody panels in the composite roofof a Clean Motion Zbee ultra-lightelectric vehicle. Mistra is funding Midsummer’s

development of lightweight solarmodules on vehicles. The projectaims to research and evaluate theintegration of thin-film solar panelsfor urban transport using ultra-lightvehicles. Clean Motion has developed the

ultra-light electric vehicle Zbee,aiming to create a vehicle using littleresources (both at production andusage) without compromising safetyor design. Solar panels would enable

the use of solar energy to rechargethe vehicle’s battery, increasing thedriving range before needing con-ventional battery charging. “Using solar panels is the only way

towards making a vehicle energyautonomic,” says Midsummer’s CEOSven Lindström. “Midsummer solarpanels are flexible both by beingbendable and possible to manufac-ture in different size and voltageconfigurations,” he adds. “Also, theCIGS cells on thin stainless-steelsubstrates, together with the plasticmaterial layers, give resistant light-

weight modules”. Solar energy boosts range by 10% Clean Motion and Midsummer haveworked together to provide ZBee withsolar cells that charge the battery.Standard 6” solar cells in a panelshaped like a ‘W’ allowed mountingonto the double-curved roof. Testsconducted in Sweden showed thatsolar energy increased the mileageby 5km per day (a 10% increase).In southern latitudes and with opti-mized PV area and electronics,mileage would rise further, andsome users may hence not evenneed conventional battery charging. Development will continue to

improve integration and perform-ance, since the initial Zbee projectdemonstrates that solar cells havethe potential to replace conventionalbattery charging and that Midsummersolar panels are suited to beingcustomized for different applications. www.midsummer.se http://cleanmotion.se/zbee www.mistra.org/en/mistra.html

Mistra funds Midsummer to develop modules for EVs

A Clean Motion Zbee ultra-light EV.

Page 64: Developments in 2D materials News from OFC Developments in 2D

Technology focus: Photodetectors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

64

The universities of Virginia and of Texas in the USAhave been developing avalanche photodiodes(APDs) based on aluminium indium arsenide

antimonide (AlInAsSb) alloys. Two papers from thegroup detail the implementation of a staircase structure[Min Ren et al, Appl. Phys. Lett., vol108, p081101, 2016]and low-noise performance [Madison E. Woodson et al,Appl. Phys. Lett., vol108, p081102, 2016].Staircase APDs were proposed in the early 1980s,

but suitable semiconductor materials were not availableat that time for the required bandgap engineering. The idea of the staircase steps is to reduce excess noisecoming from electron multiplication in a way similar todynode structures in photomultiplier tubes.The structure of Ren et al (Figure 1) was grown by

molecular beam epitaxy (MBE) on n-type gallium anti-monide (n-GaSb) (001) substrates at 480°C. Thealloying was achieved with a digital technique wherethe sources were shuttered in sequences that producedstable binaries of AlSb, AlAs, AlSb, InSb, InAs and Sb.The multiplication was achieved at the narrow-bandgap

InAsSb (~0.25eV) step. The AlInAsSb injector region

had a much wider bandgap (~1.16eV). The conductionband discontinuity was around 0.6eV. The researchers comment that the threshold for

impact ionization in narrow-bandgap materials is typi-cally around 1.5x the bandgap. Since the conductionband drops 0.6eV from the injector, the electronsentering the step have more than 2x the bandgapenergy of 0.25eV.“This is sufficient to provide a high probability of

impact ionization at the bandgap discontinuity,” theresearchers point out.The step was sandwiched between digitally graded

material that was designed to flatten the band edges inthe graded layers to form a staircase-condition bandstructure at high bias.The APDs were fabricated with circular mesas, SU-8

photoresist passivation, and titanium/gold contacts.The multiplication gain for 543nm wavelengths was

found to be around 1.8 in the reverse-bias range 1–4V(Figure 2). The measured gain is close to the 2xachieved in theory and Monte Carlo simulations.The gain remains constant in the temperature range

80–300K. Without composition grading between the

Figure 1. Schematic cross-sections of the 1-step AlInAsSb staircase APD (left) and control (right).

Researchers implement staircase structure from 1980s proposal.

Noise and aluminium indiumarsenide antimonideavalanche photodiodes

Page 65: Developments in 2D materials News from OFC Developments in 2D

Technology focus: Photodetectors

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

65

InAsSb and AlInAsSb layers, the gain wasreduced to about 1.6. The researchers sug-gest that the reduction could be due to theelectrons losing energy at the abrupt inter-face. Varying the input wavelength up toaround 950nm did not change the gain,although the response increased due toabsorption in the InAsSb. The constancyrules out enhanced absorption, and confirmsimpact ionization as the source of theobserved gain, according to the researchers.Generally, noise scales as the square of the

gain, suggesting an increase of ~3.2x forthe graded APD. The measured noise was2–2.2x. “While fortuitous, this unexpectedlylow noise will be the subject of future study,”the researchers comment. They point outthat similar noise suppression has beenseen before in impact-ionization engineeredheterojunction APDs.The researchers believe their research

could lead to separate absorption, chargeand multiplication (SACM) AlInAsSb stair-case APDs with cutoff wavelengths rangingthroughout the short-wave and mid-waveinfrared bands. Potential applications includenight vision, thermal imaging, and free-space telecommunications. Multi-step devices shouldlead to higher gain.Woodson et al used solid-source MBE on tellurium-

doped n-GaSb to produce the structure for low-noiseAlInAsSb APDs using digital alloying with 3nm periodand an AlSb, AlAs, AlSb, InSb,InAs, Sb shutter sequence(Figure 3). Circular mesaAPDs with SU-8 passivationwere produced.The resulting APDs had low

excess noise performance(Figure 4), indicatingextremely low k-factors — the ratio of hole to electronionization probabilities. Thebehavior was consistent witha k-factor for the 30µm-diameter device of 0.015,comparable with silicon(~0.04). The excess noisefactor from increasing gainwas thus comparable to silicon devices. Indium phos-phide (InP) APDs usually havek-factors in the range0.45–0.52. The peak quan-tum efficiency of the AlInAsSbAPD was 68% at 735nm.

Unlike silicon, AlInAsSb has a direct bandgap, whichsuggests that APDs based on the technology couldachieve high bandwidths due to a shorter absorptionlength. Also, changing the composition of the materialcould lead to devices sensitive across the visible and

Figure 2. Enhancement of photocurrent from 50µm-diameter 1-step staircase APD over control at reverse biases up to 5V under 543nm wavelength.

Figure 3. Cross-sectional schematic of low-noise APD.

Page 66: Developments in 2D materials News from OFC Developments in 2D

Technology focus: Photodetectors

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

66

near-infrared wavelengthranges. In particular, the 1µmto 12µm wavelength cut-offrange is mentioned in thepaper. A 1µm cut-off devicewould be sensitive to sub-micron wavelengths, such asvisible light. Possible appli-cations include optical com-munications, imaging, andsingle-photon detection. ■http://dx.doi.org/10.1063/1.4942370http://dx.doi.org/10.1063/1.4942372Author: Mike Cooke

Figure 4. Excess noise factorversus gain for AlInAsSb andSi APDs. Solid lines are plotsof excess noise factor usinglocal field model for k valuesfrom 0 to 0.5. Lightly shadedregion with k of more than0.45 indicates typical InPAPD performance.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 67: Developments in 2D materials News from OFC Developments in 2D

Technology focus: 2D materials

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

67

The US Department ofEnergy’s NationalRenewable Energy

Laboratory (NREL) says that ithas uncovered a way to over-come a principal obstacle inusing two-dimensional (2D)semiconductors in electronicand optoelectronic devices(Yuanyue Liu, Paul Stradinsand Su-Huai Wei, ‘Van derWaals metal-semiconductorjunction: weak Fermi level pinning enables effective tuning of Schottky barrier’, Science Advances, vol.2, no.4,e1600069). 2D semiconductors such as

molybdenum disulfide are onlya few layers thick and are con-sidered promising candidatesfor next-generation devices.Researchers must first overcome limitations imposedby a large and tunable Schottky barrier between thesemiconductor and a metal contact. The barrier, at themetal/semiconductor junction, creates an obstacle forthe flow of electrons or holes through the semiconductor. The NREL team discovered that the height of the

Schottky barrier can be adjusted — or even made tovanish — by using certain 2D metals as electrodes.Such adjustments are not possible with conventionalthree-dimensional metals because of a strong Fermi-level pinning (FLP) effect occurring at the junction ofthe metal and the semiconductor, due to electronicstates in the semiconductor bandgap that are inducedby the metal. Increasing the flow of electrons or holesthrough a semiconductor reduces power losses andimproves the device performance. The NREL theorists considered a family of 2D metals

that could bind with the 2D semiconductors throughvan der Waals (vdW) interaction. Because this interactionis relatively weak, the metal-induced gap states aresuppressed and the FLP effect is negligible, so theSchottky barrier becomes highly tunable. By selecting

an appropriate 2D metal/2D semiconductor pair (suchas H-NbS2/WSe2 for hole conduction), one can reducethe barrier to almost zero. The researchers noted that using a 2D metal as an

electrode would also prove useful for integrating intotransparent and flexible electronics because the 2Dmetal is also transparent and flexible. They also notedthat the junction of 2D metal and 2D semiconductor isatomically flat and can have fewer defects, whichwould reduce carrier scattering and recombination. The NREL research predicts that the hexagonal phase

of niobium disulfide (NbS2) is the most promising forhole injection into a 2D semiconductor, and heavilynitrogen-doped graphene can enable efficient electroninjection.The research was funded by the Department of

Energy’s Office of Energy Efficiency and RenewableEnergy. The work used computational resources atNREL and the National Energy Research ScientificComputing Center. ■http://advances.sciencemag.org/content/2/4/e1600069 www.nrel.gov

Using 2D metal as contact for 2D semiconductor allows Schottky barrier to be tuned,due to the weak Fermi level pinning at the junction caused by the suppression ofmetal-induced gap states. Schematic illustrates that 2D H-NbS2 can form a Schottky-barrier-free contact with 2D semiconductor for hole transport.

2D metal electrode binds with 2D semiconductor via van der Waalsinteraction to tune out Schottky barrier.

NREL theory overcomes barrierto using 2D semiconductors inelectronic and opto devices

Page 68: Developments in 2D materials News from OFC Developments in 2D

Technology focus: 2D materials

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

68

Led by the Departmentof Energy’s Oak RidgeNational Laboratory

(ORNL), a group ofresearchers has synthesizeda stack of atomically thinmonolayers of two lattice-mismatched semiconductors(Xufan Li et al, ‘Two-dimen-sional GaSe/MoSe2 misfitbilayer heterojunctions byvan der Waals epitaxy’, Science Advances, Vol. 2,no. 4, e1501882). ORNL’sFunctional Hybrid Nano-materials group, led byDavid Geohegan, conductedthe study with partners atVanderbilt University, theUniversity of Utah, and Beijing Computational Science Research Center. Stacking different materials

on top of each other usuallyonly works when the individual materials havevery similar crystal latticeswith a good lattice match.In contrast, the researchershave grown high-qualitylayers of very different two-dimensional (2D) materials,broadening the number of materials that can be combined and thus creating a wider range of potentialatomically thin electronic devices. In the research, the interface between the two layers

of p-type semiconductor gallium selenide (rich in hole charge carriers) and n-type semiconductor molybdenum diselenide (rich in electron charge carriers)formed an atomically sharp p–n junction heterostructurewhich generated a photovoltaic response by separatingelectron–hole pairs generated by light. “Because thetwo layers had such a large lattice mismatch between

them, it’s very unexpected that they would grow oneach other in an orderly way,” says ORNL’s Xufan Li,lead author of the study. “But it worked.” This atomicallythin solar cell shows the promise of synthesizing mismatched layers to enable new families of functional2D materials, say the researchers. In particular, the group is first to show that monolayers

of two different types of metal chalcogenides (binarycompounds of sulfur, selenium or tellurium with a more electropositive element or radical) having suchdifferent lattice constants can be grown together toform a perfectly aligned stacking bilayer. “It’s a new,

ORNL-led group growsaligned monolayers of lattice-mismatched semiconductors van der Waals epitaxy of 2D GaSe/MoSe2 misfit bilayer heterojunction yieldsbuilding block for energy-efficient optoelectronics.

Light drives the migration of charge carriers at the juncture betweensemiconductors with mismatched crystal lattices. The schematic's background isa scanning transmission electron microscope image showing the bilayer inatomic-scale resolution. Credit: Oak Ridge National Laboratory, US Dept. ofEnergy. Image by Xufan Li and Chris Rouleau.

Page 69: Developments in 2D materials News from OFC Developments in 2D

Technology focus: 2D materials

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

69

potential building block for energy-efficient optoelec-tronics,” Li said. Li first grew a monolayer of molybdenum diselenide,

and then grew a layer of gallium selenide on top. This technique of ‘van der Waals epitaxy’ is named forthe weak attractive forces that hold dissimilar layerstogether. “With van der Waals epitaxy, despite big lattice mismatches, you can still grow another layer onthe first,” Li says. Using scanning transmission electronmicroscopy, the team characterized the atomic structureof the materials and revealed the formation of Moirépatterns. Upon characterizing their new bilayer building block,

the researchers found that the two mismatched layershad self-assembled into a repeating long-range atomic order that could be directly visualized by theMoiré patterns they showed in the electron microscope.“We were surprised that these patterns aligned perfectly,” Li says. “These new 2D mismatched layered heterostructures

open the door to novel building blocks for optoelectronicapplications,” says senior author Kai Xiao of ORNL.

“They can allow us to study new physics propertieswhich cannot be discovered with other 2D hetero-structures with matched lattices,” he adds. “They offerpotential for a wide range of physical phenomenaranging from interfacial magnetism, superconductivityand Hofstadter’s butterfly effect.” The researchers plan future studies to explore how

the material aligns during the growth process and howmaterial composition influences properties beyond thephotovoltaic response. The research advances effortsto incorporate 2D materials into devices. “We’veopened the door to exploring all types of mismatchedheterostructures,” says Li. The research, including materials synthesis, was

supported by the DOE Office of Science. Materialscharacterization was conducted in part at the Center for Nanophase Materials Sciences (a DOE Office of Science User Facility at ORNL). ORNL Laboratory Directed Research and Development fundssupported some device measurements in the study. ■http://advances.sciencemag.org/content/2/4/e1501882 www.ornl.gov

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 70: Developments in 2D materials News from OFC Developments in 2D

Technology focus: 2D materials

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

70

University of Californiaat San Diego (UCSD)in the USA and the

University of Manchester inthe UK have been developingmethods to control excitonsin molybdenum disulfide(MoS2) [E. V. Calman et al,Appl. Phys. Lett., vol108,p101901, 2016].The researchers hope that

states such as Bose–Einsteincondensates found in low-temperature gallium arsenide(GaAs) exciton structurescould be realized at roomtemperature, giving accessto resistance-free superfluidflow. Also, better control ofexcitons is considered to bea path to more efficient lightemission.The use of MoS2 should

allow exciton states to bestudied at room temperatureand above. Gallium arsenideexcitons have much smallerbinding energies, and thuscannot survive temperaturesabove 100K.MoS2 is a transition metal

dichalcogenide (TMD) — agroup of materials that canbe separated into atomic-scale layers. Much presentresearch is being carriedout into the properties of MoS2 and other materials inthis class, such as molybdenum diselenide, tungstendisulfide, and tungsten diselenide.In addition, there are other materials that can be sep-

arated along weak van der Waals-type bonds such asgraphene, black phosphorus, and hexagonal boronnitride.It is hoped in future to develop electronic devices and

mass-production methods that could lead to small low-cost technology based on atomic-scale layers of materials.

The San Diego/Manchester test structure (Figure 1) wasassembled from Scotch-tape mechanically exfoliatedlayers on a silicon dioxide layer on n-type silicon (n-Si)substrate. The Scotch-tape technique was developed atManchester by one of the paper’s authors, Andre Geim,in his Nobel-prize-winning research into graphene.Coupled quantum wells of MoS2 monolayers were

separated by a bilayer of hexagonal boron nitride (hBN).About 30nm of hBN was also used to give a dielectriccladding for the top graphene electrode. A ‘gate’ voltage

Figure 1. Coupled quantum well van der Waals heterostructure. Layer (a) andenergy-band (b) diagrams. Ovals indicate direct (DX) and indirect (IX) excitonscomposed of electron and hole. (c) Microscope image showing layer pattern ofdevice. Laser excitation target is indicated by circle.

Exciton control inmolybdenum disulfideResearchers hope that work will lead to room-temperature Bose–Einsteincondensation and efficient light-emitting structures.

Page 71: Developments in 2D materials News from OFC Developments in 2D

Technology focus: 2D materials

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

71

(Vg) could be applied betweenthe top electrode and n-Si substrate.Photoluminescence was meas-

ured under various conditionsusing continuous wave (cw)laser excitation at three ener-gies: 3.1eV, 2.3eV and 1.9eV. Spectra were gathered at

temperatures between 1.6K and286K at 3.1eV excitation with0.8mW power. Also, the laserpower of a 2.3eV laser was varied between 0.5mW and800mW. Circularly polarizedlight was used to probe spinrelaxation. Pulsed excitation at3.1eV was used for time-resolved spectra. The voltageacross the structure was alsovaried between –20V and +40V. The spectra showed three main

peaks: two high-energy emis-sions separated by about20meV, and a broader lower-energy state. The analysis aris-ing from the various set upssuggested that the states weredirect excitons — i.e. carrierbound states within the wells —rather than indirect states cou-pling carriers in different wells.The high-energy peaks

were considered to be from electron–hole bound states — A excitons, the ‘A’ referring thetype of hole determined by spin-orbit coupling effects in thevalence band. The binding ener-gies of the two MoS2 A excitonswere about 0.5eV, split by about 25meV. The splittingbetween A and B excitons in MoS2 is about 0.2eV.The 0.5eV binding is much greater than the typical

scale of fluctuations from thermal effects at room tem-perature of 26meV. Excitons in the usual compoundsemiconductors have binding energies of at most tensof milli-electron-volts (e.g. ~60meV in zinc oxide).Gallium arsenide has exciton binding energies of about4.2meV.The researchers suggest that the ~25meV splitting

could be associated with the spin-dependence of theelectron mass in the conduction band. The team writes:“The calculated 10% difference in the masses, 0.44versus 0:49m0, of the conduction band spin statesresults in a 5% difference in the reduced electron–holemasses and, in turn, exciton binding energies. This

leads to the energy splitting 25meV consistent with theexperiment.” The researchers associated the broad lower-energy

state with charged excitons — trions — consisting ofthree carriers. The application of voltage across thestructure enabled disentangling of the nature of the trions (Figure 2). The researchers comment: “The main effect of the

gate voltage in the direct regime is the control of theexciton and trion PL intensities: the high-energy excitonemission increased at the negative Vg, while the low-energy trion emission increased at the positive Vg.This behavior is attributed by the voltage-dependentelectron concentration ne in the MoS2 layers.” ■http://dx.doi.org/10.1063/1.4943204Author: Mike Cooke

Figure 2. Gate voltage dependence. (a) Emission spectra at different Vg.Energy (b) and relative intensity (c) of emission lines marked in (a) versusVg. Curves are guides to eye. Solid (open) symbols correspond to excitationenergy 3.1eV (2.3eV) at 0:8mW power and 2K temperature.

Page 72: Developments in 2D materials News from OFC Developments in 2D

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

72

Korea University has been working toimprove metal contacts on aluminium gallium indium phosphide (AlGaInP)

light-emitting diodes (LEDs) [Dae-Hyun Kim etal, Jpn. J. Appl. Phys., vol55, p032102, 2016]. In particular, the research team added a tantalumdiffusion barrier to lock in beryllium-alloy atomsin gold-based contacts on p-type GaP.AlGaInP LEDs emit in the wavelength range

from red down to green-yellow, used in trafficlight lamps, automobile tail lamps, biotherapy,outdoor displays, and solid-state lighting.The improved metal contacts are designed to

overcome current crowding, which is a particularproblem in p-type AlGaInP due to low hole con-centrations. Platinum and palladium diffusionbarriers have previously been shown to reducespecific contact resistivity to less than 10–6Ω-cm2

for gold-beryllium alloy contacts on p-type gallium arsenide (GaAs), annealed at 390°C for30 minutes.The heterostructures were grown by metal-

organic chemical vapor deposition (MOCVD) on(111) GaAs substrates (Figure 1). The p-contactmetal contact consisted of 130nm gold-berylliumalloy (1% weight Be), 20nm tantalum diffusionbarrier, and 100nm gold. Devices without thetantalum barrier were produced for reference. Thermal annealing reduced the resistance of the

tantalum barrier contacts, but degraded the performance of the reference samples withouttantalum (Figure 2). The specific contact resistivity

Figure 1. LED heterostructure.

Figure 2. (Color online) (a) Current–voltagecharacteristics of gold-beryllium/gold(reference) and gold-beryllium/tantalum/goldcontacts on p-GaP as deposited, and annealedat 300°C and 500°C. (b) Characteristics ofcontacts annealed at 450°C for 10 minutes.

Tantalum barrier reducesgallium phosphide contact resistanceOut-diffusion is blocked in gold-beryllium alloy contacts,reducing Schottky barrier heightin LEDs.

Page 73: Developments in 2D materials News from OFC Developments in 2D

Technology focus:LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

73

without annealing was 8.2x10–5Ω-cm2 and 3.4x10–5Ω-cm2 for the reference and tantalum-barrier contacts,respectively. After 500°C annealing, the tantalum-bar-rier resistivity improved by an order of magnitude —2.8x10–6Ω-cm2, compared with degradation to1.0x10–4Ω-cm2 for the reference sample.The LEDs with tantalum-barrier contacts that were

annealed at 450°C for 10 minutes had a peak externalquantum efficiency (EQE) of 14.0%, compared with13.5% for annealed devices without a tantalum barrier(Figure 3). The researchers attribute the improvementto a lower forward voltage and higher reflectance inthe 550–900nm wavelength range of the tantalum-barrier contact. The reflectance at 617nm was 92.8%for the tantalum-barrier contact, compared with87.7% for the reference.X-ray photo-electron spectroscopy (XPS) analysis

suggested that the tantalum diffusion barrier reduceddownward band bending, reducing the Schottky barrierheight. Auger electron spectroscopy (AES) showedthat the effect of the tantalum barrier was to block out-diffusion of the beryllium and encourage in-diffusioninto the underlying GaP layer. Beryllium acts as anacceptor in GaP, increasing hole concentrations andreducing band bending near the surface. ■

http://doi.org/10.7567/JJAP.55.032102Author: Mike Cooke

Figure 3. (a) Current–voltage characteristics and (b) external quantum efficiency (EQE) as a functionof current of AlGaInP-based MQW LEDs fabricatedwith gold-beryllium/gold (reference) and gold-beryllium/tantalum/gold contacts annealed at 450°C for 10 minutes.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 74: Developments in 2D materials News from OFC Developments in 2D

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

74

South China University of Technology hasshown improved power and efficiency performance for indium gallium nitride

(InGaN) light-emitting diodes (LEDs) with 1.2%indium-content multiple-quantum-well (MQW)barriers [Zhiting Lin et al, J. Phys. D: Appl. Phys.,vol49, p115112, 2016].The purpose of the research was to study the

effect of indium in MQW barriers. Most commercialMQW designs use pure GaN barriers (i.e. 0% indium). The epitaxial heterostructures were grown by

metal-organic chemical vapor deposition (MOCVD)on 2-inch (0001) patterned sapphire (Figure 1).The undoped buffer layer was 4µm. The n-GaNcontact was 3µm. The electron-blocking layer(EBL) and p-contact were 20nm and 150nm,respectively. The MQW region consisted of seven 3nm wells

separated by 14nm barriers. The wells had 20%indium content. The variation in indium contentin the barriers was achieved through changingthe trimethyl-indium precursor flux. The indiumcontent was evaluated using x-ray diffractionanalysis. Standard InGaN LED chips were fabricated

with 250nm indium tin oxide (ITO) transparentconductor, and chromium/platinum/gold n- andp-electrodes. The chip dimensions were750µmx220µm. The highest light output power above 20mA injection

current was achieved with 1.2%-In barriers (Figure 2)— at 70mA the increase in output power over pureGaN barriers was 15.4%. The light output decreasedsignificantly when the indium content exceeded 2%. Although the 2.0%-In barrier gave the highest peak

external quantum efficiency (EQE), the 1.2%-In barrierwas better at reducing the droop effect at higher injec-tion current. At 70mA, the 2.0%- and 1.2%-In barriersgave EQE values 3.3% and 10.3% better than for pure GaN barriers. The researchers therefore consider 1.2% indium to be

the optimal content for InGaN barriers. X-ray analysis

suggested that problems with increased indium contentincluded increasing roughness of the well/barrier inter-face and degraded crystal quality. One cause of such problems could be the increased

lattice mismatch between the barrier and the underlyingGaN lattice constant. The thinner wells should be lessaffected by crystal quality degradation, despite thehigher indium content. However, the reduced crystalquality of the barriers and the interface roughness dohave their effect on the quality of subsequent well growth,inducing the creation of non-radiative recombinationcenters. Photoluminescence analysis showed a slight reduction

in intensity for 1.2%-In barriers, compared with pure

Figure 1. (a) Epitaxial structure of as-grown LEDs; (b) optical micrograph of chip.

Indium gallium nitridebarriers enhance LEDpower and efficiencyResearchers find that the optimum indium content in the multi-quantum-wellbarriers is 1.2%, rather than conventional pure GaN barriers.

Page 75: Developments in 2D materials News from OFC Developments in 2D

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

75

GaN. As the indium content was increased beyond1.2%, the photoluminescence decreased sharply. The researchers used simulations to suggest that a

positive effect of increasing indium content in the barriers was to increase carrier concentrations in theMQW structure. “We propose that the gain on carrierconcentration and the crystalline quality degradation

are a pair of opposite influential factors as the indiumcontent of InGaN barriers increases,” they write. The calculations also suggest that the increase in

indium increases the potential barrier for electronswhile reducing that for holes. ■http://dx.doi.org/10.1088/0022-3727/49/11/115112Author: Mike Cooke

Figure 2. (a) Light output power versus current and (b) EQE versus current.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 76: Developments in 2D materials News from OFC Developments in 2D

Technology focus: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

76

Researchers based inEurope and Indiahave been developing

models of thermal droop inindium gallium nitride (InGaN)light-emitting diodes (LEDs)through experiment and simulations [C. De Santi et al,J. Appl. Phys., vol119,p094501, 2016].Thermal droop is important

in commercial devices sincethe temperature increaseswith continuous operationthrough Joule heating. Somecommercial LEDs are ratedfor operating up to 175°C.Higher operating tempera-tures can lower device coststhrough reduced thermalmanagement requirements.However, devices running at150°C can lose up to 25% ofoptical power, compared withroom-temperature operation.Generally, InGaN LEDs

become less efficient at high temperature. Thermaldroop is separate from the more intensively studiedefficiency droop at high current. Indeed, efficiencyexperiments are usually carried out under pulsed oper-ation to avoid Joule self-heating.University of Padova in Italy, Osram Opto Semiconduc-

tors GmbH in Germany, Politecnico di Torino in Italy,and Anna University in India tested a range of c-planedevices on silicon with different point defect densities.The defect levels were estimated using capacitancedeep-level transient spectroscopy (C-DLTS).The device materials were grown using metal-organic

vapor phase epitaxy (MOVPE) with the following layer sequence: ● an aluminium nitride buffer layer;● a 5µm aluminium gallium nitride/gallium nitride

buffer layer; ● a silicon-doped n-type gallium nitride current-spreading layer; ● a 3nm single quantum well; ● a p-type aluminium gallium nitride electron-blockinglayer; and ● a p-type gallium nitride contact layer. The thermal droop was greatest in devices with high

defect density, losing more than 99% of output powerwhen the temperature increased from 83K to 475K.The team relates this behavior to non-radiative

Shockley–Read–Hall (SRH) recombination throughdefect energy levels in the bandgap. The researchersadd, however: “thermal droop cannot be explained bysimply taking into account the increase in SRH recom-bination at high temperature levels.”

Figure 1. Correlation between SRH A coefficient, obtained by differential lifetimemeasurements, trap density evaluated by C-DLTS, and amount of thermal droop.

Thermal droop in indium gallium nitride light-emitting diodesResearchers have developed a model of thermal droop in InGaN LEDs throughboth experiment and simulations.

Page 77: Developments in 2D materials News from OFC Developments in 2D

Technology focus: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

77

These conclusions were reached throughsimulation and increasing the defect densitiesin the devices by applying 100mA stress at75°C, causing performance degradation.Although non-radiative SRH recombinationincreased, and photoluminescence decreased,under stress, the magnitude of the thermaldroop remained constant, modulo experimen-tal variations.The quality of the electron-blocking layer has

been found to affect thermal droop performance.“This suggests that another possible mechanismfor explaining thermal droop is the escape ofcarriers from the quantum wells,” theresearchers write.The researchers considered and found want-

ing three possible models for such escape:thermionic, phonon-assisted tunneling, andthermionic trap-assisted tunneling. The teamtherefore developed an “extended thermionictrap-assisted tunneling” process, which con-sisted of two phonon-assisted tunneling steps.The first step raised the carrier to a trap state,while the second step took the carrier to theexternal conduction band (Figure 2). The trapacts as a reservoir of electrons for the secondstep. ■http://dx.doi.org/10.1063/1.4942438Author: Mike Cooke

Figure 2. (a) Sketch of proposed escapemodel, (b) agreement betweenexperimental data and model, and (c)breakdown of overall curve into the twocomponents.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 78: Developments in 2D materials News from OFC Developments in 2D

Market focus: RF devices

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

78

Led by adoption across various market seg-ments, the gallium nitride (GaN) RF devicemarket will double over the next five years,

reckons Yole Développement in its new report‘GaN RF Devices Market: Applications, Players,Technology, and Substrates 2016–2022’, whichspans wireless infrastructure, defense & aero-space, satellite communication, wired broadband(CATV and FTTH), and other industrial, scientific& medical (ISM)-band applications. Indeed, 2015 was a significant year for the GaN RF

industry: In particular, a dramatic increase in wirelessinfrastructure market sales is being driven by the mas-sive adoption of LTE networks in China. By the end of2015, the total RF GaN market was close to $300m. Sales will likely not soar as high over the next two

years, but growth will continue, driven mainly byincreased adoption of GaN technology in the wirelessinfrastructure and defense markets. However, a signifi-cant boost will occur around 2019–2020, led by theimplementation of 5G networks. “Market size will bemultiplied by 2.5 by the end of 2022, posting a CAGR[compound annual growth rate] of 14% from 2016–2022,”says technology & market analyst Dr Hong Lin. The reason for this success is the wireless infrastructure

and defense markets, which both offer great opportu-nities for GaN technology, says Yole. Wireless infra-structure, having surpassed defense, now representsmore than half of the total GaN device market. Accord-ing to Yole, this segmentwill continue growing fast,at an expected CAGR of16% over 2016–2022.“Though GaN was originallydeveloped to support gov-ernmental military andspace projects, mainstreamcommercial markets shouldfully embraced this noveltechnology as well,” com-ments technology & marketanalyst Zhen Zong.

GaN’s increased implementation in base stations andwireless backhaul stems from the growing demand fordata traffic and higher operating frequencies and band-widths. In future network designs, new technologies likecarrier aggregation and massive MIMO will actually putGaN in a superior position compared with existing LDMOS,says Yole. GaN products have not yet covered thewireless infrastructure market’s full spectrum, so moreopportunities will arise in the higher-frequency range. Most GaN device makers offer similar products for

base-station applications ranging over 800MHz–3.5GHz(the report covers players such as Sumitomo Electric,Wolfspeed, Qorvo, MACOM, Microsemi, UMS, NXP,Ampleon, RFHIC, Mitsubishi Electric, Northrop Grummanand Anadigics). The competition will no doubt growfiercer, reckons Yole, and the cake — even if it’s a fast-growing one — will not be divided equally betweeneveryone. In 2016, new entrants like Infineon and possibly another LDMOS player will bring more uncertainty, Yole adds.

GaN RF device market togrow at 14% CAGR, risingby 2.5x by end-2022 Market to double from $300mover next five years, says Yole.

2015 GaN RF devicemarket, by application

GaN RF device market, by application,

2015 (left) vs 2022 (right)

Page 79: Developments in 2D materials News from OFC Developments in 2D

Market focus:RF devices

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

79

In the meantime,defense remainsanother importantmarket for GaN, andmore new productsand designs are bene-fiting from GaN’s supe-rior performance anddesign simplification.Yole predicts steadilygrowing penetrationfor GaN into defensemarket applicationssuch as IED (impro-vised explosive device)jammers, militarycommunications,radar, and electronicwarfare (EW).

GaN-on-Si opportunity in LTE, SatCom, CATV& RF energy; GaN-on-SiC to remain dominantin GaN RF Gallium nitride on silicon carbide substrates (GaN-on-SiC)is present in more than 95% of total commercial devicesusing GaN. GaN-on-SiC’s maturity has led it to dominateover GaN-on-silicon, and most GaN RF implementationsare currently realized using GaN-on-SiC devices, notesYole. GaN-on-SiC is an appealing choice for markets that

require higher performance and are less cost-sensitive,and many companies choose GaN-on-SiC for their newdesignsand products.Mean-while, siliconLDMOSand galliumarsenide(GaAs)remainthe maintechnolo-gies usedfor high-volumeappli-cationswith lowerperform-ancerequire-ments.

However, the door has not closed on GaN-on-silicon,reckons Yole. MACOM is pushing its GaN-on-Si productsand has just announced its Gen4 GaN product for base stations, with an LDMOS-like cost structure. Over 2016-2020, Yole envisions opportunities for GaN-on-silicon in commercial markets like LTE, SatComterminals, CATV and RF energy, while GaN-on-SiC willstill be the go-to technology for GaN RF. The situationcould change drastically, notes Yole, but for now GaN-on-silicon remains a challenger to incumbentGaN-on-SiC technology. ■www.yole.fr/GaNRF_Market.aspx

Available market for GaN-related products

GaN-on-SiC and GaN-on-Si market strategy

Page 80: Developments in 2D materials News from OFC Developments in 2D

Technology focus: GaN power electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

80

Gallium nitride (GaN)and related materialsare being developed

by researchers across theworld for power switchingand power microwave/millimeter-wave electronics.This is based on the widebandgap and high electronmobility of GaN comparedwith silicon being attractivefor reduced size and weightpower devices operating athigher frequency. Targetapplications include compo-nents for radar systems,cellular base stations, andpower converters.HRL Laboratories LLC in

the USA is a commercial developer of GaN technologythat has been making contributions to the GaN researchliterature for many years. In recent months, the com-pany’s research teams have published details of GaNresearch on vertical tunneling Schottky barrier diodes,a process for complementary metal-oxide-semiconductor(CMOS) circuits, and dual-gate high-electron-mobilitytransistors (HEMTs).In addition to research, HRL provides GaN and indium

phosphide foundry, monolithic microwave integratedcircuit (MMIC), machine shop, and ‘open innovation’research partnership services. The company has alsodeveloped a range of power amplifiers capable of han-dling up to 105GHz.Here we look at HRL’s recent work mentioned above.

Vertical Schottky diodeVertical Schottky diodes and other power devices builtusing GaN technology could lead to higher currentdensity handling and smaller components comparedwith the lateral structures that have been the focus ofmost development until recently.HRL has developed a GaN vertical tunneling Schottky

barrier diode (TBS) that gives good combined on and off

performance, compared with vertical Schottky barrierdiodes (SBDs) [Y. Cao et al, Appl. Phys. Lett., vol108,p112101, 2016], building on previous work [Y. Cao etal, Appl. Phys. Lett., vol108, p062103, 2016; reportedin Semiconductor Today 2016 issue 2 (March), p90]. Gallium vertical SBDs suffer from trade offs between

on-current and reverse bias breakdown. By applying athin layer of aluminium gallium nitride (AlGaN) as atunneling barrier, the HRL team allowed a more highlydoped drift layer to be used, increasing on-currentwithout compromising the breakdown voltage. The new interest in vertical structures has been

enabled by reductions in defect densities due to thecommercial availability of free-standing and bulk GaNsubstrates. However, the initial work has largely beenon p–n junction diodes that have high turn-on voltagesof ~3V arising from the wide bandgap (~3.4eV).Devices with high turn-on voltage suffer from largeconduction losses.SBD structures can reduce turn-on to less than 1V,

but usually with lower breakdown voltages. SBDs alsohave faster performance.The drift layer of the HRL devices (Figure 1) was 6μm

silicon-doped GaN, grown by metal-organic chemical

Figure 1. Schematic structures for (a) control sample A, and sample B with highdoping, and (b) the sample C with graded AlGaN cap layer.

US research company HRL Laboratories has published a number of papersconcerning III-nitride high-frequency power electronics in the past few months.

Vertical, CMOS and dual-gateapproaches to gallium nitridepower electronics

Page 81: Developments in 2D materials News from OFC Developments in 2D

Technology focus: GaN power electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

81

vapor deposition (MOCVD) at1040ºC. The substrate was 2-inch c-plane free-standingbulk n-GaN. The carbon con-centration was reduced to lessthan 3x1015/cm3 by using agrowth pressure of 300Torr anda V/III precursor ratio of 4777.The tunnel barrier consisted

of a 5nm graded AlGaN layerwith Al-concentration varyingfrom 0% to 23%. This wasachieved by linearly rampingthe flow of trimethyl-aluminium precursor.Edge-termination of the SBDs

was achieved with ion implan-tation. The edge-terminationregion overlapped 10μm withthe 0.8mmx0.8mm nickel/goldSchottky contact. A non-alloyedohmic contact was applied tothe back-side of the wafer.Two SBDs were produced with

different silicon-doping levelsof the drift layer (A and B):~1x1016/cm3 and ~3x1016/cm3,respectively. The tunneling SBD(TBS), sample C, had the samesilicon doping as sample B.The TBS allowed a higher

on-current compared withsample A, while maintaininggood breakdown performanceunder reverse bias (Figure 2,Table 1).The HRL researchers compare

their TBS device with the per-formance of Cree’s commercialsilicon carbide (SiC) junctionbarrier Schottky diode (JBS,Table 2): “It can be seen thatwith a slightly smaller area,our TBS diode could achievetwice the on current of the JBSdiode at Vf = 1.6V, or reach thesame on current of 1A at a28% lower forward bias. Thisresult indicates that it is possi-ble for a GaN-based Schottkydiode to further reduce theconduction loss and improvethe efficiency in current 600V systems, where SiC-based diodes are used.”SiC has similar material properties to GaN of wide

bandgap and high electron mobility.

CMOS fabricationMoving to lateral devices, HRL claims the first demonstration of GaN (CMOS) field-effect-transistors[Rongming Chu et al, IEEE Electron Device Letters,

Figure 2. Forward current (a) and reverse current (b) as a function of bias.

Sample A B C (TBS)

Free electron density ~7.5x1014/cm3 2.6x1015/cm3 2.9x1015/cm3

Current (Ion) at 1.6V 1.07A 2.51A 1.95Aforward voltage (Vf)

Reverse bias breakdown >800V ~345V 700Vat 1mA

Turn-on voltage 0.77V 0.75V 0.67VTotal specific on resistance 4.94mΩ-cm2 2.17mΩ-cm2 3.06mΩ-cm2

Table 1. Performance metrics for HRL vertical Schottky diodes.

Die area Ion(A) Vf(V)(mm2) @Vf =1.6V @Ion=1.0A

HRL GaN TBS 0.64 2.0 1.15Cree SiC JBS (CPWR-0600S001) 0.71 1.0 1.6

Table 2. Comparison of key parameters between HRL’s tunneling barrierSchottky diode and Cree’s 600V JBS.

Page 82: Developments in 2D materials News from OFC Developments in 2D

Technology focus: GaN power electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

82

published online 6 January 2016]. The team used thetechnology to create CMOS inverter circuits.Present applications mostly use discrete transistors

rather than integrated circuits (ICs). HRL sees a needfor an IC approach to achieve the full benefits of GaNelectronics at low cost. Parasitic inductance is oneaspect that would be improved — discrete GaN components have to be slowed down to avoid voltageinstability from chip-to-chip effects. ICs also have

reduced assembly andpackaging costs.Dr Rongming Chu,

HRL senior staffresearch engineer andprincipal investigator,comments: “In thenear term, GaN CMOSIC applications couldinclude power integ-rated circuits thatmanage electricitymore efficiently whilehaving a significantlysmaller form factorand lower cost, andintegrated circuits thatcan operate in harshenvironments.” Longerterm, he believes, GaNCMOS has the potentialto replace silicon CMOSin a wide range ofproducts. The GaN CMOS

processing began withMOCVD of the NMOSlayers on sapphire(Figure 3). TheAl0.25Ga0.75N top barrierwas 5nm thick. The silicon nitride (SiN)encapsulation was50nm.The PMOS layers

were applied by etch-ing down to the GaNbuffer in selectedregions and MOCVDre-growth. The struc-ture consisted of a30nm Al0.25Ga0.75Nback barrier, 20nm ofundoped GaN and a50nm magnesium-doped GaN hole supplylayer.

Transistor fabrication began with ion implantation fordevice isolation, followed by gate trench low-energyplasma etch, AlN/SiN gate dielectric stack MOCVD,titanium/aluminium-based NMOS and nickel/goldPMOS ohmic source/drain formation, and nickel/goldgate electrode and interconnect deposition. The PMOSsource/drain contacts were annealed in oxygen.The researchers report that the NMOS and PMOS

structures both exhibited enhancement-mode behavior

Figure 3. Major steps for fabricating GaN NMOS and PMOS on the same wafer.

Sapphire substrate

Sapphire substrate

Sapphire substrate

Sapphire substrate

Page 83: Developments in 2D materials News from OFC Developments in 2D

Technology focus: GaN power electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

83

in long gate devices — i.e.normally-off at 0V gatepotential, which is desiredin power devices for failsafety and efficiency. Thechannel mobilities were300cm2/V-s and 20cm2/V-sfor NMOS and PMOS,respectively.The researchers report

that discrete NMOS deviceswith the same gate stackstructure have achievedchannel mobilities of morethan 1000cm2/V-s. Theteam comments: “Furtherimprovement of the GaNCMOS process is needed toimprove the NMOS mobilityto what was achievablewith a discrete deviceprocess.” The PMOS mobility

was higher than forbulk p-GaN(~10cm2/V-s) butlower than reportedvalues for two-dimen-sional hole gases(2DHGs, ~40cm2/V-s).The researchers believethat PMOS improve-ments could comefrom “optimizing epi-taxial regrowth, gatetrench etch, and gatedielectric deposition”. Devices with 0.5μm

and 75μm respectivegate length and widthhad threshold voltagesaround 0V. For theNMOS device the on-resistance at +5Vgate was 10Ω-mm.The PMOS on-resist-ance was much higher:1314Ω-mm at –5Vgate. The PMOSdevice also sufferedfrom buffer leakagewhen the drain biaswas greater than 2V.The researchers sug-gest that the leakagecould be caused by

Figure 4. Microscope top-view photograph and measured voltage transfer curveof fabricated GaN CMOS inverter IC.

Figure 5. (a)–(b) A schematic diagram of dual-gate field-plated GaN HEMT with a0.5μμm-long n+ source ledge is shown with an SEM photograph. (c) Measured pulsedcurrent–voltage (I–V) curves of single-gate (SG) and dual-gate (DG) devices with250ns pulses, where output conductance of DG device is greatly reduced, and (d) transfer curves of 4x37.5μμm dual-gate field-plated GaN HEMT.

Page 84: Developments in 2D materials News from OFC Developments in 2D

Technology focus: GaN power electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

84

parallel conduction at the MOCVD re-growth interfacebetween the PMOS layer and the original GaN buffer. The 0.5μm transistors were used in CMOS inverter

circuits (Figure 4). The gate widths of the PMOS andNMOS transistors were 500μm and 50μm, respectively.The (differential) voltage gain was 9.6 at 1.2V input with5V DC bias. The current drawn by the circuit (Idd) peakedat 1.5V input (Vin), where the inverter switched off.The researchers comment: “Thanks to the CMOS

configuration, the Idd was considerably lower when theVin was at 0V and 5V, suggesting low static power con-sumption. The static power consumption could be further decreased by reducing the off-state leakage ofthe NMOS and PMOS.”With 5V pulses with steps of 10ns rise/fall times, the

output swung between 0V and ~5V with 90ns fall timeand 670ns rise time. The researchers comment: “Slower rise time is due to

higher on-resistance of the PMOS. Although the per-formance is yet to be improved, the functional inverterIC proves the feasibility of the GaN CMOS technology.”

Dual-gate HEMTsFinally, HRL has used dual-gate and cascode GaNHEMTs to achieve power-added efficiency (PAE) figuresin the 8.0–12.0GHz X-band close to the theoreticalmaximum of 78.5% [J. S. Moon et al, IEEE ElectronDevice Letters, vol. 37, p272, 2016]. The team writes:

“The technology offers record performance for combined PAE, output power, and gain among reportedGaN HEMTs in the X-band.” The researchers used dual-gate HEMTs with field plates

(FP) on semi-insulating SiC (Figure 5). The source–draindistance was 3μm. The source–drain ohmic electrodeswere titanium/aluminium. The gates for the RF signalwas 150nm long. The DC gate was 250nm. The gateswere recessed with ~15nm AlGaN between the electrode and channel. The dual-gate devices are seen as being equivalent to

cascode pairs of transistors, one with common-gateconnected in series to one with a common-source. Previous reports of GaN HEMT cascode circuits demon-strated PAE values up to 45% at 8.2GHz, along with3.5W/mm power density and 12dB associated gain.The epitaxial material had a double heterojunction to

give a back barrier: Al0.3Ga0.7N/GaN/Al0.04Ga0.96N.There was also a 0.5μm n+-GaN cap layer, which wasused to create a ledge on the source side to improveaccess to the channel.The devices had surface passivation consisting of

75nm of silicon nitride, which also provided support forthe field plates. The gates consisted of platinum/gold.The RF gate had a 550nm overhang to effect the field plate. In Maury load-pull measurements at 10GHz without

harmonic tuning, the devices demonstrated 13.7dBpower gain at peak power added effi-ciency of 71%. The linear gain was 17dB.Comparison single-gate HEMTs with2.5μm source–drain gap and field plateshad 13dB power gain at peak PAE of67%, and 16dB linear gain. The outputpower of both devices was about27.4dBm (0.55W).Cascode connected GaN HEMT pairs

performed similar to the dual-gatedevice with ~13.4dB associated powerat PAE of 71–74%. These PAE valuesare the highest PAE reported in GaNHEMTs at 10GHz with a few Watt leveloutput power. The peak output powerwas 2.3–2.5W.The researchers attribute a more than

10% PAE improvement of dual-gateand cascode GaN field-plate HEMTsover single-gate devices at 2W outputpower (33dBm) to “improved outputresistance, gain, and pinch-off charac-teristics” (Figure 6). ■

The author Mike Cooke is a freelancetechnology journalist who has worked in thesemiconductor and advanced technologysectors since 1997.

Figure 6. Measured PAE versus output power of GaN HEMTs at 10GHzwithout harmonic tuning.

Page 85: Developments in 2D materials News from OFC Developments in 2D

2016 International Conference on

Compound Semiconductor Manufacturing

Technology

May 16th – 19th, 2016

Hyatt Regency Miami

Miami, Florida, USA

Final Call For Papers

ABSTRACTS NOW DUE NOVEMBER 15, 2015

Go to www.csmantech.org to submit a 1- to 2-page abstract

www.csmantech.org

Conference Chair

Glen “David” Via

[email protected]

Technical Program Chair

Celicia Della-Morrow

[email protected]

Exhibits Chair

Ruediger Schreiner

[email protected]

Workshop Chair

Alex Smith

[email protected]

University Liaison

Shiban Tiku

[email protected]

Sponsorship Chair

Peter Ersland

[email protected]

Publication Chair

Jansen Uyeda

[email protected]

Abstracts should clearly state: – The purpose of the work – How CS Manufacturing Technology was used or advanced – Specific results & how they were achieved with supporting data Please use the abstract guidelines found at: www.csmantech.org Please use U.S. fonts only

Papers Are Solicited on Manufacturing-Related Topics

Producibility

Wafer & Device Processing

Process Control & Yield

Epitaxy & Material

RF & Power Devices

Optical Devices & LED

Emerging Technologies

Test & Reliability

Packaging & Heterogeneous Integration

Business Outlook

Equipment

GaN, GaAs, InP, Diamond, Sb Compounds, SiGe, & other related materials

Abstract

Deadline

Extended!

Page 86: Developments in 2D materials News from OFC Developments in 2D

Technology focus: InGaAs FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

86

Researchers in China claim the firstradio frequency (RF) switch devicebased on indium gallium arsenide

(InGaAs)-channel metal-oxide-semiconductorfield-effect transistor (MOSFET) technology[Zhou Jiahui et al, J. Semicond. 2016,vol37, p024005]Such technology is being developed to

boost the performance of present-day complementary metal-oxide semiconductor(CMOS) very-large-scale integrated circuitsused in mass-consumer electronics.RF switches are among the key components

in mobile phone wireless communicationsand GPS systems. Traditionally, these deviceshave been produced using gallium arsenidehigh-electron-mobility transistors (HEMTs),although more recently silicon and gallium nitride (GaN) alternatives havebeen developed.Chinese Academy of Sciences’ Institute of

Microelectronics and Guilin University ofElectronic Technology grew the material for thedevice (Figure 1) by molecular beam epitaxy(MBE) on semi-insulating GaAs substrate:300nm aluminium gallium arsenide(Al0.26Ga0.74As) buffer, 7nm In0.4Ga0.6Aschannel, 5nm indium gallium phosphide(In0.49Ga0.51P) barrier, 50nm n-GaAs cap,and 10nm n-In0.54Ga0.46As cap.Mesa isolation and channel formation were achieved

by wet etching. The structure was cleaned to removenative oxide and excess arsenic before ammonium sulfide passivation.The aluminium oxide (Al2O3) gate dielectric insulation

was applied using atomic layer deposition (ALD). Thedielectric was annealed at 400°C in nitrogen. The gateelectrode was annealed nickel/gold. The gate lengthand width were 0.3µm and 300µm, respectively.The source/drain electrodes were formed by etching

away the aluminium oxide and electron-beam evapora-tion of nickel/germanium/gold/nickel/germanium/gold.

A final 300°C anneal completed the fabrication.To operate as a switch, a gate bias resistor is used to

give a circuit (Figure 2) almost equivalent to a two-portdevice that is a resistor when on and a capacitor whenoff. The bias resistance needs to be large to isolate theradio-frequency signal.The MOSFET had a maximum drain current of 250mA/mm

and specific turn-on resistance of 0.72Ω-mm2. With 2V drain bias, the maximum transconductance was370mS/mm with –0.1V gate potential.The on/off current ratio was about 106 at room tem-

perature with 50mV drain bias, “2–3 orders of magnitudehigher than conventional HEMTs,” according to the

Figure 1. Schematic cross section of In0.4Ga0.6As MOSFET with10nm Al2O3.

Indium gallium arsenideMOSFET used as radio-frequency switchChinese researchers see great potential in the future for the first RF switchesbased on InGaAs MOSFET technology.

Page 87: Developments in 2D materials News from OFC Developments in 2D

Technology focus: InGaAs FETs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

87

researchers. A subthreshold swing of 220mV/decadewas also achieved. Gate leakage was less than 10–4A/cm2 in the gate

potential range of –8V to +7V with 1V drain bias, “3–5 orders of magnitude lower than conventionalHEMTs,” according to the team.As an RF switch, the device demonstrated an

insertion loss less than 1.8dB in the range 0.1GHzto 10GHz. The minimum was 0.27dB. Isolation wasgreater than 20dB between 0.1GHz and 7.5GHz with a68dB maximum. The best performance was achievedat the 0.1GHz end.The researchers comment: “The insertion loss and

isolation of the proposed InGaAs MOSFET switch are0.27–0.49dB and 35–68dB in the frequency rangefrom 0.1 to 3GHz, which are better than conventionalswitches.”The handling power of the device was up to 533mW/mm

in the on-state and 3667mW/mm in the off-state.The researchers conclude: “The drain saturation

current density and handling power can be improvedby increasing the thickness of InGaAs channel. Compared with the conventional GaAs HEMTs, InGaAsMOSFET has a greater potential for RF switches in thefuture.” ■http://dx.doi.org/10.1088/1674-4926/37/2/024005Author: Mike Cooke

Figure 2. (a) Micrograph of RF switch device. (b) Device equivalent circuit.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 88: Developments in 2D materials News from OFC Developments in 2D

Technology focus: InGaAs FETs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

88

Massachusetts Institute of Technology in theUSA has claimed record transconductanceperformance for a self-aligned planar

indium gallium arsenide (InGaAs) quantum-well metal-oxide-semiconductor field-effect transistor(MOSFET) [Jianqiang Lin et al, IEEE Electron DeviceLetters, published online 19 February 2016]. The maximum transconductance of 3.45mS/µm at

0.5V drain bias was attributed to a re-designed accessregion with increased carrier concentration. The newdesign overcomes problems with source starvation and the consequent shift of the virtual source pointinto the access region, reducing gate control of channelconductivity.The researchers comment that 3.45mS/µm is a

record value among III-V FETs of any kind, includingMOSFETs and high-electron-mobility transistors (HEMTs).Funding for the research came from US Defense

Threat Reduction Agency, US National Science FoundationEnergy Efficient Electronics Science Center, Lam Research,Northrop Grumman and Singapore–MIT Alliance forResearch and Technology Center. The research isaimed at future high-performance low-power CMOSelectronics.

The researchers produced two heterostructures withdifferent n+-cap designs (Figure 1). In one, design A,the n+-cap is directly in contact with the InGaAs channel layers. The other, design B, has an undopedindium phosphide (InP) spacer.Design B with undoped spacer is similar to the

structure used in MIT’s previous transistor work. The researchers comment: “The elimination of theundoped InP spacer in design A results in a higherelectron density in the InGaAs/InAs/InGaAs channellayer in the as-grown structure.”The heterostructures were fabricated into self-aligned

transistors with 15nm access regions and 2.5nmhafnium dioxide high-k dielectric/metal gate (HK/MG)structure. The equivalent oxide thickness (EOT) was0.5nm. The gate was recessed using a combination ofdry etch and a self-limiting digital etch. The digital etchallows precision engineering of the transistor dimensions.The process can reach surface roughness values as lowas 0.2nm root-mean-square.Design A devices with 1µm gate length have achieved

subthreshold swing values of 66.6mV/decade at 10mVdrain bias. This matches the lowest subthreshold swingreported for any planar InGaAs MOSFET, according to

Figure 1. Simplified device cross-section schematic and respective heterostructures used. Layers labeledwith n+ are silicon-doped 3x1019/cm3—4x1019/cm3, otherwise they are undoped.

III-V field-effect transistortransconductance recordIncreased carrier concentration in a re-designed access region yields3.45mS/µm at 0.5V drain bias.

Page 89: Developments in 2D materials News from OFC Developments in 2D

Technology focus: InGaAs FETs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

89

the researchers. Devices with 70nm gate lengthachieved subthreshold swings of 150mV/decade and115mV/decade for 0.5V and 0.05V drain, respectively.The 70nm MOSFET achieved a maximum trans-

conductance of 3.45mS/µm at 0.5V drain (Figure 2).The team reports: “This represents an 11% improve-ment over the previous records in planar InGaAsMOSFETs and HEMTs, both at 3.1mS/µm.” A compari-son device using design B managed a peak transcon-ductance of only 2.55mS/µm at the same bias. ■http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7414406Author: Mike Cooke

Figure 2. Transconductance (gm) versus over-gateoverdrive with respect to threshold (Vgt = Vgs–Vt)and drain current (Id) transfer characteristics of70nm MOSFETs A and B.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Page 90: Developments in 2D materials News from OFC Developments in 2D

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

90

suppliers’ directory

1 Bulk crystal source materials p90

2 Bulk crystal growth equipment p90

3 Substrates p90

4 Epiwafer foundry p91

5 Deposition materials p91

6 Deposition equipment p92

7 Wafer processing materials p92

8 Wafer processing equipment p93

9 Materials and metals p93

10 Gas & liquid handling equipment p93

11 Process monitoring and control p93

12 Inspection equipment p94

13 Characterization equipment p94

14 Chip test equipment p94

15 Assembly/packaging materials p94

16 Assembly/packaging equipment p94

17 Assembly/packaging foundry p94

18 Chip foundry p94

19 Facility equipment p94

20 Facility consumables p94

21 Computer hardware & software p94

22 Used equipment p95

23 Services p95

24 Consulting p95

25 Resources p95

1 Bulk crystal sourcematerials

Mining & Chemical Products Ltd(part of 5N Plus, Inc) 1-4, Nielson Road, Finedon Road Industrial Estate, Wellingborough, Northants NN8 4PE, UK Tel: +44 1933 220626 Fax: +44 1933 227814 www.MCP-group.com

Umicore Indium Products 50 Simms Avenue, Providence, RI 02902, USA Tel: +1 401 456 0800 Fax: +1 401 421 2419 www.thinfilmproducts.umicore.com

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

MR Semicon Inc PO Box 91687, Albuquerque, NM 87199-1687, USA Tel: +1 505 899 8183 Fax: +1 505 899 8172 www.mrsemicon.com

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700Fax: +1 510 683 5901 www.axt.com Supplies GaAs, InP, and Ge wafersusing VGF technology withmanufacturing facilities in Beijingand five joint ventures in Chinaproducing raw materials, includingGa, As, Ge, pBN, B2O3.

CrystAl-N GmbHDr.-Mack-Straße 77, D-90762Fürth, GermanyTel: +49 (0)911 650 78 650 90Fax: +49 (0)911 650 78 650 93E-mail: [email protected] www.crystal-n.com

Crystal IS Inc70 Cohoes AvenueGreen Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Page 91: Developments in 2D materials News from OFC Developments in 2D

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

91

MARUWA CO LTD 3-83, Minamihonjigahara-cho,Owariasahi, Aichi 488-0044, JapanTel: +81 572 52 2317www.maruwa-g.com/e/products/ceramic

MARUWA is a global supplier ofceramic substrates and wafers madeof aluminium nitride (AlN), alumina(Al2O3), ZTA and silicon nitride(Si3N4). Products meet requiredproperties such as high thermalconductivity and bending strengthfor power devices, especiallywafers are suitable as a bondingwafer (GaN, SOI) for epi wafers.

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.deIII/V-Reclaim offers reclaim(recycling) of GaAs and InP wafers,removing all kinds of layers andstructures from customers’ wafers.All formats and sizes can behandled. The firm offers single-sideand double-side-polishing andready-to-use surface treatment.

Umicore Electro-Optic MaterialsWatertorenstraat 33, B-2250 Olen, BelgiumTel: +32-14 24 53 67Fax: +32-14 24 58 00www.substrates.umicore.com

Wafer World Inc1100 Technology Place, Suite 104,West Palm Beach, FL 33407, USATel: +1-561-842-4441Fax: +1-561-842-2677E-mail: [email protected]

4 Epiwafer foundry

Spire Semiconductor LLC 25 Sagamore Park Drive, Hudson, NH 03051, USA Tel: +1 603 595 8900 Fax: +1 603 595 0975 www.spirecorp.com

Albemarle Cambridge Chemical LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, CardiffCF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

SoitecPlace Marcel Rebuffat, Parc deVillejust, 91971 Courtabouef, France Tel: +33 (0)1 69 31 61 30 Fax: +33 (0)1 69 31 61 79www.picogiga.com

5 Depositionmaterials

Akzo Nobel High Purity Metalorganics www.akzonobel.com/hpmo Asia Pacific: Akzo Nobel (Asia) Co Ltd,Shanghai, ChinaTel. +86 21 2216 3600Fax: +86 21 3360 [email protected] Americas: AkzoNobel Functional Chemicals,Chicago, USA Tel. +31 800 828 7929 (US only)Tel: +1 312 544 7000Fax: +1 312 544 [email protected] Europe, Middle East and Africa: AkzoNobel Functional Chemicals,Amersfoort, The NetherlandsTel. +31 33 467 6656Fax: +31 33 467 [email protected]

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Dow Electronic Materials 60 Willow Street, North Andover, MA 01845, USA Tel: +1 978 557 1700 Fax: +1 978 557 1701 www.metalorganics.com

Matheson Tri-Gas6775 Central Avenue, Newark, CA 94560, USA

Page 92: Developments in 2D materials News from OFC Developments in 2D

Tel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Mining & Chemical Products Ltd (see section 1 for full contact details)

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

SAFC HitechPower Road, Bromborough, Wirral, Merseyside CH62 3QF, UKTel: +44 151 334 2774Fax: +44 151 334 6422www.safchitech.com

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

6 Depositionequipment

AIXTRON SEDornkaulstr. 2, 52134 Herzogenrath, Germany Tel: +49 2407 9030 0 Fax: +49 2407 9030 40 www.aixtron.com

AIXTRON is a leading provider ofdeposition equipment to thesemiconductor industry. Thecompany’s technology solutions areused by a diverse range of customersworldwide to build advancedcomponents for electronic andoptoelectronic applications(photonic) based on compound,silicon, or organic semiconductormaterials and, more recently,carbon nanotubes (CNT), grapheneand other nanomaterials.

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, Switzerland Tel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

Ferrotec-Temescal 4569-C Las Positas Rd, Livermore, CA 94551, USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net Temescal, the expert inmetallization systems for theprocessing of compoundsemiconductor-based substrates,provides the finest evaporationsystems available. Multi-layercoatings of materials such as Ti, Pt, Au, Pd, Ag, NiCr, Al, Cr, Cu,Mo, Nb, SiO2, with high uniformityare guaranteed. Today the world’smost sophisticated handsets,optical, wireless and telecomsystems rely on millions of devices that are made usingTemescal deposition systems andcomponents.

Oxford Instruments Plasma Technology North End, Yatton, Bristol, Avon BS49 4AP, UK Tel: +44 1934 837 000 Fax: +44 1934 837 001 www.oxford-instruments.co.uk We provide flexible tools and processes for precise materials deposition,etching and controlled nanostructuregrowth. Core technologies includeplasma and ion-beam depositionand etch and ALD.

Plasma-Therm LLC10050 16th Street North, St. Petersburg, FL 33716, USA Tel: +1 727 577 4999 Fax: +1 727 577 7035 www.plasmatherm.com www.plasmatherm.com

Plasma-Therm, LLC is an establishedleading provider of advancedplasma processing equipment forthe semiconductor industry andrelated specialty markets.

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

Veeco is a world-leading supplier ofcompound semiconductor equipment,and the only company offering bothMOCVD and MBE solutions. Withcomplementary AFM technology andthe industry’s most advanced ProcessIntegration Center, Veeco tools helpgrow and measure nanoscale devicesin worldwide LED/wireless, datastorage, semiconductor and scientificresearch markets—offering importantchoices, delivering ideal solutions.

7 Wafer processingmaterials

Air Products and Chemicals Inc 7201 Hamilton Blvd., Allentown, PA 18195, USA Tel: +1 610 481 4911 www.airproducts.com/compound

MicroChem Corp1254 Chestnut St. Newton, MA 02464, USA Tel: +1 617 965 5511Fax: +1 617 965 5818www.microchem.com

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

92

Page 93: Developments in 2D materials News from OFC Developments in 2D

Praxair Electronics (see section 5 for full contact details)

8 Wafer processingequipment

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.comTechnology and market leader for wafer processing equipment. Worldwide industry standards foraligned wafer bonding, resistprocessing for the MEMS, nano andsemiconductor industry.

Logitech LtdErskine Ferry Road, Old Kilpatrick, near Glasgow G60 5EU, Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.com

Oxford Instruments Plasma Technology (see section 6 for full contact details)

Plasma-Therm LLC(see section 6 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPTS Technology Ltd Ringland Way, Newport NP18 2TA, UK Tel: +44 (0)1633 414000 Fax: +44 (0)1633 414141 www.spts.com

SUSS MicroTec AGSchleißheimer Strasse 90, 85748 Garching,

Germany Tel: +49 89 32007 0 Fax: +49 89 32007 162 www.suss.com

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

Goodfellow supplies smallquantities of metals and materialsfor research, development,prototyping and specialisedmanufacturing operations.

10 Gas and liquidhandling equipment

Air Products and Chemicals Inc (see section 7 for full contact details)

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SYSTEMS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24 00 0 Fax: +49 89 96 24 00 122 www.cscleansystems.com

SAES Pure Gas Inc 4175 Santa Fe Road, San Luis Obispo, CA 93401, USA Tel: +1 805 541 9299 Fax: +1 805 541 9399 www.saesgetters.com

11 Process monitoringand control

k-Space Associates 2182 Bishop Circle East, Dexter, MI 48130, USA Tel: +1 734 426 7977 Fax: +1 734 426 7955www.k-space.com k-Space Associates Inc specializes inin-situ, real-time thin-film processmonitoring tools for MBE, MOCVD,PVD, and thermal evaporation.Applications and materials includethe research and production linemonitoring of compoundsemiconductor-based electronic,optoelectronic, and photovoltaicdevices.

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

LayTec AG Seesener Str. 10–13,10709 Berlin,Germany Tel: +49 30 89 00 55 0 Fax: +49 30 89 00 180 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is based on optical techniques:reflectometry, emissivity correctedpyrometry, curvaturemeasurements and reflectanceanisotropy spectroscopy.

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120Furtwangen im Schwarzwald, GermanyTel: +49 7723 9197 0 Fax: +49 7723 9197 22 www.wepcontrol.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

93

Inc

Page 94: Developments in 2D materials News from OFC Developments in 2D

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

94

12 Inspection equipment

Bruker AXS GmbH Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker-axs.de

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Keithley Instruments Inc28775 Aurora Road, Cleveland, OH 44139, USA Tel: +1 440.248.0400 Fax: +1 440.248.6168 www.keithley.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Wafer World Inc (see section 3 for full contact details)

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

Ismeca Europe Semiconductor SAHelvetie 283, La Chaux-de-Fonds,2301, Switzerland Tel: +41 329257111 Fax: +41 329257115 www.ismeca.com

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

Compound SemiconductorTechnologies Ltd Block 7, Kelvin Campus, West of Scotland, Glasgow, Scotland G20 0TH, UK Tel: +44 141 579 3000 Fax: +44 141 579 3040 www.compoundsemi.co.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

MEI, LLC3474 18th Avenue SE, Albany, OR 97322-7014, USA Tel: +1 541 917 3626Fax: +1 541 917 3623www.marlerenterprises.net

20 Facility consumables

W.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Ansoft Corp4 Station Square, Suite 200, Pittsburgh, PA 15219, USATel: +1 412 261 3200 Fax: +1 412 471 9427 www.ansoft.com

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Page 95: Developments in 2D materials News from OFC Developments in 2D

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108,Richmond, VA 23238, USATel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

22 Used equipment

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USATel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Henry Butcher InternationalBrownlow House, 50–51

High Holborn, London WC1V 6EG, UK Tel: +44 (0)20 7405 8411 Fax: +44 (0)20 7405 9772 www.henrybutcher.com

M+W Zander Holding AGLotterbergstrasse 30, Stuttgart, Germany Tel: +49 711 8804 1141 Fax: +49 711 8804 1950 www.mw-zander.com

24 Consulting

Fishbone Consulting SARL8 Rue de la Grange aux Moines, 78460 Choisel, France Tel: + 33 (0)1 30 47 29 03E-mail: [email protected]

25 Resources

Al Shultz Advertising Marketing for AdvancedTechnology Companies 1346 The Alameda, 7140 San Jose, CA 95126, USA Tel: +1 408 289 9555 www.alshuktz.com

SEMI Global Headquarters3081 Zanker Road, San Jose, CA 95134, USATel: +1 408 943 6900Fax: +1 408 428 9600www.semi.org

Yole Développement 45 rue Sainte Geneviève, 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

REGISTERfor Semiconductor Today

free at

www.semiconductor-today.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

95

Page 96: Developments in 2D materials News from OFC Developments in 2D

16 May 2016 31st annual Reliability Of CompoundSemiconductors (ROCS) Workshop 2016 Hyatt Regency Miami, FL, USA E-mail: [email protected] www.jedec.org/home/gaas

16–19 May 20162016 CS MANTECH (InternationalConference on Compound SemiconductorManufacturing Technology) Hyatt Regency Miami, FL, USA E-mail: [email protected] www.csmantech.org

22–27 May 2016 Microwave Week 2016, incorporating: IEEE MTT-S International MicrowaveSymposium (IMS2016) IEEE Radio Frequency Integrated CircuitsSymposium (RFIC 2016) 87th Automatic RF Technologies Group(ARFTG) Microwave MeasurementConference -San Francisco, CA, USA E-mail: [email protected] www.ims2016.org

24–25 May 2016 Imec Technology Forum Brussels (ITF2016 Brussels) SQUARE Brussels Meeting Centre, Belgium E-mail: [email protected] www.itf2016.be/brussels

5–10 June 2016 CLEO 2016: Conference on Lasers and Electro-Optics San Jose Convention Center, CA, USA E-mail: [email protected] www.cleoconference.org

7–9 June 2016 SEMICON Russia 2016 Moscow, Russia E-mail: [email protected] www.semiconrussia.org

13–17 June 2016 2016 Symposia on VLSI Technology & Circuits Hilton Hawaiian Village, Honolulu, HI, USA E-mail: [email protected] www.vlsisymposium.org

22–24 June 2016 Intersolar Europe Messe München, GermanyE-mail: [email protected]

26–30 June 2016 Compound Semiconductor Week 2016(CSW2016), including: 43rd International Symposium onCompound Semiconductors (ISCS2016); 28th International Conference on IndiumPhosphide and Related Materials (IPRM2016) Toyama International Conference Center, Japan

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016 www.semiconductor-today.com

96

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Aixtron SE 5CS Clean Systems 26CS Mantech 85Evatec 33Ferrotec-Temescal 35Fuji Electric 19

IQE 23RIFF Company 39Veeco Instruments — MBE 9Veeco Instruments — MOCVD 2Wafer World 27

Advertiser Page no. Advertiser Page no.

advertisers’ index

Page 97: Developments in 2D materials News from OFC Developments in 2D

E-mail: [email protected]

4–8 July 2016 International Union of Materials ResearchSocieties’ International Conference onElectronic Materials (IUMRS-ICEM 2016) Suntec, Singapore E-mail: [email protected] www.mrs.org.sg/icem2016

10–15 July 2016

18th International Conference on Metal OrganicVapor Phase Epitaxy (ICMOVPE XVIII) Sheraton San Diego Hotel & Marina, CA, USAE-mail: [email protected] www.mrs.org/icmovpe-xviii

11 July 2016 ITF2016 USA San Francisco Marriott Marquis, CA, USAE-mail: [email protected] www.itf2016.be/page.aspx/2217

11–13 July 2016 IEEE Photonics Society’s 2016 SummerTopicals Meeting Series – ‘EmergingTechnologies for Green Photonics’ Newport Beach Marriott Hotel, CA, USA E-mail: [email protected] www.sum-ieee.org

11–14 July 2016 Intersolar North America (co-located withees North America and SEMICON West) San Francisco, CA, USA E-mail: [email protected] www.intersolar.us

12–14 July 2016SEMICON West 2016 Moscone Center, San Francisco, CA, USA E-mail: [email protected] www.semiconwest.org

24–26 August 2016 13th International Group IV PhotonicsConference (GFP 2016) Grand Kempinski Hotel Shanghai, China E-mail: [email protected] www.gfp-ieee.org

28 August – 1 September 2016 SPIE Optics + Photonics 2016 San Diego Convention Center, CA, USAE-mail: [email protected] http://spie.org/optics-photonics1

6–7 September 2016 2nd International Forum on SapphireMarket & Technologies E-mail: [email protected] www.i-micronews.com/events/yole-events/eventdetail/142/-/2nd-int-forum-on-sapphire-market-technologies.html alongside: 6–9 September 2016 18th China International OptoelectronicExposition (CIOE 2016) Shenzhen Convention & Exhibition Center (SZCEC),China E-mail: [email protected] www.cioe.cn/en

7–9 September 2016SEMICON Taiwan 2016 Taipei Nangang Exhibition Center, Taiwan E-mail: [email protected] www.semicontaiwan.org

12–15 September 2016 25th International Semiconductor LaserConference (ISLC 2016) Kobe Meriken Park Oriental Hotel, Kobe, Japan E-mail: [email protected]

19–22 September 2016 LED China 2016 Shanghai New International Expo Centre (SNIEC),China E-mail: [email protected]

2–6 October 2016 29th IEEE Photonics Conference (IPC 2016 )Waikoloa, Hawaii, USA E-mail: [email protected] www.ipc-ieee.org

10–13 October 2016 2016 IEEE SOI-3D-Subthreshold Micro-electronics Technology Unified Conference(S3S SOI/3D/SubVt) — ‘Energy EfficientTechnology for the Internet of Things’ Hyatt Regency San Francisco Airport, Burlingham, CA, USA E-mail: [email protected] http://s3sconference.org

25–27 October 2016 SEMICON Europa 2016 Grenoble, France E-mail: [email protected]

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 3 • April/May 2016

97

Page 98: Developments in 2D materials News from OFC Developments in 2D

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductor and advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 41,000+ international circulation

WEB SITE

Average of over 19,700 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

www.semiconductor-today.com

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET