Transcript

VHDL Assignment No 1

1. Design and simulate a 4 input NOR Gate program using dataflow modeling inVHDL.

Program:

entity norgate4 isport(a,b,c ,d: in bit;y: out bit);end norgate4;architecture data of norgate4 isbeginy


Top Related