eindhoven university of technology master hardware ...hardware - software integration and simulation...

106
Eindhoven University of Technology MASTER Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication Disclaimer This document contains a student thesis (bachelor's or master's), as authored by a student at Eindhoven University of Technology. Student theses are made available in the TU/e repository upon obtaining the required degree. The grade received is not published on the document as presented in the repository. The required complexity or quality of research of student theses may vary by program, and the required minimum study period may vary in duration. General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain

Upload: others

Post on 19-Mar-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Eindhoven University of Technology

MASTER

Hardware - software integration and simulation of a WCDMA rake receiver

Paffen, M.J.L.W.

Award date:2002

Link to publication

DisclaimerThis document contains a student thesis (bachelor's or master's), as authored by a student at Eindhoven University of Technology. Studenttheses are made available in the TU/e repository upon obtaining the required degree. The grade received is not published on the documentas presented in the repository. The required complexity or quality of research of student theses may vary by program, and the requiredminimum study period may vary in duration.

General rightsCopyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright ownersand it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights.

• Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain

Page 2: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Hardware - Software

Integration and Simulation of a

WCDMA Rake Receiver

Door M.l.L.W. Paffen

Page 3: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Eindhoven University of TechnologyFaculty of Electrical EngineeringDivision of Telecommunication Technology and ElectromagneticsRadio Communication Group

Hardware - Software

Integration and Simulation of a

WCDMA Rake Receiver

Door M.J.L.W. Paffen

Master of Science Thesiscarried out from 1 October 2001 to 31 July 2002

Supervisors:Dipl. Ing. W. KurtsieferDipl. Ing. M. Beuttner

Graduation professor:Prof. Dr. Ir. G. Brussaard

The Faculty of Electrical Engineering of Eindhoven University of Technology disclaims allresponsibility for the contents oftraineeship and graduation reports.

Page 4: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Abstract

The fast growing Wireless market has provided a fast development of new standardsparallel to the existing cellular systems. To allow the network manufacturers to offerpreliminary equipment in the field, it is nowadays required to stay conform to new orchanging specifications with base-stations capable of software reconfiguration.This thesis describes a part of the theory, the implementation and simulation of aRake-receiver consisting of such a 'software radio system'. The Rake-receiver is ademodulator that can resolve different radio-paths and combine these, in attempt toachieve an optimal received signal. Through theory, the modulation method ingeneral and conform the 3G specification is explained, continued by describing otherrequirements like pulse shape filters and the analog to digital conversion. Theintegration of the bit-exact and cycle-accurate hardware and fixed-point software ofthe receiver on a simulation platform is described and was one of the main objectivesduring this thesis. The other objective is to deliver a 'proof of concept' by verificationof the model, conform 3G base station rules.The hardware - software rake receiver has been successfully integrated in SPW.The test environment is able to simulate various channel scenarios. lt can be used asa golden reference model for verification.On an empirical approach, an optimal gain factor is established for the analog todigital converter front -end. The quantisation noise determines the maximum signalto thermal noise ratio that can be processed by the receiver. The results for statiechannel cases show little degradation as a result of the quantization and clippingnoise of the analog to digital converter. The fading channel case shows largerdegradation compared to a reference model. The channel estimation algorithm andthe fixed-point resolution of the integrated model caused a loss of performance.

Page 5: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Table of contents

1 Introduction 11.1 Outline of the third generation mobile systems 1

1.1.1 History of wireless mobile communications 11.1.2 Wireless mobile standard 31.1.3 Software defined radio .4

1.2 Motorola inc 51.3 Scope of the master thesis project 6

2 WCDMA concepts 92.1 Fundamentals of a digital communication system 92.2 Spread spectrum modulation 10

2.2.1 Direct sequence CDMA 112.2.2 Capacity of the channel 15

2.3 Spreading and modulation in WCDMA 162.3.1 Channelization codes 172.3.2 Power difference DPDCHIDPCCH 192.3.3 Scrambling codes 21

2.4 Uplink channel encoding chain 252.4.1 CRC attachment 252.4.2 Transport block concatenation/ code block segmentation 252.4.3 Channel coding 262.4.4 Radio frame equalization 262.4.5 First interleaving 272.4.6 Radio frame segmentation 272.4.7 Rate matching 272.4.8 Transport channel multiplexing 272.4.9 Physical channel segmentation 272.4.10 Second interleaving 272.4.11 Physical channel mapping 27

2.5 Pulse shape filtering 282.5.1 Raised eosine function 292.5.2 Root raised eosine function 30

2.6 Radio propagation characterization 312.6.1 Path loss 322.6.2 Multipath propagation 322.6.2 Large scale fading 332.6.3 Smal! scale fading 332.6.4 Doppier effect. 34

2.7 AID conversion digital receiver 352.7.1 Theory 362.7.2 Analog to digital conversion for digital receivers 39

2.8 The rake demodulator .413 Simulation environment. 43

3.1 Tools survey 433.2 Signal processing worksystem .453.3 Workbench environment. .463.4 Custom coded blocks 473.5 Hardware design system .48

Page 6: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Table of contents

4 Implementation rake receiver .494.1 General implementation .494.2 Hardware-description 504.3 Hardware-/software interface 534.4 Software-implementation 55

4.4.1 DPCCH processing 554.4.1 DPDCH processing 60

4.5 Software-interface 615 Simulations 63

5.1 Link configuration 635.1.1 Pulse shape filter design 635.1.2 Transmission power. 655.1.3 Receiver gain estimation 69

5.2 Statie channel 715.2.1 Theory 715.2.2 Results 745.2.3 Verification and evaluation 75

5.3 Fading channel 765.3.1 Reference 765.3.2 Results 775.3.3 Verification 77

6 Conclusions and recommendations 79Acknowledgements 81List of abbreviations: 83A References 85B Gaussian probability function 87C Student T distribution 91o Flow-chart hardware/-software interface 93E Calculations 95

E.1 Error probability statie channels 95E.2 Error probability statie channels with quantization noise 96

F Simulations results 99F.1 Simulation results statie channel SPW model 99F.2 Simulation results statie channel fixed point model 99F.3 Simulation results fading 1 channel SPW model. 102

G Simulation figures 103

Page 7: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1

Introduction

1.1 Outline of the third generation mobile systems

1.1.1 History of wireless mobile communications

After the invention of the two-way radio communications at the beginning of lastcentury, the key foundations of wireless mobile systems were grounded. Halfwayduring the 20th century a concept emerged to reuse the same limited radio frequency(RF) in a group of cells arranged in acellular structure to serve an unlimited numberof users. This concept comprehends that calls are systematically handed over fromone cell to another to accommodate mobility from cell to Geil. This seemingly rathersimple idea has revolutionized wireless communications and led to a multibilliondollar industry which is part of the propulsion [1].

The first generation cellular wireless mobile systems were analog and based onfrequency division multiplex (FDM) technology. At that technological age, phoneswere limited to being large and placed in briefcase-sized case and thereforepermanently installed in a vehicle. At that moment, the projection of the growth of thecellular industry was Iimited, since the only customers consisted of people who couldafford to pay for this service and vehicles that really might need a phone. Aspredicted, until the 1980s the growth of cellular subscribers was moderate.

The advances in the semiconductor, by the end of '80s, provided a vital boost to thecellular mobile industry. The size of the phone was able to shrink to a small handsetas a result of application-specific integrated circuits (ASICs) and more powerfulembedded processor designs as weil as more effective analogue circuits. Thistechnical evolution led to a major revolution for the cellular mobile industry for twosimilar reasons. First of all, the number of consumers increases from the number ofvehicles to the number of people, which is a larger base. It is possible to call fromanywhere, instead of being near to the vehicle. The possibility to call from anywheresignificantly increased people's desire to have acellular phone. The phone sizeevolution in terms of reduction in the number of integrated circuits (ICs) in thehandset and its correspondence given in [2] with subscriber growth is shown inFigure 1.1.

1

Page 8: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1. Introduction

700 ma;.11 Number of ICs--+- Number of subsribers (millions)

600

500

400

300

200

100

o~Y) ~ ~OJ R," R,~ R,<.:J ~ R,OJ

"C8 "C8 "C8 "C!5 "C!5 "C!5 "C!5 "C!5

Figure 1.1 Subscriber growth and IC reduction in mobile terminals

The success of the global system for mobile communications (GSM) based on thesecond generation (2G) technologies is weil known and gave the second boost to thecellular industry. The important advantage of this standard was that the costs ofhandsets and infrastructure were significantly reduced by the digital technology andalso the voice quality and services were improved. Nowadays the service is socommon that it is a part of everyday live. The 2G standard uses time division multipleaccess (TDMA) transmission methods. Voice is digitally encoded via a uniqueencoder, which emulates the characteristics of human speech. Data communicationis already available through the 2G technologies but more bandwidth is required forsophisticated data and multimedia applications.

By the turn of the century, further acceleration of growth is widely anticipated. Soonthe third generation (3G) systems will be deployed. The 3G or "Third generation" isthe generic term used for the next generation of mobile communication systems. The3G systems will significantly improve the spectral efficiency and possibly the cost ofthe system. The enhancement of its data and multimedia capabilities is the profoundfeature.

These new systems will provide enhanced services as available today like voice, textand data and is expected to give more enhanced services like video on demand, highspeed multimedia and internet access. This feature seems to be an evolution from atechnical viewpoint but its potential lies in the promotion of communications not onlyfrom person to person but also from person to machine and from machine tomachine. Similar to the expansion with 2G from vehicles to persons, this expansion ishoped to lead to a significant increase in user base. Therefore its data capabilitieswill probably form a serious competitor to the wire-line phone system.

2

Page 9: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1. Introduction

1.1.2 Wireless mobile standard

The air interfaces and spectrum allocations for the third generation systems startedto develop at the World Administrative Radio Conference (WARC) of the InternationalTelecommunications Union (ITU) at its 1992 meeting. At this meeting the membersidentified the frequencies around 2GHz that were available for use by future thirdgeneration mobile systems. In order to promote global roaming, the ITU started theInternational Mobile Telephony 2000 (IMT-2000) initiative that proposes worldwiderecommendations for terrestrial and satellite communications systems. The variousinterface definitions for wireless mobile communications are shown in Figure 1.2 [2].

Network-to-networkinterface

ICore 1

1__------.

network 1/ 1:'~:~nl~ AK int~a'e I rfJ I Base station I

~ controller It---A interfacel A interfacellub interface lu interface

I Core Inetwork

Figure 1.2 Wireless mobile system interface definition

The different air interfaces that are defined for the third generation systems within theIMT-2000 framework are either based on CDMA or TDMA technology, describedlater in chapter 2. The main target was to have a unique air interface worldwide but inpractice showed that a family of services are required to cover the wide range ofservices. The globallMT-2000 will not be available in countries that follow the UnitedStates Personal Communications Systems (PCS) spectrum allocation. The originaltarget of 3G was a single common global IMT-2000 air interface. Still the thirdgeneration systems are closer to this, than the second-generation systems. ForWCDMA, the same air interface is to be used in Asia and Europe including Japanand Korea, which is a band around 2GHz. For other countries where no spectrum isavailable for IMT-2000, third generation services need to be implemented withinexisting bands, where operators are currently using these for second generationsystems.

There are different approaches to make the step to the 3rd generation systemsdepending on the current service or new obtained bandwidth. For providers currentlyusing the 2G systems based on GSM or TDMA standards, the evolution to 3G isplanned through the Enhanced Data for GSM evolution (EDGE) standard. For the so­called "green field" service providers, the Universal Mobile TelecommunicationsSystems (UMTS) is based on WCDMA. The bandwidth allocation or carrier spacingfor WCDMA will utilize 5 MHz for each link direction using frequency divisionmultiplexing (FDD). The data rates can be up to 2Mbps.

To support a quick development of the 3G wireless mobile standard for UMTS aprogram called the Third Generation Partnership Projects (3GPP) was launched. Forsystems based on IS-95 technology, the evolution is based on the cdma2000

3

Page 10: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1. Introduction

standard and this defined in a similar project called the Third Generation PartnershipProjects (3GPP2).

This leads to the fact that for the 3G systems different air interface standards arespecified. The ITU has decided not to specify the network protocols, GSM-MAP andANSI-41, to be used within the core network but only defined the network to networkinterface (NNI), 50 that calls can roam between cells connected to the two differentnetworks.

Since the network can now contain different possible air interface standards, such asEDGE, UMTS and cdma2000 and be connected to a GSM-MAP or ANSI-41 network,f1exibility is required as weil as for networks as for handsets. To solve this dilemma atechnique called software-defined radio (SDR) is under development. The differencein frequency bands and loading different software into the system can altertechnology standards. The advantage is that only one infrastructure hardware systemis required.

1.1.3 Software defined radio

As mentioned before, it is quite advantageous to have a system that can operate ondifferent standards and in different frequency bands. These flexible implementationpossibilities are a result of the progress in the semiconductor and digital techniquearea. The analog-to-digital (NO) and (DIA) converters are able to allow much highersampling rates than before, enabling signal sampling much closer to the antenna.This does not only reduce the radio components but provides inherent f1exibility tosupporting different standards with different frequency bandwidths. Thedevelopments in the Digital Signal Processor (DSP), Field Programmabie Gate Array(FPGA) and other integrated technology leads to that the speed of a combination ofthese devices using a software implementation can give a comparable performanceto that of a hardware implementation. With usage of large numbers of these devicesit will become commercially viabie for semiconductor manufacturers to produce fullyprogrammabie systems at low cost. Also the time to market development can bereduced since the software can be upgraded remote instead of hardwarereplacement.

With SDR, the hardware platform has many advantages besides being able tosupport different standards. It is much easier to introduce new services and featureswith software upgrades. For providers this approach is very attractive keeping up withend-user needs and the future growth of standards. The same hardware can bedeployed and easily tailored to specific customer needs for mass and niche markets.The provider has also less new deployment risks with the ability to optimise thesystem performance over time and use its flexibility.

Although a SDR solution seems to have a lot of technical and financial advantages, itfaces strong competition from the standard implementation using ASICs. UsingASICs results in a longer time to market but this dedicated design can definitely bemanufactured at much lower cost, if volume is high, with a minimum of overhead.Another potential disadvantage of SDR, is the evolution of signal processors,comparable to all processing units, the technology is developing 50 rapidly that theprocessor speed increases significantly every two years. Theoretically the SDRneeds a software upgrade for a change of standard and service, but in practice thesystem hardware needs to be upgraded after a longer period, as a result ofobsolescence of the processing units. Nonetheless it should be realized that software

4

Page 11: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1. Introduction

implementations in general have a higher instability potential then their hardwarecounterpart [2].

The future trend will probably lead to an increment of SDR implementations althoughin the near future a mix of ASIC and SDR implementations will be deployed.

1.2 Motorola inc.

Motorola, a semiconductor manufacturer, produces signal-processing platformsspecially designed for SDR implementations. The present thesis fits into a projectcovering a SDR solution and both are performed at Motorola.

The Motorola Company consists of different business units covering different areas.A partition of the seven sectors, groups and divisions are given in Figure 1.3 below.

Motorola

Figure 1.3 Partition of the overview of Motorola Business units

The business units divided by sectors of Motorola are:Personal Communication Systems (PCS). This sector is responsible for thedevelopment of mobile phones, two-way radios, pagers and messaging devices.Internet Software and Content Group (ISCG). ISCG provides system integration andprofessional services to help the development and implementation of instantmessaging, entertainment, location based services and mobile e-commerce.Global Telecom Solutions Sector (GTSS). This sector provides complete solutions fortelecom carrier customers around the world.Integrated Electronic Systems (IESS). This business unit operates in the market forintegrated electronics solutions, focusing on the automotive and telecommunicationsmarket.Commercial, Government and Industrial Solutions Sector (CGISS). This unit is aleading provider in two-way radio products, systems as weil as large communicationand IT systems for government, defence, space and smart card applications.Broadband Communications Sector (BCS). BCS manufactures and marketsintegrated and interactive broadband access solutions.Semiconductor Products Sector (SPS). The largest sector, providing system-on-chipsolutions. The focus of SPS is on semiconductors for communications andnetworking.

The semiconductor sector of Motorola is specialized in DSP hardware andembedded processing technology, which is directly related to SDR implementations.The groups within SPS are Wireless and Broadband Systems Group (WBSG),Transportation and Standard Products Group (TSPG) and the Networking and

5

Page 12: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1. Introduction

Computing Systems Group (NCSG). NCSG is responsible for semiconductors usedin wireless and wireline communication systems.

Some divisions within NSCG are Computing Platforms Division (CPD), MultimediaSystems Division (MSD), Networking and Communications Systems Division (NCSD)and the Wireless Infrastructure Systems Division (WISD).

The main focus of WISD is to provide efficient and cost-effective signaI processingplatforms to the wireless infrastructure base-band market. The work within thesystems group includes the algorithm, system and chip definition along withimplementation of prototype and proof of concept systems.

1.3 Scope of the master thesis project

Students of the University of Technology Eindhoven have the opportunity to finish thepost propaedeutic phase of their study with a Master Thesis at the university or at asuitable company. A master thesis at the university mostly guarantees an appropriatescientific assignment with sufficient support. On the other hand, a Master Thesis at acompany gives the opportunity to obtain experience in a working environment.

The master thesis at WISD consists of integration, simuJation and verification of arake receiver applied in base stations specified by the 3GPP organization. Theproposed architecture is defined as the Chip Rate Software Assist Program(CSWAP) and includes correlations required for despreading which demand massiveprocessing power. For this reason, a hardware front end is required to support thesoftware solution. The rake receiver is implemented in a development environmentcalled Signal Processing Work-system (SPW). The top-level view of the functionalmodel of the uplink demodulator is given in Figure 1.4.

CSWAP Rake receiver

Transmitter -----. Channel M--. FPGAI... •

DSP

----------------------------- --------

... Performance ...... Verification .....

Figure 1.4 Top level CSWAP bloek diagram

A bit exact and cycle accurate fixed-point model of the hardware front end has beendeveloped in ANSI-C by the design team. The model was ported to SPW to verify theperformance and to be used as a reference of the system simulation. In parallel, thefixed-point reference code for the DSP was developed in ANSI-C. The main goal ofthe thesis, is integration of these hardware and software modules into a simulationenvironment and verification of the performance with the appropriate bit error ratio(SER) simulations.

The first objective is to set up a multi-user chip rate transmitter model. Thetransmitter model defined by the standard SPW building blocks seemed insufficient.

6

Page 13: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 1. Introduction

A custom coded implementation of the transmitter is required for the rate adjustmentsof the radio channel. For proper simulation and verification, the design of the baseband filters and an implementation of a soft-decision symbol compare system arerequired. After inserting the channel models given in the simulation environment, thedifferent link performance measurements can be achieved.

The second step is the integration of both, the full bit exact and cycle accuratehardware description and the software portion of the system into an SPWenvironment. The integration of this golden reference model, that can be used togenerate test-vectors for hardware or software, should also be fast enough toperform link level simulations. As soon as from the CSWAP system environment aHardware Design System (HDS) is developed, it gives the opportunity to comparethese on functionality and performance. The reference model as a test-bench has thecharacteristics to be bit-exact for both hard- and software and cycle-accurate in thehardware part.This step will involve the integration of a model of the signal-processing unit in SPWthat interacts with the hardware on clock bases. The communication between thehardware and software will be achieved by designing a protocol to model the physicalbus interface. Then the fixed-point and bit exact DSP reference software is addedthat completes the embedded rake receiver.

The third phase will focus on link level simulations using the results from the previoussteps. With these simulations, the link performance of the embedded system can beevaluated and the architecture can be verified. This phase will leave some room foroptimisation of the parameter estimations of the rake receiver. The completehardware implementation and the current implementation can be assessed. Thesystem can be used for future extensions and modifications. The embedded rakereceiver can be incorporated into the complete communication chain. Such asimulation environment would represent the full uplink base-band processing task ina UMTS base station.

7

Page 14: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2

WCDMA concepts

Simulations provide an insight of how a communication system performs undercertain conditions, within the Iimitations of its model. To obtain a better understandingof the digital communication system, different key subjects are discussed in thischapter. The digital communications fundamentals followed by the spread spectrummodulation with emphasizes on the applied direct sequence spread spectrummodulation technique are explained. The channel encoding, although not part of thisthesis, plays a key role in the transmission chain and is briefly described with itsfunctional blocks to give an understanding of the required processing with thepurpose to cancel the negative communication channel effects.Before transmission it is required that the signal is bandwidth limited, therefore theapplied filter is described. During transmission, the signal encounters a hostiletransmission channel. For a simulation, the channel is replaced with a model. Theradio propagation model contains a combination of different influences, to recreatethe real world channel situation. The theory of the different propagation effects isdescribed.After reception, a requirement is to convert the analog waveform into a suitable formfor digital processing. The waveform must be represented by a finite number ofvalues. The discretization in time and amplitude is a rather important designparameter and is further discussed. Finally the basic principle of the rake receiver isexplained.

2.1 Fundamentals of a digital communication system

In digital communication systems, a message is being transmitted in the form of asequence of binary digits. This binary sequence can represent an analog or a digitalsignal produced by a certain source after conversion. A digital communication systemcan be quantified by two measurable parameters, bandwidth and bit-error rate (BER).During transmission, it is ideal to represent the message or source output by as fewbinary digits as possible to save bandwidth. This leads to transmitted data that hasan efficient representation that has little or no redundancy.

In Figure 2.1 are the basic elements of the digital communication chain shown,starting with the information source. The conversion of an analog or digital source toa non-redundant representation is done with a process called source encoding ordata compression. The encoded source information, called information sequence, ispassed to the channel encoder.Before the compressed signal at the output of the source encoder can be transmittedover the air interface, the channel encoder adds extra redundancy. This process willincrease the reliability of the signaI to overcome the effects of noise and interferenceencountered during the transmission.

9

Page 15: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

The receiver wil! use the extra redundancy in the signal to optimise the extraction ofthe information sequence. The encoding can be seen as kinformation bits into aunique n-bit sequence also known as the code word. The ratio nik represents theredundancy and is defined as the coding rate.

Infonnationsource and input ---. Source ---. Channel ---. Digital

transducer encoder encoder modulator

~Channel

~

.- Output .- Source .- Channel .- Digitaltransducer decoder decoder demodulator

Figure 2. 1 Basic e/ements of a digita/ communication system

At the output of the channel encoder, the sequence is passed to the digitalmodulator. The digital modulator is the interface to the communications channel andmaps the binary information sequence into electrical signals capable fortransmission. Binary digits are transmitted as a waveform and if the modulator send bcoded information bits at a time by using M=2b waveforms, it is defined as M-arymodulation.

The communication channel is the physical medium that carries the signal from thetransmitter to the receiver. The digital demodulator at the receiving end processesthe channel-corrupted waveforms and translates those into a sequence of numbers.This sequence of numbers represents estimates of the transmitted data symbols andis passed to the channel decoder. The channel decoder attempts to reconstruct theoriginal information sequence with knowledge of the redundancy code used withinthe channel encoder. The performance of the demodulator and the decoder ismeasured by the number of occurred errors. The exact measure is the averageprobability of a bit-error at the output of the decoder and describes the performanceof a demodulator-decoder combination. The probability of error can be expressed asa function of the code characteristics, the types of waveforms, the transmitter power,characteristics of the channel and the method of demodulation and decoding.

2.2 Spread spectrum modulation

To transmit different users within the same frequency range, the transmission ofspread spectrum signals are used. The transmission is distinguished by thecharacteristic that its bandwidth W is much larger than the information rate R inbitsis. The bandwidth expansion factor can be defined as:

10

Page 16: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

B=We R

Chapter 2. WCOMA Concepts

(2.1)

and is inherent to the redundancy of the spread spectrum signal. To the design ofspread spectrum signais, another important aspect is added called pseudo­randomness, which makes signal appear similar to random noise. There are differentreasons for applying spread spectrum signais. The modulation method suppressesthe effects of interference caused by jamming or other users on the channel and self­interference due to multipath propagation. Transmitting it at low power and thusmaking it difficult to detect in the presence of the background hides the signal forunintended listeners. Other applications than in telecommunications are time delayand velocity measurements in radar and navigation, as applied in the globalpositioning system (GPS). Several types of spread spectrum modulation can beused and to stay in the scope of the subject, the applied modulation method, directsequence spread spectrum is here expounded

An interesting aspect is that different users can share a common channel bandwidth.This multiple access communication system transmits information simultaneouslyonthe same channel. The users transmitted signals are distinguished from one anotherby a different pseudo-random (PN) pattern. The intended particular receiver canrecover the transmitted information by knowing the PN pattern also known as PNcode. The communication technique, which allows multiple users to share a commonchannel, is called code division multiple access (COMA). The time-dispersivepropagation through the channel causes multipath components. This can be viewedas a form of self-interference but can also be combined at the receiver to improve thetransmission performance.

Channelencoder

Modulator

Pseudo-randompattern

generator

Channel Demodulator

Pseudo-randompattern

generator

Channeldecoder

Figure 2.2 Basic model of spread spectrum digital communication system

The basic elements of a spread spectrum digital communication system are thechannel encoder and decoder and the modulator and demodulator. The input of thechannel decoder, given in Figure 2.2, accepts a binary information sequence while atthe receiving end; the degraded binary information sequence is expected at theoutput of the channel encoder. The other two elements in the communication systemare the two identical PN generators, multiplied onto the transmitted signal at themodulator and removed from the received signal at the demodulator. In order todemodulate the received signal, it is necessary that these PN-sequences at thereceiver be synchronised.

2.2.1 Direct sequence COMA

The PN-sequence at the modulator generated, is used in conjunction with Phase shiftkeying (PSK) modulation, to shift the phase of the PSK signaI pseudo randomly [1].The modulated signaI is then called a direct sequence (OS) spread spectrum signal.

11

Page 17: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

The rate at the input of the channel encoder, given in Figure 2.2 is defined as R bitsisand the channel bandwidth equals W Hz. To utilize the entire available bandwidthusing BPSK, the phase of the carrier is shifted pseudo randomly to the pattern of thePN generator at the rate W timesis. To introduce the duration of such a rectangularpulse called a chip, Tc is introduced and called the chip interval, that is the reciprocalof W. Defining the transmission time of an information bit as:

The bandwidth expansion can further expressed as

B =W =Tb=L.e R T C

C

(2.2)

(2.3)

In practical systems, the ratio Tt/Tc is an integer value and represents the phaseshifts that occur in the transmitted signal during the bit duration. Tb. The relationshipbetween d(t) the data and c(t) the PN-signal is iIIustrated in Figure 2.3.

d(t) _~ ~f---(---)+-I---------+----+-----Tc

c(t) 1-1

Informationbits

Spreadingcode

1-1

Spreadedsignal

Figure 2.3 The information and PN signals

When the signal is transmitted with ideal Binary Phase Shift Keying (BPSK), thecarrier has instantaneous phase changes of 180· degrees. If the information signalwould be transmitted without spreading then this can be mathematically representedas a multiplication of the carrier by the information signal:

(2.4)

The transmitted power is then defined as Pand the Wo is the carrier frequency inradians/s. Transmitting the spreaded signal with BPSK, it can be given as amultiplication of the spreading code, the information signal and the carrier frequency:

(2.5)

The two-sided power spectral density in W/Hz of the BPSK modulated informationsignal is then

(2.6)

and is given in Figure 2.4.

12

Page 18: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCOMA Concepts

The power spectral density function of the modulated spreaded signal can be derivedby replacing Tc=Tt/Lc.

~PT.2 b

f

Figure 2.4 Power spectra! density function of information-modu!ated carrier

The power spectral density function of the modulated spreaded signal, in Figure 2.5,shows that the allocated bandwidth is a factor Lcas already shown in Equation 2.3.

-+1

-fa fa

~PT2 c

f

Figure 2.5 Power spectra! density function of modu!ated spread spectrum signa!

In case that the channel influences the transmission only with a white noisespectrum, the same spreaded signal with additional noise is received at the antenna.The dashed line represents the power level of the white Gaussian noise processshown in Figure 2.6. Notice that the transmitted signal can be transmitted under thenoise power level. For OS-COMA, the processing gain Lc is known as the ratiobetween the transmitted bandwidth Wand the information bandwidth R. When S andI are the signal and noise power in Watt respectively with Shannon's equation it canbe shown that the signal-to-noise ratio of the transmitted signal is equal to:

(2.7)

Ouring transmission the Signal-to-noise ratio of the transmitted signal can be smallerthan one, since the processing gain at the demodulator improves the received SNR.

13

Page 19: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

fa

~PT2 c

f

Figure 2.6 Power spectra! density function of modu!ated spread spectrum signa! at thereceiver

The received signal is despreaded by multiplying with the complex conjugatedmatching code and the original information signal wil! be restored with errors as aresult of the additive noise, given in Figure 2.7.

f

Figure 2.7 Power spectra! density function of the despreaded information signa!

The received information signal has a better signal to noise ratio compared to anarrow band transmission with the same transmitted power.

The bit energy Eb, in infinite duration, of the transmitted message can be expressedin relation to the energy of a single chip Ec. The equation of the energy of theinformation bits observed as a time discrete signal is equal to

(2.8)n;:;;-oo

The spread spectrum coding rate c[k] is added to the information bits, which easilyderives:

00 R-l 00

Ec = I Iic[k]. s[nr = R Ils[nr = R· Eb' c[k] = real and IC[kf =1. (2.9)n=-oo k=O n=-oo

14

Page 20: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

The degradation of a transmitted signal is commonly expressed in the signal-to-noiseratio and can be compared to a model where the information signal is sent through achannel with an additional white Gaussian noise component.

ChannelTransmitted ("\ Received

signal --+-____t+-+\..,/-+--t--.. signal

Noise

Figure 2.8 model ofAWGN channel

The relationship of a single information bit during transmission in a white Gaussiannoise process with noise power density is:

No 2-=0-

2 n

and gives the power density ratio on the air interface:

(2.10)

(2.11 )

The noise power density of the white Gaussian process is independent of thesampling frequency. Equation 2.10 is valid when every two subsequent samples arealways uncorrelated, which is assumptive in discrete time simulations. When thevariance of the noise process and the amplitude or variance of the signal process isknown, the linear signal-to-noise ratio can be calculated as

(2.12)

where Ss and Sn are the Signal and noise power respectively and as is thetransmitted signaI variance.Note that the signal needs to be filtered with bandwidth W at the transmitter and thereceiver, resulting in a band limited radio and noise signa!. The bandwidth W has noinfluence on the calculation of the SNR and the logarithmic relationship is now givenas

ESNR =101ogR+-b

No dB

2.2.2 Capacity of the channel

(2.13)

Due to interference, the capacity of spread spectrum modulation systems is limitedcomparable to the bandwidth limitations of FMDA or TDMA systems. The reduction

15

Page 21: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCOMA Concepts

of interference will result in a linear increase of the capacity of the spread spectrumsystem or the link performance increases as the number of user's decreases.Observed is a single cell system with power control, where all signals are received atthe same power level. This assumption is made as a result of the cell-site transmitterconsisting of a linear combiner, adding the spreaded signals of the individual users ,using an ideal weighting factor for each signal. Also an ideal uplink power control isassumed. The desired transmitted waveform power is Ss and the number of users inthe cell are N. The signal to noise ratio with these parameters is:

Ss 1SNR= =--

(N -1)Ss (N -1) (2.14)

The bit energy-to-noise ratio can then be expressed as a function of the informationbit rate Ri and the RF bandwidth W. The bit energy can be obtained by dividing thesignal power Ss by the baseband information bit rate and the interference power byW. The bit energy-to-noise ratio equals then:

Ec Ss/Ri W= =---

No (N-l)(Ss/W) R(N-l)(2.15)

Taking in account the interference noise power 11 to the total interference powergives:

~ ~/~ W= =-------No (N-l)(Ss/W) R((N-l)+(ll/Ss))

(2.16)

From this equation the number of users can be derived for certain background noiseand the bit energy-to-noise conditions.

2.3 Spreading and modulation in WCDMA

The WCOMA physical layer as described by the 3GPP organization [3] specifies animplementation of the spread spectrum modulation method. The spreadingmechanism is divided into two different operations. One operation is to separate theuser terminals during uplink and the base stations during downlink from each other,known as the multiplication with the scrambling or PN-code. The other operationcontains the actual spreading mechanism and changes the actual signal bandwidth.The information sequence is multiplied with the channelization code.

Channelization Scramblingcode code

Information

.~ .~sequence

•Bit rate Chip rate Chip rate

Figure 2.9 Spreading and scrambling operation

16

Page 22: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

The channelization code has except for the spreading of the signal, differentpurposes. In the uplink it separates the physical data channels (DPDCHs) and thecontrol channel (DPCCH) transmitted by one user terminal. For the downlink, theconnections are separated for different users within one cell.

2.3.1 Channelization codes

The purpose of a channelization code is to separate transmitted signals from a singlesource. The spreading or channelization codes of the Universal Terrestrial radioaccess system (UTRA) are based on the Orthogonal Variabie Spreading Factor(OVSF) technique. With this orthogonal code it is feasible to change between thespread factors and maintain different lengths. The important property is the ability totransmit different data rates while maintaining orthogonality in a full-synchronizedcase.The channelization codes, with a code-tree as shown in Figure 2.10, are uniquelydescribed as CCh,SF,k' The spreading factor of the code is represented with SF and k isthe code number, 0:s;k:S;SF-1. The small tree shows the orthogonal concatenation ofthe binary branches. The large tree shows the codes generated for SF=1, 2 and 4.The generation method of the channelization code can be described in a formula as:

Cch,I,O = 1

C eh,2 (n+I),O C n C nch.2 .0 ch,2 ,0

C ch,2 (n+l),l C n -Cch.2 .0 ch,2" ,0

C eh 2 (n+l) 2 C n C nch.2 ,1 eh,2 ,I

C eh 2(n+l), = C n -Cch,2 ,1 ch,2 n ,I

(2.17a)

(2.17b)

(2.17c)

C eh,2 (n+I),2 (n+I1-2

C eh 2 (n+l) 2 (n+I)~1

C ch,2" ,2"-}

C ch,2" .2"-1-C n n

ch.2 .2 -1

The OVSF code works only to an advantage in a full-synchronized case. Duringuplink, it separates multiple data channels of a single user. Until now, in the 3Gspecification, only one multiple data channel service is defined, using six multiplechannels. During downlink with normal antenna properties, the user equipmentreceives the different user channels synchronized. It can be said that the OVSF codemain purpose is to separate physical channels transmitted from a single source.

Another physical channel may use a certain code in the tree in Figure 2.10, if noother physical channel is transmitting using the same or underlying branch in thecode tree. This case can only occur if two codes on the same branch with the equalor a different spreading factor are used.

17

Page 23: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

(Cch,m+1,n, Cch,m+1,n)

CCh,m,n

L _

L _

r------------

r------------

r------------IL _

r------------

Cch,4,O=(1,1 ,1,1)

Cch,2,O= (1 ,1 )

Cch,4,1=(1 ,1 ,-1 ,-1)I

Cch,1,O=(1)

Cch,4,2=(1 ,-1 ,1,-1)I

Cch,2,1=(1,-1)

Cch,4,3=(1 ,-1 ,-1 ,1)I

(Cch,m+1,n+1, -Cch,m+1,n+1)

L _

SF=1 SF=2 SF=4

Figure 2. 10 Code-tree for generation of Orlhogonal Variabie Spreading codes

For uplink spreading and modulation according to the UTRA specification, thephysical channels are processed as shown in Figure 2.11. The dedicated physicalcontrol channel (DPCCH) is spread to chiprate with channelization code Cc=CCh,256,Q.The nth dedicated physical data channel (DPDCH) is spread by channelization codeCel,n. For the current specification there are four services with one DPDCH and oneservice with six DPDCHs. The services with one DPDCH are spreaded by the codeCd.1=CCh,SF,k with k=SF/4. The service based on six physical data channels has aspreading factor of four and is spread by the code Cd,n=Cch,4,k. In this case k=1 ifne{1,2}, k=3 if ne{3,4}and k=2 if ne{5,6}.

"".1 ~d

"".2 ~d

QL f----------+lx

SdPCh,n

s

Figure 2.11 Spreading for uplink DPCCH and DPDCHs

This can be interpreted as that during this service, the DPCCH has onechannelization code transmitted on the Q-branch. Every two DPDCHs share onechannelization code and are separated by transmission on land Q-branches.

18

Page 24: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

2.3.2 Power difference DPDCH/DPCCH

During the operation of a GSM user terminal, not properly protected audio equipmenttends to give audible interference [1]. This interference has a frequency of 217 Hzand is a result of the GSM frame frequency. With a CDMA system, the same issuearises during a discontinuous uplink transmission, for example with a speech service.During the silent periods, only control data will be transmitted. With time multiplexingthis could cause audible interference in the middle of the telephony voice frequencyband since the slot frequency is 1500Hz. Due to this issue, in the WCDMA uplink, thetwo physical channels are I-Q/code multiplexed.

Figure 2.12 I-Q/code multiplexed parallel transmission when data is present/absent

With the I-Q/code multiplexing, also called dual-channel QPSK modulation, thepower levels of the DPDCH and DPCCH are typically different. With higher datarates, the power level of the control channel is much lower than the data channel,leading to a 8PSK-type of transmission. This has been avoided by multiplying with acomplex scrambling code after spreading which results in a pseudo random phaseshift of the I-Q/code constellation. The purpose is to keep the power of the signaIenvelope over time constant, the reduce the RF amplifier front-end range. In Figure2.11 is shown that the real-valued spread signals are weighted by gain factors, Beand Bd for DPCCH and DPDCH respectively. 80th Bvalues are 4 bit quantized like

P= Pbin 115 with 0 ~ Pbin ~ 15 (2.18)

with Bbin the binary value and the B as the actual attenuation in the system given inFigure 2.13. The power ratio G between DPCCH and DPDCH for a certain service isgiven as

(2.19)

The signal constellation of I-Q/code multiplexing before the scrambling operation isshown in Figure 2.13. The same constellation without channel interference isobtained after descrambling in the receiver.

G=O.33333 G=1.0

Q Qx X

X X

XI

XX X

Figure 2.13 Constellation of I-Q/code multiplexing for different power ratio G.

19

Page 25: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

Multicode transmission, which is the transmission of two or more parallel channels,DPDCH and DPCCH, increases the peak-to-average power ratio or crest factor. Thecrest factor is directly related to G. The complex scrambling codes are formed insuch a way that the rotation between two chips within one symbol is limited to ±900. Arotation of 180° wil! only occur between two adjacent symbols. The power ratiofurther improves or decreases the peak-to-average ratio of the transmitted signal.The optimal power amplifier efficiency is achieved when the peak-to-average ratio isas low as possible. This allows the transmission amplifier a minimal amplifier back-offrequirement. This can directly be translated in improved amplifier power conversionefficiency, which is directly proportional to a lower power consumption of the userterminal.

The main reason why the DPCCH is required, is to exchange control information, Iikereference symbols for channel estimation and power control signaling bits. Theaccuracy of the channel estimation and signal-to-interference ratio (SIR) estimationdetermines the Et/No performance. These estimates are based on reference symbolstransmitted with the DPCCH. This results, in that if more power can be allocated forthe DPCCH, a better channel estimation can be acquired. On the other hand, sincethe DPCCH is only overhead and does not transmit any user data; its power shouldbe minimized. In the specification [3], the power of the DPCCH is lower than thepower of the DPDCH during data transmission.

,. kd"fflT, bI 2 1 Pa e - ower I erences or up/m servicesBit rate for DPDCH Power ratio of DPCCHservice DPCCH/DPDCH overhead12.2 kbps speech -2.69 dB 1.87 dB64 kbps data -5.64 dB 1.05 dB144 kbps data -9.54 dB 0.46 dB384 kbps data -9.54 dB 0.46 dB

The overhead [1] in dB for different services is given in Table 2-1. Examining the 144kbps service leads to 22 % of the transmission power is used to carry the physicalcontrol channel and 78% to carry data. A better Et/No performance is assumed forhigher bit rates and results in less DPCCH overhead.

DataNdata bits

Ts10t = 2560 chips, Ndata = 1O*2k bits (k=0..6)

DPDCHIL-- """'-- -----J

ol

DPCCHPilot

N ilot bitsTFCI

NTFCI bits

Ts10t = 2560 chips, 10 bits

FBINFB1 bits

TPCNTPC bits

1 8lot #0 1 8lot #1 [~~~::.__~_:~_:::.:~""":::.,-------r.""".: ..::~:_._~::~~:~::::~:_::.::::._: ..::::~::::::::] 8lot #14

1

ol •1 radio frame: T f = 10 fiS

Figure 2.14 Frame structure for uplink DPDCHIDPCCH

Figure 2.14 illustrates the uplink dedicated channel in more detail. The uplinkDPDCH and DPCCH use a slot structure with 15 slots during a 10 ms radio frame. A

20

Page 26: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

DPCCH slot has four fields to be used for pilot bits, Transport Format CombinationIndicator bits (TFCI), Feedback Information bits (FBI) and Transmission PowerControl bits (TPC).

The pilots bits are used for the channel estimation in the receiver. The TPC bits carrythe power control commands for the downlink transmission. The data rate informationof the current DPDCH frame is transmitted in the TFCI field and if not decodedcorrectly, the data frame is lost. The FBI bits are used when closed loop transmissiondiversity is used in the downlink and are processed in the physical layer.

2.3.3 Scrambling codes

The scrambling codes separate transmissions from different sources. In the uplinkdirection there are two different, short and long, scrambling codes. The long codesare constructed with 25-degree polynomials providing a sequence for the duration ofone frame. With the chip-rate of 3.84 Mcps and the frame duration of 10ms, thegenerated code is 38400 chips long. When the base station uses a rake-receiver,long scrambling codes are used. Short scrambling codes have the length of 256chips, equal to one tenth of a slot, and are used when base stations are configuredwith multi-user detectors or interference cancellation receivers. Both sequences canhave a large number of different codes. The long codes are gold codes anddescribed here in more detail within the scope of the subject.

The gold code sequences are particularly suitable for a multiple access spreadspectrum system because a set of spreading codes or waveforms is required suchthat as many users as possible can use a band of frequencies with as little mutualinterference as possible. Gold codes supply a large set of codes and they can bechosen that over a set of codes, the cross-correlation between the codes is uniformand bounded.

Both uplink physical channels are subjected to scrambling with a complex-valuedscrambling code. With a 25 degree polynomial generator, 224 scrambling codes canbe generated. The gold codes are generated with the maximal length linear feedbackshift register, shown in Figure 2.15.

Figure 2. 15 M-sequence generator structure

The register shifts all contents to the right on each clock and with this operation thesequence ai is generated and can be described with the recursive formula:

N

ai = Cl ai-! + c 2a i_2 + ... + CNai-N =L Ckai-k

k(2.20)

with all terms represented by a binary value. The operators are modulo 2 additionand multiplication. The generating function of the sequence can be given as:

21

Page 27: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCOMA Concepts

(2.21 )

with 0 the delay operator. When the sequence is periodic with period P or aj=aj+p , thegeneration function , with support of series expansion, can be written as:

00

G(D) =LDiP (ao + aID + azD z + ... + aT_ID P-I)i;O

(2.22)

Substituting Equation 2.20 in Equation 2.21 leads to the finite recurrence relation

00 00 N

G(D) = LaiDi

= LLckai_kD i=

i;O i;O k;1

The generation function G(O) can be expressed as a ratio of finite polynomials:

N

LckDk[a_kD-k + a1_kD I-k +... + a_ID-I]G(D) = ..;;...k;...;:...1 = go (D)

1- '±ckDk I(D)k;1

(2.23)

(2.24)

The denominator f(O) is called the characteristic polynomial of the linear feedbackshift register (LFSR) sequence generator and depends only on the connection vector{C11 "'1 CN } and determines the main characteristics of the generated sequence. Thenominator polynomial go(O) determines the phase shift of the sequence and dependson the initial condition vector {a-N, a_(N-1)1 ... I a-1 }. From Equation 2.21 is proven thatf(O) can be divided by 1 + ON. The period of the m-sequence, when the initial vectoris not equal to zero, is P = 2N- 1.

The main quality measure is the sequence autocorrelation function and can bewritten as:

p

eek) = La'na'n+k = PÖ (k)n;1

(2.25)

with a'n = 1 - 2an which are the real mapped values and B(k) the Kronecker delta. Ifwe define the q(t) being the time-continuous square waveform of the sequence a'nwith pulse duration Tc then the autocorrelation function with P»1 is

22

Page 28: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

t+WTc

C('t) = P fq('t - iNTJ 1

t

where W is the bandwidth and define

Chapter 2. WCDMA Concepts

(2.26)

(2.27)

The autocorrelation function gives the degree of dependence of the sequence and aphase-shifted sequence of itself. For the WCDMA system it is important to choose acode sequence that gives the least probability of false synchronization. The WCDMAreceiver synchronizes with a control loop by comparing or correlating the received m­sequence with the known transmitted m-sequence. The m-sequence can bedistinguished from a pure random sequence when the period P is large. Thesequence period P is equal to the peak value of the autocorrelation function and willbe smaller when it is cross-correlated with a noise signa!.

C(T)

A F A

..I \ I Tt-PTc -Tc 0 Tc PTc

Figure 2. 16 Autocorrelation function ofp(t)

Gold sequences are based on m-sequences but have different characteristics andare generated differently. They are very useful as they produce a large number ofcodes with as little mutual interference as possible. Consider an m-sequence that isrepresented by a binary vector b with length Pand a second sequence b' obtained bysampling every qth symbol of b. The second sequence is the decimation of b. Thenotation b'=b[q) is used to indicate the b' is obtained by sampling every qth symbol ofb. The second sequence b' has a period of P when the greatest common divisor(GCD) of Pand q is equal to one. The cross-correlation spectrum of pairs of m­sequences can be three valued, four valued or even more valued. Certain specialpairs are three valued, values that occur are:

where

{-t(n),-l,t(n) - 2},

{

n+l

ten) = 1+ 2n

:2

for nodd

1+ 2 2 for neven

(2.28)

(2.29)

23

Page 29: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

The following conditions must yield to a have a preferred pair band b' of m­sequences:

n", O(rnod4);resultsinnisoddorn = 2(rnod4)

b'=b[q]whereqisoddandq=2k +lorq=22k _2 k +1.

{lfornodd

gcd(n,k) =2forneven

(2.30)

When beD) and b'(D) represent a preferred pair of m-sequences with period P=2n-1then the family of codes defined by {beD), b'(D), beD) + b'(D), beD) + Db'(D),b(D)+D2b'(D), ... , b(D) + DP

-1b'(D)} is called the set of gold codes for this preferred

pair. The term oib'(D) represents a phase shift of the m-sequence with j units. Ageneral shift register for gold codes is shown in Figure 2.17. The coefficients are Cnand c'n are an element of {O,1} or can be seen as polynomial connections. It hasbeen proven [4] that pure randomly sequences have worse cross-corre/ationproperties than these Iinear generated sequences.

Figure 2.17 Typical Gold code sequence generator structure

The long scrambling sequence in the 3G-specification [5] consists of two gold codegenerator polynomials of degree 25 and produce two binary m-sequences of thelength of 38400 chips. The x-sequence is constructed with the polynomial X25+X3+1or co, C3 and C25 are equal to 1 with the other multipliers equal to O. The y-sequence isconstructed with the polynomial X25+X3+X2+X+1. The initial conditions of the shiftregisters are 1 for the y-register and are configurable for the x-register with a24equal to 1.

In Figure 2.18 is the configuration of the scrambler generator structure given. Notethat both outputs are a combination of modulo two adders. The second output valueis created non linear with a logical summed combination of different bits of both them-sequence structures. This provides a better level of code security althoughsignificant analytical results are not available in Iiterature on non-linear structures.The basic concept however is to generate sequences that are very difficult toregenerate.

24

Page 30: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

MSB

Clong,l,n

Figure 2.18 Uplink scrambler generator structure

2.4 Uplink channel encoding chain

The mobile radio channel has astrong negative impact on the bit error rate of thetransmitted message. This is a result of many known influences like Doppier spread,multipath fading and noise. To improve the link performance, the information data iscoded with signal processing techniques for example by adding redundant data bitsin the transmitted message. The channel decoder as a part of the receiver is used todetect or correct errors caused by the channel. The coding chain defined in the 3Gspecification [6] is given in Figure 2.19 and can be seen as the channel encodingchain. Within the receiver the similar operations are carried out in reverse order.

The channel-encoding scheme is a combination of redundancy addition, errorcorrection, rate matching, interleaving and mapping transport channels onto physicalchannels. The services are multiplexed dynamically so that the data stream iscontinuous. At the output of the chain, the symbols of the DPDCH are sent with equalpower level for all services. In order to balance the power level requirements for thechannel symbols, the relative symbol rates are adjusted. To stay in the scope of thesubject, every block in the channel coding chain is described briefly.

2.4.1 CRC attachment

The first operation on the data received by the transport block from higher layers isthe Cyclic Redundancy Check (CRC) attachment. The CRC is used for errorchecking of the transport blocks at the receiving end. The CRC can have different bitlengths and the more bits the CRC contains, the lower is the probability of anundetected error in the transport block of the receiver.

2.4.2 Transport block concatenationl code block segmentation

When the transport blocks passed the CRC attachment, these are eitherconcatenated together or segmented to different coding blocks. This is decided bythe available code block size of the channel coding method. The performance benefitof concatenation is a result of the lower overhead of the encoder tail bits and in somecases a better channel coding performance. The advantage of code block

25

Page 31: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

segmentation is to avoid excessively large code bloeks that eould beeome aeomplexity issue at the ehannel decoder.

..CRC Attaehment

..Transport Bloek

eoncatenationl Code bloeksegmentation..

Channel CodingDPDCH1 DPDCH2 DPDCH

• • .. •Radio Frame equalization Physical ehannel mapping

• .. ..First interleaving Seeond interleaving

.. ••Other

Radio frame segmentationtransport Physical ehannelehannels segmentation

.. •Rate matching

~ Transport ehannelmultiplexing...

N

Figure 2. 19 Uplink channel coding chain

2.4.3 Channel coding

After the eoneatenation or segmentation operation the ehannel eneoding isperformed on the eoding bloeks. Depending on the nature of the transport ehanneldata, the uplink ehannel ean use no eoding, eonvolutional eoding or turbo eoding. ForAdaptive Multi Rate speech eoding (AMR) the eonvolutional eoding type is used whilefor data ehannels, turbo eoding is required.

2.4.4 Radio frame equalization

The transport bloeks are transmitted in 10 ms radio frames. To make sure that theeoding bloeks ean be easily segmented in the radio segmentation bloek, theneeessary number of bits is added by padding until the data ean be segmented inequal-sized bloeks per frame.

26

Page 32: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

2.4.5 First interleaving

The interleaving system mixes the data frames to compensate for burstyinterference. The first interleaving or inter-frame interleaving block depends on thetransmission time interval (TTI) , equal to 20, 40 or 80 ms. Interleaving is only usedwhen a frame delay of 10 ms is allowed. For AMR the interleaving time interval issmaller than for data.

2.4.6 Radio frame segmentation

The frame segmentation will distribute the data coming from the first interleaving over2, 4, or 8 radio frames in line with the interleaving length.

2.4.7 Rate matching

To match the number of bits to be transmitted to the number available on a singletransport frame, rate matching is used. With puncturing or repetition the rate can beadjusted. Repetition is preferred and the only reason puncturing is used is if theIimitations of the user terminal or the base station receiver are achieved. Ratematching takes into account the traffic carried by the other channels as weil, in orderto use all the available bits in the transport frame. For example if one transportchannel has a momentarily zero rate, rate matching increases the symbol rate foranother transport channel, if available.

2.4.8 Transport channel multiplexing

The transport channel multiplexing operation multiplexes different transport channelstogether on a frame-by-frame basis. The transport channels provide 10 ms blocks ofdata. If more than one physical channel is used, which is achieved with more OVSFcodes, physical channel segmentation is used.

2.4.9 Physical channel segmentation

If more than one physical channel is used, this operation simply divides the dataevenlyon the available channels. All physical channels transmit with the samespread factor or chip rate since there are no services specified in 3G with multi­spread-factor transmissions

2.4.10 Second interleaving

The second interleaver puts the bits into a matrix and performs inter-columnpermutation. This operation is applied to the 10 ms radio frame also called intra­frame interleaving. The second interleaver is processing every physical channelseparately, in case more then one physical channel is used.

2.4.11 Physical channel mapping

The bits are mapped from the second interleaver on to the physical channels. Thenumber of bits that is given for a physical channel matches exactly the number ofchips that can be transmitted with the corresponding spreading factor.

27

Page 33: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

2.5 Pulse shape filtering

Receivers estimate the transmitted signal by using a method called matched filtering.The pulse shape of the received signal matches the transmitted signal. If the transmitpulse shape is defined as:

h(t)forO ~ t ~ T

then the ideal matched filter response is:

hm(t) = heT -t)forO ~ t ~ T.

(2.31 )

(2.32)

Matchedfilter

The purpose of the pulse shape filter is to form a transmitted signal waveform thathas low pass characteristics. The information signal is band-Iimited to cause nointerference with adjacent frequency bands. The matched filter, on the other side,limits the amount of the noise spectrum that is passed onto the subsequent stages ofthe receiver.

-1 Pulse shape H Channel ~filter

------ '----------'

Figure 2.20 Transmission channel and pulse-shape and matched filter

Note that the matched filter in Figure 2.20 can be equal to the pulse shape filter if theimpulse response het) in Equation 2.31 is even or symmetrical. A second advantageis that the matched filter correlates the received signal with the transmit pulse shapeover the symbol period T. With r(t) as the received signal, the occurred convolution atthe output of the filter can be written as:

1

y(t) = fr(t)hm(T - t)dt .o

(2.33)

The output of the matched filter sampled at time t is defined as y(t). By substitutingEquation 2.32 in 2.33 the following integral is obtained:

1 1

y(t) = fr(t)h(T - (T - t))dt = fr(t)h(t)dt,o 0

(2.34)

and is equal to the autocorrelation function for a lag of O. Such processing results in acorrelation gain by integrating the received signaI energy.

The ideal pulse-shape should have two important properties. It must have a limitedbandwidth to allow transmission on a band-limited system. Sampled at the correcttime interval, the pulse shape should have zero inter-symbol interference (ISI). Thisis if a pulse-train is sampled at every time-interval T, the value at time T, should onlyresult due to the current pulse. There should be no interference from the othertransmitted pulses. This is the case for:

28

{h(t) = 1for t = 0

h(t) = 0 for t = kT '(2.35)

Page 34: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

where k is any signed non-zero integer. Equation 2.35 defines the first Nyquistcriterion in the time-domain [7]. An ideal pulse-shape that meets the ISI requirementsis a time-domain sinc-function. The rectangular shape in the frequency domain ispractically unrealisable, since it has discontinuities. Smoothing the spectral edgeswith a roll oft region can form practical pulse-shapes.

2.5.1 Raised eosine funetion

A pulse-shape that has these properties similar to the sinc pulse, is the raised cosinepulse. The parameter known as the roll-oft factor determines how rapidly thefrequency-domain spectrum of the pulse rolls oft [7][8][9]. If the roll-oft factor is equalto zero, the raised cosine function is equal to the sinc-function and if the roll-oft factoris equal to one, the raised cosine function uses twice as much bandwidth as the sinc­function. Most digital communication systems use a roll-oft factor between 0.10 and0.35, which is equal to an extensive bandwidth of 10% to 35%. The choice of the roll­oft factor is a trade-oft between bandwidth requirements and the implementationcomplexity of the filter. The definition of the raised cosine function in the frequencydomain is as

S(I) =

1

2[1t (2111T-1 JJcos - +14 a

o

I-aO:s;III<­

2T

I-a 111 l+a--< <--2T - - 2T '

111> l+a2T

(2.36)

where a=2TW-1 is the roll-oft parameter varying between 0 and 1. The inverseFourier transform of the above function is

T

sin(;)cos(a;t )set) = -1t--'-t----'----4a-'----2,---t-'---2 .

1--­T 2

(2.37)

Note that the first Nyquist criterion defined in Equation 2.35 yields.~--~.--- -:-l0.9 - .

0.8r=O

0.7

0.6

~ 0.5-

OA

'\,,:~,-

i03r

0.2

0.1

00.5 1.5 -4000 -3000

x 10~

-0.4"-----~--~=---~-~c--~---1.5 -1 -0.5

Figure 2.21a Raised eosine impulse response tor and b spectrum tor r=a=O, 0.22 and 1.

29

Page 35: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

The raised eosine function in time domain for a bandwidth of 3.84MHz and a roll-oftfactor of 0, 0.22 and 1, is illustrated in Figure 2.21. The time-scale is given in 10-6 orIls. The frequency spectrum as defined in formula 2.36 is equally plotted in Figure2.21 b with the frequency axes in KHz.

2.5.2 Root raised eosine function

In practical communication systems the root raised eosine function is often used.Taking the square root of a raised eosine pulse forms the root raised eosine pulse orconvolution in the time-domain of the square root raised eosine pulse gives the rootraised eosine function. This pulse shape is used to split the spectral characteristics ofthe raised eosine pulse equally between the transmitter and the receiver. Thedefinition of the square root raised eosine spectrum is

1

S'(J) ~ cos(: (21f~ -I +1JJo

o~IJI < I-a2T

I-a Ijl I+a--< <--2T - - 2T .

IJl> I+a2T

(2.38)

The inverse Fourier transform or the impulse response is

. (t ) 4at (Ttt )sm Tt -(I-a) +-cos -(I+a)s'et) = T T T

;[I-(~rJ(2.39)

Conform to specification [3], the root raised eosine function in time domain for abandwidth of 3.84MHz and a roll-oft factor of 0.22 is illustrated in Figure 2.22.Additional the impulse response for a=r=O and a=r=1 are plotted. The time-scale isgiven in 10-6 or Ils. The frequency spectrum as defined in formula 2.38 is equallyplotted in Figure 2.20b with the frequency axes in KHz.

1 1-0

(/ ~' \1~_:' ~ Ic08 ~ '\

ossen 1 r=022

04

0'02

tl iJ .~ .ij

-(),2 --4000 -3000 -2000 -1000 1000 2000 3000 4000

--.----'--~-

-(),S O.S

r=O.22

-()4L.1_~_-1.5 -1

O.S

0.8

1.2

~

'0

0.4 - - -I -. \.

02 ,.r=.~., \ r=1.. jI~ALI) "

-()2 - ",.. \., -

1.4

Figure 2.22a Root raised eosine impulse response tor and b spectrum tor r-=a=O, 0.22 and 1.

As we are dealing later with digital filters in a simulation environment, the impulseresponse must be transformed in a digital transfer function. Most implementations

30

Page 36: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

consist of a causal FIR approximation of formula 2.39. A design method is required tocalculate optimal filter parameters for an implementation causing minimal ISI andwhich does not require a large number of delay-taps for a FIR filter. An efficientdesign method based on frequency sampling is described in [8].

2.6 Radio propagation characterization

The development of a communication system requires knowledge of the radiopropagation. The design of a good radio communication system requires propagationcharacterization of the radio channel. To predict the radio channel behaviour isdifficult and in general, a channel model is used, to predict the behaviour in astatistical way using real propagation measurement data.

The presentation of the propagation phenomena begins with examination of signalstrength fluctuations. In the first paragraph, the amplitude characteristics of the signalstrength received of a moving terminal are described. We continue with the multipathpropagation model description. In the next three paragraphs are the temporalcharacteristics of the signal and the power density spectrum from the Doppier shift ofthe received signal treated.

Figure 2.23 Multipath propagation scenario

Signal fading in a radio environment can be decomposed in general into a large­scale path loss component together with a medium-scale slow varying componentand a small-scale fast varying component with a Rician or Rayleigh distribution. Themultipath propagation in the radio channel can be split into small-scale fading effects.Three classified and important effects are:

• Rapid fluctuation of the signal amplitude over a small travel distance or timeinterval.

• On different multipath signals random frequency modulation can occur as aresult of the varying Doppier shifts.

• Multipath propagation can cause time dispersion.The Rician distribution and the Rayleigh fading distribution is used when there is aline of sight or a no line of sight situation between the transmitter and the receiver.The fast varying component is also simply called fading and describes the rapidfluctuation of the amplitude of the radio signalover a short distance or period of time,so that the large-scale path loss effects can be neglected. Due to reflections from theground and surrounding buildings or structures two or more versions of the

31

Page 37: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

transmitted signal arrive at the receiver antenna with a small time delay. Thesereceived waves are calied multipath waves and cause interference at the receiver,such a propagation scenario is given in Figure 2.23. The combined waves give aresultant signal that can vary widely in amplitude and phase.

2.6.1 Path loss

The received signal strength of a cellular mobile terminal is measured as thereceived power from a base station. Each power measurement is an average energy,expressed in dBm, which are decibels in respect to 1mW. There is a general trend ofdecreasing signal power as a result of an increasing transmitter to receiver distance.This reduction obeys an exponentiallaw of the form [9][10):

(2.40)

The received power Pr in dBm is at distance d between the terminal and the basestation. do is a reference distance depending on the type of system and its operatingfrequency. For indoor systems, do is often defined as 1m where outdoor cellularsystems do can vary between 10m and 1km. P(do) is the received power at referencedistance do, the parameter. n is the path-Ioss coefficient varying between 1.5 to 6depending on the environment. For example free-space propagation has a path-Iosscoefficient of 2. In reference [10] are different scenarios given.

2.6.2 Multipath propagation

The channel is modeled as a moving average system that reproduces the multipathdelay paths in the physical mobile communication channel. The model withequidistant delay taps is a theoretical representation from [7] and is intended toreplace a frequency selective channel. The channel coefficients cn(t) can bestimulated with different distributions, for example a Rayleigh fading distribution. Thegeneral form of a transmitted message at the input of the channel is

(2.41 )n

with g(t) representing the binary date sequence {±1} passed through the generalpulse shape filter and transmitted at rate 11T. In Figure 2.24 the truncated tappeddelay line model is shown. In accordance with the statistical characterization of thechannel, described in next paragraphs, the time-variant tap weights Ck(t) are complexvalued stationary random processes.

For the rayleigh fading case, the magnitudes ICk(t)1 are rayleigh-distributed and thecorresponding phases are uniformly distributed. The noise n(t) for this channel isadded after the multipath delay tap model. More theory to the tapped-delay-Iinemodel is elaborated in [7].

32

Page 38: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

g(t)

set) s(t)+n(t)

net)

Figure 2.24 Delay tap Multipath channel model

2.6.2 Large scale fading

Slow variations of the local mean signal strength in an area are called large scalefading or shadowing. This type of fading is caused by the dynamic evolution ofpropagation paths where new paths arise and old paths dissapear as a result of themovement of the terminal. Typically these changes appear due the appearance ordisappearance of shadowings objects on the signal path. Such an environment cansatisfactorily be described with the log-normal distribution [10]. These power levelsvariations occur at large spatial dimensions compared to the wavelength, leading tothe term large scale fading.

2.6.3 Smal! scale fading

Fast changes of the instantaneous power called fast fading is caused by scatteringand the movement of the mobile terminal. The distance of two successive fast fadingmaxima or minima is typically in the order of half a wavelength. Two models are usedto describe the statistics of the phenomenon. For the situation where there is nodirect line of sight (NLOS) path, the Rayleigh density function approximates thebehaviour of the received signal strength. This occurs under the conditions that thereis a large number of incoming waves and the phase angles have an uniformdistribution. The Rayleigh density function is given as

,2

r --2

feE) = -ze Za , r ~ 0cr

(2.42)

with cr2 the short-term mean power, r is the signal amplitude and r/2 theinstantaneous signal power.When there is a direct line of sight (LOS) path from the transmitter, the receivedsignal strength obeys the Rician distribution:

33

Page 39: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

_(r2+A

2 Jr 2,,2 (Ar)f (E) = a 2 e 10 a 2 ,r ~ 0 , (2.43)

where 10 is the modified Bessel function of the first kind and zero order. Theparameter K, known as the rice factor, is the ratio between the dominant signalpower and the total received local-mean power:

A2

K=--2'2a

(2.44)

For a small value of K, almost equal to zero, the rician density function tends to theRayleigh distribution function. For a value K»1 the density function becomes aGaussian distribution. Rician fading is not so severe as Rayleigh fading and theintensity depends on the parameter K.

To summarize the last three phenomena described with distribution functions, whichcause the received signal strength to vary. The motion of the mobile terminal in anenvironment causes scattering. This leads to a Rayleigh (2.42) or Rician Distribution(2.43). The Rayleigh probability density function describes the signal strength if thereis no direct signaI component (NLOS). The envelope of the signal is described with aRician probability density function. In all these probability density functions, theaverage scattered power is a sample of the log-normal or Gaussian random variabie.The expected value of the log-normal function depends on the distance between thetransmitter and the receiver.

Figure 2.25 Received signalof a moving terminal

In Figure 2.25 the amplitude of the received signalof a multipath model for a fading 4case given, defined in [11]. Plotted with a time range of 40 ms obtained with thesimulation environment.

2.6.4 Doppier effect

The movement of the mobile station causes the Doppier effect. This effect describesthe shift of the transmitted signaI in the frequency domain. Since movement isrelative, this effect works for up- and downlink connections. In Figure 2.26 is thebasic configuration shown, describing the Doppier shift as a result of changingvelocity and angle.

34

Page 40: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

PropagationPalh

MobileVehicle Velocity

Figure 2.26 Doppier shift as a function of angle and velocity

As shown in Figure 2.25, the received signal at each point is the result of manyscattered components. At some points on the route of the mobile terminal, the signalcomponents reinforce each other, while at other points they cancel each other out.The signal strength encounters peaks and nulls corresponding to maxima andminima. The separation in space between these maxima and minima is proportionalto the signal wavelength:

À, =~ = 27tcIc wc'

(2.45)

where fe is the carrier frequency and c=3.106 mis is the speed of light. To move intime with a terminal from one maximum to another is proportional to the distance andinverse related to the speed v of the terminal. The maximum Doppier frequency orDoppler-shift is equal to

IJ = ~ . cos(a )À,

with variables v and a as shown in Figure 2.26.

2.7 AID conversion digital receiver

(2.46)

As mentioned in chapter 1, the growing standards require hardware, which can bereconfigured through software. These "software radios" possess analog and digitalhardware, but shift the burden of processing to digital software. Where software canreconfigure the radio to demodulate a new standard, which can be done in principlefrom remote. 8ince digital processing does not suffer the Iimitations inherent inanalog processing, it is required that the signal is converted form analog form todigital form with an analog to digital converter (ADC). The analog signal must beconverted with high fidelity to achieve good receiver performance, yet the analog-to-

35

Page 41: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

digital converter must also meet the goals of low cost and low power to be suitablefor use in wireless portable equipment.

The analog front-end must be wideband enough to pass the widest signalof interestto the digital portion where channel filtering can be programmed. This means that theADC will be required to simultaneously digitise several other signals along with thesignalof interest. In a cellular environment, the interfering signals present are oftenlarger than the signalof interest.

In this paragraph the theory of analog to digital conversion is reviewed with emphasison the distortion mechanisms present in ideal and practical converters, continued onanalysis of analog to digital resolution requirements for the cellular radio receiver.

2.7.1 Theory

To convert an analog waveform into a form suitable for digital computation, theanalog signal must be represented at discrete time intervals by values from adiscrete set. These processes of discretization in time and amplitude are referred torespectively as sampling and quantization as illustrated in Figure 2.27.

Q[x(n)]..Quantizerx(n)x(t)

r---------------I: Sample and Hold :

: ~ :--.......'-_. ---+..---+1, I, ,: Fs=1fT :1- I

Figure 2.27 B/oek Diagram ofthe digitizing proeess

The process of sampling is representing a continuous-time signaI at discrete instantsin time. The signal is usually sampled at uniform time intervals. Mathematically thiscan be represented as a multiplication of the signal by an uniform impulse train:

X s (nTs ) =x(t)8 (t - nTs )' (2.47)

where Xg is the sampled signal, x(t) is the analog signal, Tg is the sampling interval,8(t) is the Dirac delta function defined as:

{I t = 0

8 (t) = '0, t;;j:. 1

(2.48)

and n equal to any integer.Sampling has the effect of replicating the input spectrum in the frequency domain.This occurs at frequency intervals of fgwith

1Is =-.Ts

(2.49)

Three cases can occur as the effect of sampling a lowpass signal with bandwidth W.These cases are fg > 2W, fg = 2W and fg < 2W. Clearly, when the sample rate isreduced to less than twice the bandwidth of the signal, the replicated input spectrumoverlaps the original signal and aliasing distortion results.

36

Page 42: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

For the case if fs ~ 2W the original signal can be reconstructed perfectly from thesampled signal by filtering with an ideal lowpass filter. The three cases are shown inFigure 2.28, where the triangle around the origin represents the spectrum of thesampled signa!. This is the well-known Nyquist criterion for lowpass uniformlysampled signais. To avoid aliasing distortion, analog anti-aliasing filters are putbefore the ADC, to provide rejection of signal components above the Nyquistfrequency. By sampling the signalof interest at a higher rate, the transition bandwidthof the anti-alias filter is increased allowing reduced complexity of the filter.

S(1) S(1)

Figure 2.28 Frequency domain for a over sampling, b nyquist sampling and c undersampling

The process of quantization maps the sampled signal from continuos amplitude withinfinite number amplitudes to a finite precision representation, which can be encodedwith a number of bits. Because some information is lost in the process, quantizationnecessarily introduces an error. The quantization process can be defined, as if thesignal is passed through a memory less stair step non-linearity [12]. For theconfiguration that input thresholds and output values are evenly spaeed, it is definedas uniform quantization. The number of levels is commonly chosen to be a power oftwo to provide efficient coding with binary logie. The transfer function of a "mid-riser"Quantizer and the error as a function of the input level is shown in Figure 2.29a.

Figure 2.29a Output vs. input and 2.29b error vs. input for a uniform mid-riser 4 bit quantizer

The quantization error is defined to be the difference between the quantizedsignal xand the input signal x, as:

e(x) =x -x. (2.50)

When the number of levels in the Quantizer is large and the input signal is sufficientlyrandom, the error as a result of quantization, as Bennett [13] proved, can beapproximated as a random white noise process uncorrelated with the input signa!.Assuming these conditions, the total quantization noise power is equal to the mean­squared error [14]:

MSE = E[e 2(x)] = f(x -x)p(x)dx, (2.51 )

37

Page 43: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

where E[x] is the expectation of the stochastic signal and p(x) is defined as theprobability density function of the input x. The MSE is equal to the variance of theerror (Je

2 for the case that the input signal has zero mean.With one single quantization step equal to .1, the full-scale input range VFS is therange of the input of which the error is bounded by ±M2. A single quantization step is

(2.52)

with the full range divided over the number of b bits. When the input signalof thequantizer is a uniform random variabie within the full-scale range, substitution of 2.52in 2.51 gives

(2.53)

The input signal has no mean and the signaI power is equal to the variance of VFs/12,combining of 2.53 and 2.52 leads to a signal to quantization noise ratio of

SNR = 22b= 6.Ü2bldB (2.54)

The most common implementation does not allow the signal to overflow thequantizer. For this situation the signaI peak level power and the average signaI poweris required. The peak signal amplitude is VFs/2 and the signal peak level power is

(2.55)

Defining 11 as the ratio between the power of the peak level and the average signalpower, gives an average power of

PpK 22(b-l) 112

PAVG =-=---11 11

(2.56)

Using the variance of the error signal, the average quantization signal to noise ratio isequal to:

PAVG 3.22b

[ 1]SNR AVG =-2-=--= 6.ü2b+4.77-IÜ og(11) dB'cr e 11

(2.57)

With this equation an approximate SNR for a variety of input signals can becalculated if the peak to average ratio is known. For a Gaussian distributed inputsignal the peak to average ratio does not exist, since the signal peak is non-bounded.Since the Gaussian distribution is known, it gives the opportunity to choose theprobability of overflow or clipping. By changing the input amplification or attenuation,the variance of the signal can be varied.

An increase of the sample rate of the AID converter leads to a higher processingspeed with a decrease in precision [12]. Over-sampled ADC's tend to achieve abetter performance by using a high rate and linear filtering. The analog waveform is

38

Page 44: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

sampled faster than the Nyquist rate. The several bits can be used to increase theresolution, like a sigma-delta ADC or to construct a single approximation of theoriginal signal sample.

p

Input Signal

Ideal digital filteretter quantization

Quantization Noise Fs=28

-4B -2B -B B 2B 4B

Figure 2.30 Psdf of the input signal and the occurred quantization noise

When the digital signal is filtered after quantization like given in Figure 2.30, it showsthat the total quantization noise power is reduced and improves the quantizationSNR. The expression for the average SNR can be represented as:

SNRAVG ~ [6.02b + 4.77 -IOlog('l) +IOlO{{~)L (2.58)

and with twice the sample frequency or for every octave increase of the samplefrequency, an improvement of quantization SNR with 3dB can be realized. Note thatoversampling can also be used the obtain bandpass filtering [15]. This samplingmethod has a different goal, which is to relocate the radio frequency signaI to abaseband position.

2.7.2 Analog to digital conversion for digital receivers

At the input of the receiver is the sum of the desired signal and the interfering noisesigna!. Overflow of the ADC causes distortion that cannot be modelied as whitenoise. To avoid overloading of the ADC, the Automatic Gain Control (AGC) attemptsto control the envelope of the signal in order to keep the peaks of the signal withinthe full range of the Quantizer. If we model the quantization noise as white and theinput signal is not overloaded, we can express the signaI to noise ratio of the desiredsignaI at the demodulator. With S as the average signal power gives

(2.59)

where VFS is the full-scale or top to top range of the ADC input signa!.The total noise NT is the sum of the channel noise N and the quantization noise Na

39

Page 45: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

(2.60)

and the signal to noise ratio at the output of the ADC is

S S 1= =-----

NT N+NQ N Q 1-+--­

S (S I N)

(2.61)

with SIN the signal to thermal noise ratio at the input of the ADC. The quantizationnoise power with the signal bandwidth B is

112 2BN=--

Q 12 is .(2.62)

By substitution of 2.62 and 2.53 in 2.61 we obtain the total signal to noise ratio:

S 1=-------

NT TI 2B 1----+---3.2 2b

• is (S I N)

(2.63)

Now it is interesting to observe the behaviour of the total signal to noise ratio as afunction of the implemented number of bits and the over-sampling factor, given inFigure 2.32a and 2.32b.

5 10 15 zo 2:5 30 35 40 45 50Input .0,/0 SNR

50

$=108

JOfs=BB:

40fs=48 :

lS

JO~ ~ 20z ti~

~ 15 ..

~ ss ~0 0 10 ..

10

Figure 2.32a SINT degradation by number of bits 2.32b SINT degradation by samplefrequency

Figure 2.32a shows that for a low SIN, the output noise is dominated by the thermalnoise and independent of the quantization noise. At a high SIN the total signal tonoise ratio depends on the quantization noise. This can be translated in that therequired number of bits determines the usabie Signal to Noise range of the receiver.The typical range of interest is S/N~12dB [16]. It shows little degradation and theADC is in the linear range for resolutions of four bits or less. Note that Figure 2.32a isplotted asymptotic for Nyquist sampling with fs=2B. In Figure 2.32b is shown how thedegradation decreases at higher sampling rates. The effective resolution or linearresolution increases at a rate of 3dB or 'Y2 bit per octave of over-sampling. Note thatthese analytical results are under idealized conditions but give an intuition of theresolution requirements. Varying the sample frequency of formula 2.63 gives Figure2.32b.

40

Page 46: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

2.8 The rake demodulator

The optimum combiner given in Proakis [7] is defined as the maximal ratio combiner.For the described model, given in Figure 2.33, is assumed that there are L diversitychannels, carrying the same information signal. The channels are assumed to bestatistically independent and Uk is the attenuation factor, Pk is the phase shift and Sk(t)is the transmitted signaion the k-th channel. The additive white gaussian noise onthe k-th channel is represented by Zk(t).

Combiner

Output decision.---_----L-__--, variables

ReceiverL

Receiver2

Receiver1

S1(t) Channel1U1.e-jp1

Z1(t)

S2(t) Channel2u2.e-jp2

Z1(t)

SLet) Channel LuL.e-jpL

Z1(t)

Figure 2.33 Model of binary digital communications system

The combiner that achieves the best performance is the one that muitipiies thereceived symbols, with the corresponding complex conjugate channel gain Uk.eiPk..This multiplication achieves compensation for the phase shift in the channel and toweight the signa\ by a factor, that is proportional to the signal strength. Therealization of this optimum combiner is based on the assumption that the channelattenuations and the phase shifts are known.

For the model in Figure 2.33, the inter-symbol interference is ignored since everymultipath is transmitted on a separate channel. The general implementation Rakereceiver operates on samples processed by the ADC and the pulse shape filter. TheRake receiver model is shown in Figure 2.34.

r(t) T z'(t)

f(.)dt 1-------+

o

Figure 2.34 Overview Rake receiver - delayed received signal model

41

Page 47: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 2. WCDMA Concepts

Instead of that the transmitted signal is transmitted through different channels, thereceived signal is divided over different delayed branches. The received signal isinterpolated in order to obtain intermediate samples with a rate of 1/Tc or chip-rate.The chips are compensated for the estimated delay 't and can be identified as aselected delay tap line of the fixed delay tap lines in Figure 2.24. The timecompensated signal is correlated with the effective spreading sequence or PN-codeand accumulated over one symbol length. The signals of the individual paths arecombined with approximated weights in order to obtain one estimate for each symbolat the output of the Rake receiver. In order to have a functional receiver, the Rakerequires estimates for the path-delays 't and the channel coefficients C·k. The task ofthe tracking mechanism is to keep track of smal! changes of these parameters. Eachbranch or finger of the Rake requires its own timing tracking loop.

The cross-correlation function of the different PN-codes, show the degree of possibledecomposition of the transmitted signal resulted from interference of different userson the channel. The autocorrelation function, given in Equation 2.25 and Figure 2.16shows the degree of interference as a result of the other multipath signais.

The Maximal ratio-combining algorithm produces an output SNR equal to the sum ofthe individual SNRs of the fingers [17]. The output SNR can be acceptable althoughthe individual signals have a low SNR. This technique gives the best statisticaIperformance for fading of the known linear diversity combiners.

42

Page 48: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 3

Simulation environment

The complexity of electronic systems is increasing at an extreme fast rate. This trenddoes not only create some arduous design challenges but also the time to marketpressure is increasing, since it is crucial to stay ahead or at least not fall behind othercompetitors. Rapid prototyping has become an important means to verify theperformance and feasibility of concepts and algorithms. The main goal is to obtain afunctional verification of a design in a well-defined environment. Achieving thisverification is optimal when extremely long simulation runs can be avoided and thefunctional assessments of complex systems can be improved. This chapter gives anoverview of different tools and how and in which system level they can be applied.The idea is to clear the position of the applied tooI. The utilised simulation tooi, SPWused for this master thesis is explained in more detail to obtain an insight of thedesign environment.

3.1 Tools survey

To observe the levels of abstraction, the concept is to split the design in differentlevels. Experience has shown that designing at the "system level" does not give ac1ear overview. When the level of abstraction is raised, at which hardware designersstart their design; it is much easier to keep track of the system, since the mainconcept is defined. Most designers tend to express the same level of details in onestep. The better way would be, to provide fewer details at the very beginning andhave details added during the design process.

The design mostly starts with a behavioural model with almost no concerns ofimplementation constraints. The first phase is a mix of requirement analysis,functional analysis, algorithm analysis and optimisation of speed and used hardware.Involving a hardware design specialist in this phase can help to define anarchitecture that is easier to implement. Decisions have to be made on hardware(HW)/ software (SW) portioning and real time constraints have to be analysed.

The next step, is during the implementation, to convert this abstract architecture to alogical architecture. The system has to be defined close to the register-transfer level(RTL). The design process in between is mostly based on analysis where eachfunctional block is allocated to a HW or a SW implementation. First the differentinterfaces (Ifs) in between are chosen and than each block is added and will becomemore detailed. These are difficult choices, like which DSP should be picked and howmany.

The SW is targeted to the processors and the FPGA or ASles has been synthesizedin an RTL description, after the communications between the different subparts are

43

Page 49: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 3. Simulation environment

designed like: communication protocols, data conversions between heterogeneousblocks and finally detailed interfaces.

To model all those characteristics, an ideal simulator or language should comply withthe following• Concurrency, real systems may perform different tasks concurrently.• It should be possible to decompose a system into a hierarchy of lower level

subsystems.• Different computation units may process data at different speeds, using different

dock rates, defined as multirate, leading to that asynchronous communicationshould be allowed.

• The system must be able to respond to proper synchronization and timing.In Table 3-1 from reference [18] is an overview given in which system level andapplication most system design tools are used.

dl Ifbt t"d I f tI rtdT, bI 3 1Ptt .a e - ra orypmq an eva ua IOn 00 S so e on tYpe an eve 0 a s rac IonTime Computation HWlanguages SWlanguages

modelSystem Level Transactions Task Graphs, SPW, COSSAP, SOL, Matlab,

Communicating StateCharts, Java, Esterel,processes SOL, Java SPW, COSSAP

Aigorithm and Computational CFG-OFG VHOL, Verilog, C/C++functionallevel steps C/C++, System

CRTL level Clock Cycles FSM, Boolean VHOL, Verilog, ASM,C

equations RT-C

Depending on the level of abstraction, the features are very different, makingcoexistence in one single language rather difficult. SPW is designed as a high-levelsystem language that is Data driven and can simulate synchronous andasynchronous systems. To compare the specification languages, a couple of criteriaare evaluated. The expressive power of a language defines the difficulty or the easewhen describing a behavior. The analytical power is related to the analysis, thetransformation and the verification of the format. Another important aspect is the costof use, a criteria composed of several aspects, such as clarity of the model, relatedexisting tools, standardization efforts, required hosts and the learn-ability of thelanguage.Table 3-2 shows the classification in a tabie, were the first five rows represent theexpressive power from reference [19]. The table shows that signal processingworksystem (SPW) and Communication System Simulation and Analysis Package(COSSAP) are two DSP oriented environments provided by electronic designautomation (EDA) vendors. These are based on a proprietary language and have acost of use, which is quite high because of a lack of standardization and generic toolssupporting these languages. Another disadvantage is the formal verification is difficultto apply as a result of the extensive use of the libraries. Still both environments offera wide variety of libraries and have many portability options to other tools. Incombination with the possibility to insert custom coded source and the possibility toevaluate a design and convert it to hardware language makes it an expedient designutility.

44

Page 50: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 3. Simulation environment

Table 3-2 Classification of some specification languages

HOL SOL Statechart SPW, Matlab C,C++ Java,s, Esterel COSSAP UML, ...

Abstract * *** / ** ** / **Modeling

LevelTime *** ** ** * *** / *

Computation *** *++ *** *** *** *** ***AIQorithms

Specific HW Prato ? OSP OSP, *** ??Libraries cores cols+ Math,

Mechanical

+++FSMs, ** *** *** / ??? ** **

ExceptionsContraI

Analytical * *** ** / / / /Power (Formal

Analvsis)Cost of Use *** *** * * *** *** ???(standard,

learning curve,hosts)

Legend:***: the language is excellent for the corresponding criteria**: the language provides acceptable facilities*: the language provides little help+: coming feature?: Non proved star

3.2 Signal processing worksystem

The environment principally used, is SPW and meant for development of complex,algorithm-intensive digital signal processing (OSP) systems. The system-Ievel designtooi is based on a hierarchical block design approach. It has different special designlibraries like for application-specific communications and multimedia design. In Figure3.1 are the steps shown from a high-level system design to the physical design withinthe SPW environment described by Cadence [20] although software design for aOSP wil! only require the top layers.

45

Page 51: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 3. Simulation environment

I Floating-point algorithm

I Fixed-point algorithm

I Block-Ievel specification

I Hardware architectural RTL

I RTL to gate-level transla

I IC physical design

Figure 3. 1 The SPW design flow

3.3 Workbench environment

The SPW environment consists of different tools. Key-tools within the project scopeare the physical Block Diagram Editor (BOE), the simulation manager, the bloek­design tooi and a signal representation tooI. The BOE is a graphical user interfaceand represents the system. Different blocks and hierarchical instanees can beconnected on a worksheet. The communication between ports of the blocks can bebased on different data types, dimensions and rates. Data types like f1oats, integersor exact specified fixed-point values can be selected and changed to a complex type.There are many data dimensions for example scalar, vector, matrix, image, RGB.The simulation environment is data-driven, implying that the next block wil! beexecuted on the rate of the previous block output rate.

Librer-y/Fi Ie;

ou11

EXPONENTlAL SI nkRANDOM y '- x/

GENERATORDouble0(e~.,.

aol<l

Mu I tLibr-Qr-y/FIIQI

out2DDl..bJe

Ve~B~

l( t - T) + Si nkx 'il'll . out '- x

T = 5e Doubt la

"""". 121<9>0~8)

_..~.I"

Figure 3.2 Examp/e Window Physiea/ B/oek Diagram Editor

46

Page 52: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 3. Simulation environment

A simple seheme with two sourees and two sinks is shown in Figure 3.2. Themultiplier wil! expeet to eapture data on the same rate of both data sourees in order toproduce an output as a result of a data-driven simulation. The simulation managerwil! verify the system netlist and eompiles the language C/C++ to an exeeutable onthe operating system. The results of a simulation wil! be stored in data files, with forexample a sink as shown in Figure 3.2 and ean be evaluated with the signaIrepresentation tooI. With the same tooi, signals ean be generated to stimulate bloeksin a simulation seheme. The different simulation result signals ean be seleeted andare diagrammed with discrete time axis. If further analysis is required, it is possible todo operations on the signals like a cross eorrelation, fast Fourier transformations,interpolations, different types of filtering or make estimations on the average,varianee and so on.

3.4 Custom coded blocks

To test algorithms and evaluate different software implementations, the standardlibraries delivered with SPW might not be suffieient. For software design It isneeessary and the key funetion within SPW to implement an algorithm in ahierarehieal interface environment. These eustom eoded bloeks have the shape of ahardware bloek with different inputs and outputs. This wrapper appears as a symbolon the physieal bloek diagram editor and if opened, it shows a detail with parameters.There are two simulation engines in whieh the bloeks ean be exeeuted. TheSimulated Prepared Bloek Interpreter-based (SPB-I) language is useful for shortsimulations. The eompilation time is shorter and after a simulation, there isinformation available for debugging. A disadvantage of this language is the largeamount of al!oeated memory sinee the eustom code is not optimised.The Simulated Prepared Bloek C' (SPB-C) generates from the work environment acomplete C file and builds this to a single exeeutable file. This eompiled file is alsoexeeutable without the SPW environment, using less memory. Reeently a new typeof eustom eoded bloeks is introdueed. The Polymorphie Bloek (PMB) enables tosimulate both SPB-I and SPB-C languages. Besides this rather eonvenient benefit,the input and output port types are reeonfigurable at run-time without reeompiling thebloek language. The bloeks have also defined their in- and output type at their top­level, whieh make type inheritanee possible, for example to switch between a fixedand a floating-point design.

Term

Figure 3.3 High level view Custom eoded bloek

Sueh an implemented eustom eoded bloek eontains C or C++ and has aeeessibievariables like the ports, state variables and the parameters, shown in Figure 3.3. As asimulation of the complete system starts, the initialisation souree of eaeh bloek isexeeuted. The run souree code will be exeeuted as soon as a port is aetivated byanother bloek. Final!y at the end of a simulation, dynamie memory ean be de-

47

Page 53: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 3. Simulation environment

allocated during the termination source. Since SPW is a high-level system designenvironment, the executed software solutions that does not run real-time, do notconsume any processing-time, like real-time digital signal processors. With theselanguages, the first three design levels can be accomplished, shown in the SPWdesign flow diagram in Figure 3.1.

3.5 Hardware design system

An extension of the system-Ievel design with SPW is called hardware design system(HDS). Whereas SPW is used for designing communications, multimedia and othersignal processing algorithms, HDS is used for mapping these algorithms intohardware architectures and verifying them within the system environment. The SPWand HDS design can be combined. The advantage of evaluating and comparing bothwithin the same environment can be used. The HDS and SPW language can besimulated in parallel thus rather convenient for debugging errors. The mainverification of a HDS design is if the model works bit-exact and is cycle accuratewithin the expected or specified boundaries. Once the HDS system is verified, it candirectly be exported for synthesis into hardware description language (HOL) likeVerilog and VHOL. The HDS testbench actually bridges the gap between system andthe hardware architecture simulation. HOL-synthesis leads to ASJC or FPGA­hardware design verification.

48

Page 54: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4

Implementation rake receiver

This chapter gives an overview of the implementation of the OS-COMA rake receiver.After a general description of the functional blocks of the rake receiver, the hardwareand software components and how these interact are illustrated. The hardware is abit-exact and a cycle accurate model of the final implementation on a FPGA or anASIC. The available software contains no driver, is not run-time optimised but isfunctional and bit-exact to the version running with Real time operating system(RTOS) support on a OSP. The integrated software modules are statically integrated.The implementation aspects of the functional blocks are described to give an insightof how the simulation environment is configured. The aspects of how the hard- andsoftware interact on the multipath channel environment, the Rake receiver and thecorresponding hard- and software specific implementation is explained.

4.1 General implementation

The rake receiver architecture is partitioned in a hard- and a software portion. Thepartitioned Rake receiver has different properties compared to a dedicated hardwarereceiver. The advantage of HW are that ASICs are cheap in large quantities, HW is agood choice to perform simple tasks, has high throughput and little control overhead.The disadvantage though: is the long design-cycle, large quantities are required andare not f1exible for reconfigurations and changes. The advantages of the softwarerunning on a OSP are that it can:• Perform control functions more efficiently• Calculate estimation algorithms• Have a shorter design cycle• Are very flexible.Estimation algorithms are for example required for automatic frequency correction(AFC), timing-, energy- and channel estimation.Oisadvantageous is that the software requires more processing time for parallel taskslike correlations and PN-code generation achieved with shift registers in HW.

In Figure 4.1 is the partitioned architecture given. The received chips from thedifferent antennas are analog digital converted and the data is offered to the antennamultiplex functional bloek. The antenna multiplexer performs the selection of differentantenna data streams to feed to the processing pipeline. With the sample multiplexer,the finger selection and time alignment on sample spacing is achieved. Theinterpolation filter is responsible for final time alignment for fractional sample spacing.The HW control register is a lookup tabie, which is updated every cycle with new HWcontrol settings. The correlators multiply the time-aligned chips for every finger withthe user depended PN-code and the physical depended channelisation code. ThePN-code generator, provides the assigned PN-code for every finger.

49

Page 55: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

Hardware Software

Frequency offsetCorrection

ChannelEstimation

Figure 4. 1 Rake receiver architecture

The correlated data is passed on to the software part of the receiver. The topsidehandles the DPDCH processing while the bottom side the DPCCH processing. Thepilot bits are extracted from the control channel and compared to a specified knownsequence. With this information the frequency offset and the channel estimation canbe estimated in a data aided estimation approach. The frequency-offset estimationcan be used to correct the phase of the data- and control symbols. The channelestimation for every finger gives a phase and amplitude estimate. The pathcombining function uses the time alignment and the channel coefficient estimate fromthe channel estimate to combine the fingers. The frequency offset is corrected beforethe combined soft decision symbol is passed to the channel decoder. The DLL isresponsible for the time tracking based on a synchronization early/late trackeralgorithm.

4.2 Hardware-description

The main hardware tasks are PN-code generation, interpolation and correlation,which are perfect assignments for parallel processing. The hardware section containsno control functions and is fully controlled by the software partition. In Figure 4.2 isshown how the different blocks are connected. The equivalent implementation inSPW is attached in appendix G. Before the antenna-signal can be processed, it isdigitised to 4-bit. The uniform mid-riser ADC is shown in Figure 2.31.The digitised and down converted data form each antenna is stored in the antennabuffer. The antenna multiplexer selects 35 samples out of 96 buffered samples. Afterinterpolation, 16 chips data-windows are processed in the correlators. The correlatorsare a fully pipelined shared resource between all fingers of all users. Still four parallelcorrelators are required to process the early/on-time/late control data and theinformation data. The decorrelated chips are finally accumulated to symbols andstored in four memory areas, later accessible for the software portion. These symbolsare stored finger after finger in the RAM.

Based on the 3G parameters and user requirements of the receiver, the system clockof the hardware is defined. During one system clock-cycle one 16-chip window canbe decorrelated. The Rake receiver must be able to process 16 users with each 8

50

Page 56: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

fingers corresponding to 12B fingers in tota!. The received chip frequency for a fingerdefined by 3G is 3.B4MHz equal to a requested throughput of 3,B4 Mchips/s. Thisdefines the required clock of the processing pipeline as:

{' = 3,84MChips / s .128fingers = 30 72MHz . (4.1)J system doek 16 h' / fi '- C lpS mger

ClockGenerator

SampleMux

Interpo­lator

Correlator

HWcontrol ~-----,register

AddressRAM decoder PPC Bus

Interface

Figure 4.2 Detailed hardware partition rake receiver architecture

The duration of a transmitted chip is equal to

T T 10-2

T =~ = frame = =260,41nse 2560 15·2560 15.2560

(4.2)

and is defined in [21] and shown in paragraph 2.3.2. Conform the 3G-specification[11] a delay spread of maximum 20~s is defined. Calculating the required number ofchips within the delay spread range are BO chips which corresponds with a maximummultipath distance difference between the first and the last finger of

(4.3)

The correlation block process in a window of 16 chips at one cycle. The maximumdistance between two fingers of one user is, defined as the delay spread, equal to BOchips. Both fingers need to be available for processing during one hardware-cycle.To accommodate the specified delay spread, the antenna buffer contains 96 chips.The analog to digital converted signal at the antenna buffer is two times over­sampled. The sample multiplexer can achieve a time-alignment with TJ2 accuracy asa result of two times over-sampling and uses a window of 35 samples from 96available samples from the antenna buffer.

The interpolation filter based on linear interpolation calculates from two samples onechip. It passes 16 chips to the four correlators. The correlators for early/on-time andlate are all spaced by one sample, which explains a requirement of 35 instead of 32samples. The interpolation filter is able to calculate an interpolated value of 1/4th ofthe time space between both samples. By controlling the interpolation value, a timealignment resolution of eight times the chip frequency or TJB accuracy is achieved.

The hardware is controlled by values stored in the HW-control register. The softwareis able to use a tracking mechanism for small path delay changes. For the currentconfiguration by processing with eight times time-alignment resolution, the smallestcontrolIabie resolution is one eight of a chip duration and equals a to path lengthstep-size of:

51

Page 57: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

Ir =0,125·c·Tc =0,125,3,108 ,260,41.10-9 =9,76m. (4.4)

The time-aligned chips are pipeline processed by the correlators who are shared bythe 128 fingers. These four pipelines create for the DPDCH and OPCCH on-time andthe DPCCH early and late partial symbols before accumulation. The time alignedchips are passed to the correlators that can correlate 16 chips in parallel for thecontrol- and data channel. For a data-service with spread factor N smaller or equal to16, it produces 16/N symbols at every cyde. The output of the correlators is stored ina RAM. For a spread factor N larger than 16, the correlations are partially executedand need to be accumulated properly. The accumulator adds Iinearly the correlationswith the past correlation results from the RAM for every finger. With a spread factor Nlarger than 16, the result in the RAM can be used and cleared after N/16 correlations.Linearly the 128 fingers are processed as described here, at 1/10th of a slot, definedas a segment. The segment boundary of the user is mostly not aligned with thesegment dock of the system. When the service uses a spread factor N>16 partialcorrelated symbols can occur. During every segment, these need to be stored andcombined.

The address-decoder maps the HW control register and outputs buffers in theexternal DSP memory space. The software can issue a write or read command incombination with a memory address and pass 8 bytes parallel over the bus structure.The software is able to access the hardware through the memory address map.Table 4-1 shows how the external memory is divided for the hardware controlregisters and the post correlated control and data channels.

Table 4-1 Memory map address decoder

Name Start address Comment(Hex)

Other hardware registers OxOOOOHardware control registers OxOO14 128 fingers control registers of

each 32bit width.Post Correlator Memory OxOO94 128 fingers containing a maximum(OPOCH) of 17 complex data symbols.Post Correlator Memory Ox0914 128 fingers containing a maximum(OPCCH early) of 2 complex control symbols.Post Correlator Memory OxOA14 128 fingers containing a maximum(DPCCH on-time) of 2 complex control symbols.Post Correlator Memory OxOB14 128 fingers containing a maximum(DPCCH late) of 2 complex control symbols.

The hardware control context is updated by the DLL at the end of a slot, where all thehardware control information stored. The hardware control context is split in sevencontrol fields. These are the:• Mux skew,• Interpolation selection,• PN offset,• Operation mode,• Spread factor,• The number of nfolds• User id.

52

Page 58: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

The Mux skew parameter, selects the position of the 16 chips window, which arepassed from the sample multiplexer to the interpolation filter. The interpolationselection parameter, selects the interpolation samples used for correlation. To align areceived finger with the PN-code the parameter PN-offset is used. For trackingpurposes of the required received signaI range with large synchronisation, an extracorrelation operation or one operation less can be issued with the operation modeparameter. The accumulator and the RAM use the spread factor parameter forproper accumulation of the partial correlated symbols. The user id parameter selectsthe corresponding user PN-code for the correlators as described in paragraph 2.3,where different user are distinguished with the PN or scrambling code.In Figure 4.3 are the required hardware clock signals summarized where the systemclock activates all hardware.

I System clock of 30.72MHz I--------- ---------

[ segmen~~loC;~f 15kHZ]

1----::;:::, ';;~;:~:----IFigure 4.3 Hardware clock signals

At the software side, the segment clock is used to start the transfer process of thepost-correlated symbols to the DSP and the slot clock gives the alignment for whenan update of the hardware control settings is required.

4.3 Hardware-/software interface

This paragraph describes how the software and the hardware interact in the SPWenvironment. The simulation environment of the hardware and software is connectedas in Figure 4.4. The hardware is running parallel, based on clock cycles whilst thesoftware operates sequentia!. The information of the actual state of the hardware isestablished by the clock signais. These are slot- and segment clock, as shownbelow, generated by the hardware and passed to the DSP.

RX DSP

~'.~11-"'1

"-.0....0.,..•<.

, 1LY

Figure 4.4 Hardware and software in the simulation design.

53

Page 59: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

It is task of the software to be finished with processing before the next dock cycleoccurs. The complex decorrelated symbols for the data- and the control channel arepassed with latency, represented by the delay, to the DSP once per segment. Toexplain how communication between hard- and software precedes, a timing diagramat the interface is shown in Figure 4.5. The processing cyde starts at the slot dock.Simultaneously the segment dock is activated. When the read/write enable signal isactivated, the software can assign an address to the address bus corresponding thememory-map shown in Table 4-1, where the hardware returns 8 symbols, which fitonto the 64-bit wide bus structure.

SlotClock

SegmentClock

AddressBus

ReadlWriteenable

Data transferHW toSW

Data transferSWto HW

Figure 4.5 Timing diagram hardware -/software interface

During the last segment of a slot, the hardware control register needs to be updatedfrom the software. The read/write enable flag is set inactive and the control bits with acorresponding address are assigned to the data bus and the address busrespectively. The timing diagram is captured during a simulation in SPWand is givenin appendix G.

The interface software runs similar to this protocol. The slot clock, shown at top ofFigure 4.6 starts the slot execution cycle and has a frequency of fs1ot=1.5kHz. Thesoftware updates the DLL settings of the hardware once every dock cycle.

54

Page 60: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

-------------------------------------------------

-------------,--Initial Initial Run-timeSlot Segment Segment

clock functions Function

'-­---------Initial Run-time

Segment Segmentfunctions functions

-------,,-'-~--

Initial Run-time terminateSegment Segment Slotfunctions functions functions

,,III

Figure 4.6 Overview of the time lapse of the executed software

After correlation, the accumulated chips are stored in the RAM where they can beread into the DSP memory. This transfer occurs once during every segment.

To get an overview of what happens during the ten processing segments, theinterface software is split up in four different processing conditions. These are theinitial slot clock functions, the initial segment functions, the run-time segmentfunctions and the terminate slot functionality.During the initial slot clock functionality, when a slot clock signal is received, theoutputs of the DSP block are allocated. When software processed soft decisionsymbols are available, these are passed to the output ports as shown in Figure 4.3.Note that during the first slot cycle, there are no processed symbols available yet andall counters are initialised. On the segment clock signal the initial segment function isexecuted.Before the extended RAM can be read, a small delay is required before the storeddata is stabie. The f1ags for reading the RAM and a corresponding delay counter arestarted. When this counter has reached the delay time, the RAM is stabie to be readfrom. Then the run-time segment functions are executed. At every hardware systemclock, 64 bits are read from the PPC bus. These 64 bits contain 4 complex symbols.The address port controls which bytes are read and the writelread flag is set in read­mode. When the DSP is finished reading all required data, the symbols are passedon to the cyclic post correlation buffer, which is part of processing software. Theprocessing software is called and executes on the condition that one complete slot ofsymbols is available.

4.4 Software-implementation

The processing software has been divided in two parts for the data and the controlsymbols, the DPDCH processing and the DPCCH processing flow.

4.4.1 DPCCH processing

The DPCCH processing modules running on the DSP, process the physical controldata.

55

Page 61: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

I I I I I I I I I I I I I I I I I I I I ISegment : #0 #1 #2 #3 #4 #5 #6 #7 #8 #9: #0 #1 #2 #3 #4 #5 #6 #7 #8 #9:

I I II Received Slot User 0 I Received Slot User 0 ~

I Recei~ed Slot User 1 II I

'il .-------1~ Received Slot User 2 ~

Figure 4. 7 Received slot alignment.

Due to path-delay, a slot is not likely to start at the slot-clock but somewhere notaligned to the slot clock. This is explained in Figure 4.7. During the last segment, theDLL memory is updated. The write/read flag is set on write and the new DLL data ispassed with every system clock cycle to the hardware. This is defined in Figure 4.6as the terminate slot function. A flow chart of the hardware-/software interface isgiven in appendix D.

The correlation results for the early, on-time and late control data consists of 256complex symbols for which the summation has already been performed in thehardware. The received symbols of the user are most Iikely not synchronized with theslot cJock of the receiver. In Figure 4.7 is shown how the slot is received andprocessed by the hardware. The segments corresponding to one frame are shifted intime. In order to process a slot correctly, one complete slot of data and controlsymbols must be available as described in paragraph 2.3.2. The DPCCH software iscalled every segment but waits until a complete slot is arrived to in order to startprocessing.

r-------------

IIIIIIIIIII

____I

-------i--------------------------------------

Descramble

-------1-------------------------------------AFC Pilot extract Finger

Control ---. and ---. energysymbols summation computation

~

Channel Channel DLLestimation ~ estimation +- computation

filter

-------1--------------------------------------Frequency DPCCH Finger

offset ---+ Path -- Managmentestimation combining

--------------------------------------i-------

Users

Fingers

1"-------------I

: UsersIIIIIIII

Figure 4.8 Control symbol algorithm processing scheme

56

Page 62: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

The tasks are split according to the available processing time slice. A part of theprocessing is done per user and other per finger, given in Figure 4.8. Thedescramble function uses as input all DPDCH and DPCCH data from the buffer,which is a direct copy of the RAM of the hardware. It maps all available fingers intothe correct user structure, containing the DPDCH and DPCCH symbols.

Automatic Frequency ControlThe Automatic Frequency Control (AFC) is performed on a per finger basis and

Q/Symbol

II

Figure 4.9 Auto frequency correction algorithm

performs frequency correction of the control symbols during DPCCH processing. Thecorrection of the vector is obtained from the estimation done by the user frequencyerror estimation of the previous slot. Normally the AFC requires eosine-sine look uptables, which are not preferred due to memory use. Instead an algorithm is usedbased on complex multiplication. Instead of a complex rotation an iterative proceduremoves the symbols by multiplying with a fixed correction vector or unity vector asshown in Figure 4.9.

Pilot extract and summationThe pilot extract and summation function muitipiies the received complex pilot patternwith the expected and at the receiver known pilot pattern defined in the specification[21]. The pilot patterns can vary for a service from 3 to 8 DPCCH symbols. Theexpected pilot pattern is always on the imaginary axes. Instead of a vectormultiplication, it is only required to multiply both complex received parts with theexpected imaginary part. The results are summed for one slot and used later for thechannel estimation.

Finger energy computationThe function finger energy computation, calculates the energy for the early, on-timeand late DPCCH symbols for each finger. The control data energy can be calculatedcoherent if the phase and amplitude information of the symbols are available andnon-coherent if the amplitude information is known. The TFCI and FBI symbols donot have a repetitive structure and are used to form non-coherent energy sums:

N-\ N-\

ENe =L:(S,[nD2 + L:(Sj[n]Y .n=O n=O

(4.5)

The TPC symbols can be added coherently because it is repeated from a singlevalue. The pilot bits are alternating but known values, multiplied with their knownsequence, they can also be summed coherently. The coherent energy is of the form:

57

Page 63: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

(N1 ~2 (N 1 ~2

Ec = ~Sr[n]) + ~Sl[n]) (4.6)

The summation is done for the pilot symbols in the pilot extract and summationfunction. Now the finger energy is calculated. For each input symbol type the non­coherent and coherent sums are formed and the results are combined into aweighted sum of the following form:

where Ware the corresponding energy weights.

DLL computationThe DLL computation module tracks the timing of the fingers based on the early andlate energy computation. This is based on the cross-correlation function between thePN-code x[n] and the received signaI y[n] :

(4.8)

with En the expectation operator. The power density function of the cross-correlationin the z-domain of both signals is:

00

PXy(z;r) = LPxy['"C]z-' (4.9)

Note that in formula 4.9 that if Pxy is monotonously increasing, Pxy is monotonouslyincreasing and vice versa .. The difference in the early and late energy is equal to theinstantaneous power and proportional to the error in the timing of the on-timesymbols. To obtain the best possible timing for the on-time samples, the difference isused to control the interpolator window of the hardware. During every slot it performsthe task of updating the finger offset. The results of the finger energy computationmodule are filtered. The offset of the fingers on antennas of the same sector isperformed together and the DLL combines this energy.

'tearly 'ton-time 'rlate 'tear1y 'ton-time 'rlate • 'tear1y 'ton-time 'rlate 't

Figure 4.10 Cross-carrelatian far Pxy{rearty]= P xy{'late], P xY{'earty]<P xy{'latel and P xY{'earty]>P xY{',ate].

In Figure 4.10 are three cases shown. In case one the PN-code and the receivedsignal are synchronised. The instantaneous power at T=Tearly is equal to that on T=Tlate.

In the second case, the power at T=TearlY is smaller then at T=Tlate. The on-time

58

Page 64: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

symbols are not decorrelated at their maximum energy and due the increment of themultipath, the interpolation window needs to be shifted to the right. In the last casethis is vice versa. Note that P xy['tearly]<P xy['ttate] results in that P xy['tearIY]<P xy['tlate] andvice versa.

Channel estimationTo obtain the channel estimation, the amplitude and phase of the pilot extractfunction are taken. The Pilot energy amplitude is shifted 90 degrees to the in phaseaxes. The channel estimate can directly be used to weight to control symbols andhave to be rotated 90 degrees for weighting the data symbols.

Channel estimation filterThe channel estimation filter is an autoregressive moving average filter based on aButterworth response filter described in [22] and shown in Figure 4.11. This filter isoptimised to calculate the current channel estimate from the summed pilot bitsenergy.

bo

u[z] -------I1Of-+-t--------r----t>f

Figure 4.11 /IR channel estimation filter

The difference equation from the channel estimation filter equals:

1 2

s[k] = - L:aps[k- p]+GL:b,u[k-l].p=O '=0

The system function of the channel estimation filter equals:

s[z]

(4.10)

(4.11 )

59

Page 65: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

'::0

Pole-zero map

Real Axis

f:j •. mfm1lf];jo 100 200 JOO 400 SOO 600 700

Frequency (Hz)

t,~J~o '00 200 JOO 400 500 600 700

Frequency (Hz)

Figure 4. 12 Po/e-zero map and frequency response of the channe/ estimation filter.

Evaluating the pole-zero map and the frequency response of the filter, given in Figure4.12, shows that it is a low pass filter with a dc-gain of 0.09428. Fast changes will beattenuated and slower changes have large influence on the current channelestimation. The filter is a feed-forward correction or estimation filter probably,although due a lack of documentation not given, optimised to the frequency responseof fading channels.

Frequency offset correctionThe frequency-offset error is calculated per user, by the user frequency errorcomputation function. The error detection signals of the individual fingers are scaledand combined to update the user frequency error estimate. The frequency error ofthe individual fingers is computed in the AFC estimate function of the controlsymbols. After multiplication with a factor called the AFC-gain the result is added to avariabie called numeric control oscillator, which is used in the path-combiningfunction.

Path combiningThe DPCCH path combining function combines the fingers using the channelestimates for each finger. In paragraph 2.8, the maximum ratio combining is clarified.The implementation uses the complex conjugate of the channel estimation to weightthe fingers. The weighted fingers are summed to give maximum SNR.

Finger managementThe finger management function keeps an update on all the existing fingers anddrops weak fingers. This function needs an input of the searcher module. Without thesearcher, the numbers of fingers are fixed. For a birth-death measurement accordingto specification [11] this implementation is required.

4.4.1 DPDCH processing

The DPDCH processing routine is rather short. It processes every user, and movesthrough every finger doing multipath combining similar to the DPCCH processing withthe according channel estimate per finger and subsequently the symbols arefrequency corrected. Both operations are identical as during DPCCH processing butin reverse order.

60

Page 66: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 4. Implementation rake receiver

4.5 Software-interface

The scheduling software comprehended the in the SPW run program which activatesthe integrated chip-rate processing modules. The interaction of the scheduling- andprocessing software and the hardware bus is given in Figure 4.13.

I Chip rate processing Software modules ICyclic

-------------- ---------- -----------------Sëg-mënfBu~r-

I Transfer Postcorrelation Data I User pool

MirrorHardware RAMI SPW scheduling software II

Post correlated Control-----------syrn6ö~---- --------------1{egîsfer --------------

I Hardware Bus structure II

Software interface

Software - hardwareinterface

Figure 4.13 Software integrated layers

The statie scheduling software communicates over the software-hardware interfacewith the bit exact and cycle exact model of the hardware. The timing and interactionover the bus or the hardware-/software interface is explained in paragraph 4.3. Fromthe software scheduling side a DLL table is administrated. This contains all hardwarecontrol flags as described in paragraph 4.2. During one clock cycle, the table isconverted to a vector with 128 values and passed over to the 64-bit bus structure tothe hardware. Every value in the vector contains the different hardware controlregister fields for every finger and is bit-wise combined in one value.The post-correlated data read with the scheduling software during every segment ismirrored in the DSP memory. Over the software interface the mirror of the post­correlated data and control symbols are passed to a function, which transfers thesymbols in four cyclic buffers with a size of 1.5 slots. The four buffers are required tostore the DPDCH on-time symbols and the DPCCH early, on time and late symbolsand are cyclic to minimise the memory usage when used later in a real-timeenvironment. The chip-rate processing software modules access the buffers, asdefined in Figure 4.13 at the software interface.The scheduling software contains a structure, defined as the 'user-pool', which keepstrack of the available users and fingers. It contains parameters like the spread-factorand on which antenna a finger is received. It is also capable of storing the partialprocessed finger symbols and finally contains the maximum ratio combined softdecision symbols. During initialisation, these variables are defined. Theseparameters are passed directly through the software interfaces when the chip rateprocessing software modules are activated.After processing the 'user-pool' structure contains the combined symbols and isaccessible in the scheduling software. The scheduling software is wrapped in theSPW custom coded block and passes the soft decision symbols, once a slot, to theoutput ports shown on the right hand side in Figure 4.4.

61

Page 67: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5

Simulations

5.1 Link configuration

The simulation set up for the rake receiver in the signaI processing work systemenvironment is given in Figure 5.1. On the left, transmission data is generated with arandom generator. This is the data that is produced by a user in a real time systemand is already processed by the channel encoder. The control data, which containsthe control fields, as described in paragraph 2.3.2 is generated in the control souree.The transmitter modulates the signal into different waveforms and configures it withthe parameters spread factor, PN-code-mask and the power ratio between theDPCCH and DPDCH. The signaI is up-sampled with factor two and bandwidth limitedwith a root raised eosine filter. The chip-rate or message energy is adjusted with again that is fixed by the receiver to get a scaled value for the power density ratioEt/No.

TX Channel RX

Figure 5. 1 General setup link performance

The channel can be configured for different channel modeis. The antenna receivesthe distorted signal from the channel. The root raised eosine filter n wil! discard thefrequency regions with no message information. The amplifier operation G' adjuststhe signal to a usabie level for quantisation and is transferred to the rake receiver.The error probability is estimated by comparing the processed user data and adelayed version of the transmitted user data.

5.1.1 Pulse shape filter design

The transmission signal is bandwidth limited by the pulse shape as described inparagraph 2.5. The pulse shape design is a root raised eosine filter. For thesimulation environment a time-discrete filter model is required. In real worldenvironments can be chosen between an analog filter in front of the ADC or a time­discrete implementation after analog to digital conversion. An analog anti-aliasingfilter, in before the ADC at the receiver front-end is required for the time-discrete

63

Page 68: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

matched filter implementation. In Figure 5.2 is the finite impulse response (FIR) filtershown. Note that a complex filter is used in the simulation environment where allcoefficients are rea!. The real- and imaginary parts are filtered separately.

u[k]

I------,----~---!~----' I

bN ~IIIIII

'-------------------+HI-l.-------------..!

s[k]

Figure 5.2 F/R filter strueture

For the filter model, which is a FIR system, the following difference equation can bewritten:

N

s[k] =2) pu[k - p] .p=û

The system function of the FIR filter is:

(5.1)

(5.2)

With Matlab the root raised eosine impulse response can be calculated for therequired filter.

Souree file 5. 1 Mat/ab souree for root raised eosine design

%-------------------------------------------------------------------------% System Definitionsfmax=3.84e6;fs=2*fmax; % Sample frequencyTs=l/fs;n=64; % Number of filter tapsr=O.22; % Roll-off factorfcutoff=(fmax/2) ;%-------------------------------------------------------------------------

figure(l);ovhrrc=firrcos(n-l,fcutoff,r,2*fs, 'rolloff', 'sqrt'); % Calc. impulse resp.for I = 1: (n/2),

hrrc(I)=ovhrrc(2*I); % Make function evenendfreqz(hrrc,1); % Plot freq. resp.title('Root Raised Cosine FIR with r=O.22, n=16'save raisedcos32ovs8.mat hrrc % Save values%-------------------------------------------------------------------------

64

Page 69: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

In the souree file given below is shown how it is practically achieved. Note that theraised eosine function in Matlab gives an odd function. Such an impulse responsewould give large ISI. The function is made even by designing a 32-tap filter andtaking every second coefficient. The coefficients for the FIR filter are given in Table5-1 and can be imported with the signal calculation tooi of SPW.

ese - mpu se response valu

Nr. Value Nr. Value

1 0.001152 9 0.2346542 -0.01308 10 0.061652

3 0.003722 11 -0.049954 0.025115 12 -0.016325 -0.01632 13 0.025115

6 -0.04995 14 0.003722

7 0.061652 15 -0.01308

8 0.234654 16 0.001152

Tabl 5 11

The frequency response of the filter design can be used for verification and is shownin Figure 5.3. The normalized frequency is equal to the half sample frequency. Thecut-off frequency as shown in the figure is 3.84MHz.

o Root Raised Cosine FIR design ""h <=0.22, n=16~

1::[7':.:.:. -'1yY'Y\: •.l:: -GO - - -' - - - - - -liP " .

:::E -80 ; " -, -, ' ,- , - F - ,-

-100 ~-

o 01 0.2 0.3 0.4 0.5 0.6 0.7 Q.8 0.9 1Normalized Angular Frequency (xx rads/sample)

L:L··:··~'· .•j ·1500 - _. - -0. ,

-200°0 -0~1 02c--0.~3-0~4~0.5~0c-6--cO~.7~0.B~0.9~1

Normalized AnguJar Frequency (xJ't radsfsample)

Figure 5.3 Frequency response FIR

5.1.2 Transmission power

The link level performance is conducted with a channel that is distorted by themultipath propagation and the addition of white gaussian noise. Sealing to the inputof the demodulator is required to compare the performance for a different spreadfactor. To compare the results with the complete transmission chain includingchannel encoding, the transmitted energy is scaled the information bit energy.

65

Page 70: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

The transmission channel is shown in Figure 5.4.

TransmittedSe(t)

Channel model

Multitap /\Delay \.. ,/Model

Receivedr(t)

Noisen(t)

Figure 5.4 General channel model

The received signalover the signaling interval T can be expressed as:

r(t) = sc(t) + n(t),O ~ t ~ T , (5.3)

where n(t) is the additive white Gaussian noise process with a two sided spectraldensity of NoI2. The power spectral density is equal to:

(5.4)

In the 3GPP specification [11], the AWGN generator energy No is defined equal to ­84dBm/MHz. The chiprate or the rate of the transmitted waveforms on the channel is3.84 Mbps. n(t) denotes a sample function of white Gaussian noise per transmittedchip during a period of T. The power during this period of time of n(t) is equal to -114dB. The logarithmic relationship of signaI to noise ratio (SNR) is defined as:

(5.5)

with Ps and Pn of the transmitted message and the additive noise respectively. Forthe statie AGWN channel the transmitter power has to be kept constant for thecoding rate and the moduJation scheme. In Figure 5.5 is the transmitter and noiseenvironment shown.

b,rb Channel Es, rs Ee, re fT\----. Encoder Modulator '--V

No

Ps, E

Figure 5.5 Transmission chain of transmitter and AWGN channel model

The power density ratio at the input of the channel encoder is equal to:

Eb=~No rb·No

(5.6)

with rb the information bit rate in bitsIs and Eb the energy per information bit. The bitenergy Eb is calculated from the message energy or chip energy and the number of

66

Page 71: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

information bits in the message. The energy of a time discrete signal in general isdefined as:

(5.7)n=-OO

Shannon's equation as defined in 2.7 yields also for a complex transmittedinformation signaI as:

s[n] = a[n] + jb[n]. (5.8)

At the input of the channel encoder are information bits with energy Eb and on theright output is the physical channel. signal with energy Es. The Energy of the physicalchannel is:

rs rs

Es = ~]a[n] + jb[nf = La 2 [n] + b2 [n] = rs(a 2 [n] + b2 [n]). (5.9)n=O n=O

The information channel with the same amplitude, the energy of the information bitscan be described as:

rb rb

Eb = Llc[n] + jd[nf = Lc[nf +d[nf = rb(c[nf +d[nf)·n=O n=O

(5.10)

The absolute values of a[n], b[n], c[n] and d[n] are equal to one. To derive therelationship between Eb and Es, normalized to No, by dividing Equation 5.10 with 5.9gives:

Eb rb EsNo = rs " No

(5.11 )

The relation between rb and rs is defined as the coding rate. In a similar way can bederived that the relation between the transmitted energy Ec and the channel encodedsymbol energy Es is :

Es _ rs Ec _ 1 Ec

No - rc

" No - SF' No '(5.12)

where SF is the spread factor used during modulation. For an example we calculatethe uplink configuration for a 384kbps data service as specified in [3], given also inTable 5-2.

67

Page 72: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

Table 5-2 3GPP Reference Measurement channels far uplink Dedicated Channel

Parameter OCH for OTCH lOCH for OCCH Unit

DPDCH Information bit rate 12.2/2.4 64/2.4 144/2.4 384/2.4 2048/2.4 kbps

Physical channel 60/15 240/15 480/15 960/15 960/15 kbps

Spreading factor 64 16 8 4 4

Repetition rate 22/22 19/19 819 -18/-18 -11-1 %

Interleaving 20 40 40 40 80 ms

Number of DPDCHs 1 1 1 1 6

DPCCH Dedicated pilot 6 bit/slot

Power control 2 bit/slot

TFCI 2 bit/slot

Spreading factor 256

Power ratio of -2.69 -5.46 -9.54 -9.54 -9.54 dBDPCCH/DPDCH

Amplitude ratio of 0.7333 0.5333 0.3333 0.3333 0.3333DPCCH/DPDCH

In the specification [3] for the 384kbps services, the information bit rate rb is 384kbpsand the physical channel bite rate rs is 960 kbps. The modulator spreads the physicalchannel signal with a spread factor different for a certain service. This coding rate isan equal energy density addition to the transmitted signa!. The spread factor, definedin [3], for the measured service is equal to four. In the simulation environment, thechannel is modelled with double oversampling. To calculate the information bitenergy over the transmitted bandwidth:

Eb =!i-._1_. Ec = 384kbps .~._E_c=_1 ._E_cNo rs ' SF No 96ükbps 4 No 10 No

(5.13)

To scale Eb in Ec during the simulation, the transmitted message signal power mustbe attenuated with 10 dB/Hz. These transmission conditions correspond to sealing atransmission complete baseband system including channel encoding. The properway to check the configuration is to analyse the power spectrum density functions.

------"\'jii)ln'fIYln

-'000000 -2000000

-140

-160

-180

-200

2000000 '000000 -<1000000 -2000000 2000000 4000000

Figure 5.6a PSDF transmissian signal 5.6b PSDF additive naise signal

In Figure 5.6, the PSDF of both functions are given for the example. On thehorizontal axis, the frequency and on the vertical axes the energy in dBm/MHz. Sincewe are calculating in respect to the noise level, using the result of 5.13, thetransmission signalover the transmission bandwidth must be:

E = - 84dBm _ lOdB = -114dB _ lOdB = -154dBm/c Hz Hz MHz MHz / MHz

(5.14)

and corresponds to the level shown in Figure 5.6. Note that the oversampling energyis not taken in account. The noise level is

68

Page 73: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

N - - 84dBm _ - 144dBm/0- Hz - IMHz'

as defined in [11].

5.1.3 Receiver gain estimation

Chapter 5. Simulations

(5.15)

The received signal is filtered with the root raised eosine pulse shape filter and needsamplification before quantification. Shown in Figure 5.7 is the receiver front-end.

n RX

Figure 5.7 Front-end receiver

The analog-digital converter can optimal sample the baseband signal if the amplitudeis scaled in such a way that the available resolution is used and the largestquantisation level is minimal exceeded. This approach is not feasible because theSNR is mostly smaller than OdB. When the noise level is larger than the transmittedsignal, clipping of received signal at the ADC is unavoidable. The gain correspondingto an optimum performance is determined with an empirical approach. By sweepingthe input gain over a longer period of time, the link performance for a statie channel,using a 384 kbps information channel, can be compared.

Figure 5. Ba Link performance vs. gain 5. Bb. Error vs. input gain

In the bit error plane in Figure 5.8a is shown how the performance is measured fordifferent input gain parameters. In 5.8b, the error compared to an analytical obtainederror probability is plotted. The gain Grx has a range from 19 to 23 dB on the axis.The total gain consist of

(5.16)

with Lch the compensation for the channel attenuation and Lav, the gain tocompensate the over sampling coding rate. The minimum of the sum of the absoluteerrors between the measured curves and the analytical curve, gives the optimumgain. The optimum gain value is determined at 21 dB. For a large gain the error

69

Page 74: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

increases as a result of overload distortion and for a small a gain the errors increasedue of using less resolution.

Figure 5.8b shows that the error varies with a pattern for a fixed gain. For the largeEt/No at 10 dB, the full-scale quantisation range of the ADC is used. The basebandsignaI is optimal quantised, leading to a good performance. The error becomeslarger, when there is less resolution used until Et/No is approximately 4dB.

The absolute number of errors measured during the link performance for Et/Nosmaller than 4dB, as a result of the thermal noise during transmission, is large. Theperformance degradation as a result of quantisation noise is negligible for this range.This explains that the distance between the measured curves and the analyticalcurve is decreasing on the lower halfaxis of Et/No.

To evaluate the noise amplitude at the input of the ADC, the noise variance for theoptimal gain is calculated:

21

cr~ =1010 =125.89. (5.17)

Note that the receiver gain Grx of Equation 5.16, is the only term that is not used asan input attenuation and output gain of the channel. The ADC clipping levels are 7and -8. The probability that the ADC is overloaded can be calculated with theGaussian distribution function. In Figure 5.9a, the clipping levels -8 and 7, theGaussian distribution peak for a variance equal to one and Gaussian distribution for avariance of 125.89.

o,====--~---"--,~,----,-----'--c--'---~~==J-25 -20 -15 -10 -5 0 5 10 15 20 25

x

0.35

0..3

o.25

rE 0.2<L

0.15

0.1

005

O:r0.8

07

0.6

§O.50.4

0.3

0.2

0.1

0-25 -20

)-15 -10 -5 0 5 10 15 20 25

x

Figure 5.9a Gaussian probability functions 5.9b Gaussian distribution functions

In Figure 5.9 b, the Gaussian distributions functions are shown, with the steepdistribution function of a variance of 1 and the rising distribution for a variance equalto 125.89.

The probability of clipping due to noise is 2.664e-01 for values smaller than -8 and2.37ge-01 for values larger than 7. The total overflow distortion probability is 50%.

Calculating the amplitude of the baseband signaion the full-scale quantisation range,leads to analysis, if the baseband signaI is in overflow. With the DPCCHIDPDCHamplitude ratio G given in Table 5-2, the amplitude of the transmitted data channelcan be calculated and is 0.75. For the maximum measured Eb/No, the maximumreceived amplitude of the transmission signal offered to the input of the ADC is:

70

Page 75: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

21

lAl = _1_ .10 20 • = 8.415l+G

Chapter 5. Simulations

(5.18)

The result of Equation 5.18 shows that the ADC is slightly in overflow. Verification ofthe ADC in the simulation environment leads to similar results for the thermal noise,this is shown in Figure 5.1 Oa and 5.1 Ob.

70000.00

60000.00

50000.00

[ 40000.00

.r 30000.00

20000.00

1000000

O.OO~-0 0 0 0 00 0 0 0 00 0 0 0 00 0 0 0 00 0 0 ~ ~N

Interva~

BOOOOO.OO

700000.00

600000.00

~ 500000.00

~ 400000.00

û:300000.00

200000.00

100000.00

0.0000000 0 0 0 0 0 0 0 0 00000000 0 0 0 0 0 0 0 0 00000000 0 0 0 000 0 0 00000000 0 0 0 000 0 0 000

~ ~ ~ ~ , 1 ~ ~ 0 ~ N ~ ~ ~ w ~

Intervals

Figure 5. 10a Histogram ADC input 5.10b Histogram ofADC output

Comparing Figure 5.10a with 5.10b shows that only a small part of the amplituderange is quantified, containing the information signa!. Figure 5.10a shows theGaussian distributions around the BSPK modulated baseband signal levels. Ifassumed that under no clipping conditions, the number of -8 and 7 values occurequally as the values -7 to 6, it can be concluded that the number of clipped valuesare approximately 50% and still gives the optimal link performance results.

Note that the noise is heavily c1ipped, which leads to non-linear effects for the softdecision symbols. This can result in that the channel decoding process does notachieve the same performance as a result of this non-linear distortion.

5.2 Statie ehannel

5.2.1 Theory

The main goal is to prove the functionality of the rake receiver and occurringdegradations as a result of hard- end software Iimitations. Before such simulationsare useful, it is relevant to have a reference of the expected results.

The in the 3G [3] specified modulation method PSK results in a M-ary digitalcommunications system. This finite set of signais:

(5.19)

are used to transmit the information signa!. These geometrie terms make it possiblewith the Gram-Schmidt procedure [7][23], to analyse the digital transmissionperformance. The signals are transmitted with equal likelihood in a contiguous

71

Page 76: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

interval Tc and send through a channel with additive white Gaussian noise of a twosided power spectral density No/2.

Q

-2

+

-1

-1

-2

Figure 5. 11a Scatter diagram TX in SPW 5. 11b IIQ code constellation

A scatter plot of the transmitter is shown in Figure 5.11 a, measured at the output ofthe transmitter in the SPW simulation environment. Note that the eight signalconstellation points are a result of the PN scrambler, which sweeps the constellation90 degrees on every transmitted sample Tc. The coherent signals spanned by theorthonormal basis of the 'In-phase' and the 'Ouadrature' axes, consists of fourconstellation points, shown with plusses and crosses in Figure 5.11 b. ...;;: representsthe transmitted signal energy. The actual data signaI is represented by the In-phasecomponent. The normalized data signal Sd with the power ratio G defined in [3] andin Table 5-2 can be expressed as:

SJ =_1_~.l+G

(5.20)

This means, there is only a part of the transmission energy used for the informationchannel and the control channel can be seen as an overhead signa!. To express theenergy of the transmitted information channel Es relative to the total transmittedenergy Es', the energy is normalized, with the amplitude ratio G, to:

Es '= 1 2.E .l+G

(5.21 )

Formula 5.11 shows that the coding rate gives the relation between the symbol- andthe bit energy. Since the channel encoder is not available in the chip-rate linkperformance chain, the coding rate energy needs to be taken in account to calculatethe symbol error probability scaled on Et/No. Examining the transmission [1][23][24]of the information channel, it is based on BPSK and the probability of an bit error isdefined as [7][17]

(5.22)

with the 00 operator defined as Markum's O-function, described in more detail inappendix B. Using the energy relation in Equation 5.11 and taking in account theenergy of the information channel (5.21), the probability of the bit error Pe can beexpressed as:

72

Page 77: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

(5.23)

Markum's Q function Q(z) can be approximated using the complementary errorfunction erfc(z). The relation between both functions is:

(5.24)

where the Q-function is available in different mathematics software packages. InTable 5-3 the expectations for all single physical channel services are calculated. Inappendix E are the values shown in tables in order to calculate the bit errorprobabilities.

h t f h. I1', bI 5-3 C I I t d I fIa e acua e va ues or a smqJe pat s a IC c anneEb/No 384kbps 144kbps 64kbps 12.2kbps

-3 2.74014E-01 3.01449E-01 3.24126E-01 3.57904E-01-2 2.50150E-01 2.79706E-01 3.04376E-01 3.41457E-01-1 2.24747E-01 2.56253E-01 2.82878E-01 3.23357E-01o 1.98070E-01 2.31214E-01 2.59662E-01 3.03536E-011 1.70530E-01 2.04822E-01 2.34835E-01 2.81967E-012 1.42705E-01 1.77450E-01 2.08615E-01 2.58683E-014 8.93385E-02 1.22077E-01 1.53559E-01 2.07524E-016 4.52227E-02 7. 12932E-02 9.92697E-02 1.52427E-018 1.65270E-02 3.24555E-02 5.27634E-02 9. 82206E-02

10 3.64489E-03 1.00678E-02 2.07882E-02 5.19508E-02

The calculation The BER graph expresses the number of decision errors of theinformation bits as a result of the transmission channel. The expected BER graph isshown in Figure 5.12.

1,E+OO

ct::wen

1,E-lll

1,E-ll2

--.

r-- - §i;~~

~ ==:x-----.

---.... ........ ........I ........ .~

~ ........... ...........

'""-.. ....... "_. ""- "'" ~===!===",..

~e-- -+-384kbps.

.--'t=e--

_144kbpse--

"- -

"-- -A-64kbps- ~12.2kbps

1,E-ll3

-3 -1 gEb/No

Figure 5. 12 Theoretical performance of a single path static channel

73

Page 78: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

Note that described probability of the error does not take into account error in theestimation of correct timing like the synchronization of scrambling code and thephase recovery.

To verify the calculated results, SPW offers standard building blocks to configure acomplete 3G compliant transmission chain. The communication system is based onf10ating point accuracy simulation.

9 Eb/No-1

C----_

---- - _._~

--- -_.- -- -----_... - ----

--- ~ ~

-..,~t:==G~r--

--

-- ....... -.......--.. ............ ............ -

------. "'-. "- -

~ ~ ~~_._,--_._- I "--_.._.-

~ ~= --+-384kbps---------- _144kbps------

"--

---.-64kbps---

"---

- ~12,2kbps

Ii

l,E-02

1,E-03

-3

1,E-01

l,E+OO

Figure 5.13 Error probability of a Cadence system (C) and Analytic values (A)

The simulation results with the standard simulation blocks of SPW will give quite anaccurate result. The simulation results can be found in appendix F and Figure 5.13shows that almost no difference between the cadence system and the expectedanalytical values.

5.2.2 Results

The simulations of the fixed-point rake receiver take up a large amount processingtime on the available workstations. To test the concept of the system, it is primarilynot required to test all services. The two services for the information bit rates of384kbps and 64 kbps have been chosen for simulation. Both differ in spread-factorand DPCCH to DPDCH power-ratio.

Table 5-4 shows statie AWGN channel simulation results for both services. Theperformance-graph and more detailed simulation results are given in appendix F.2.Based on the student t analysis elaborated in appendix F.2, the reliability is derived.The probability that these values deviate more than 2.5% is smaller than 10.78% forthe 384kbps information bit channel. For the 64kbps information bit channel, theprobability is smaller than 15.55%. The smaller the bit error, the less accurate is themeasurement. Compare for 384kbps the total number of errors are 1029 of 245456information bits measured at Et/No=10 dB and at Et/No=-3 dB the number of errorsare 67490 of 245456 measured information bits. The reliability of the simulationresults can be seen valid and accurate.

74

Page 79: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

Table 5-4 Statie ehannel simulation results

Eb/No 384kbps 64kbps-3 2.76339E-01 3.26147E-01-2 2.53104E-01 3.06965E-01-1 2.27967E-01 2.85794E-01o 2.02550E-01 2.62310E-011 1.75204E-01 2.37090E-012 1.47075E-01 2.10851E-014 9.19919E-02 1.55918E-016 4.64434E-02 1.01332E-018 1.72520E-02 5.46013E-02

10 4.30601 E-03 2.22763E-02

5.2.3 Verification and evaluation

Comparing the statie channel results with the expected results, it shows that theabsolute bit error rate difference is rather constant. The relative error is increasingwith the signal-to-noise ratio. The finite number of bits or quantization noise, whichthe rake receiver uses to process the received signal, causes a part of this constanterror. Using the linear relation in Equation 2.63 combined with Equation 5.23 gives:

Ps =Q2 Tl 2B No(l+G )r

S-

2-b.-+-

3.2 is Es

(5.25)

In this equation is the performance derived including quantisation noise. In appendixE.2 are the calculations added for the influence of quantization noise on the SERperformance.

9 Eb/No-1

.--~ -~

--

-

-~"'-

--

-- -.--_. ...............

-- "-

" !- __ "0' "_.

t-- ... x· .. k:leal rake performance ~

~---~r---- -_.__._---

t--)i( Fixed point rake model

t--

• k:leal rake + Q-noise for fs=4B

l,E-OI

l,E-03

-3

0:::WUJ

1,E-02

l,E+OO

Figure 5.14 Error probabi/ity ana/ysis of simulations and analytie values

75

Page 80: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

Figure 5.14 shows that difference between the analytic results and the simulationresults are minimal. The influence of the quantization noise is only visible for largeEt/No. The difference can be explained by comparing the curves of simulation resultsand the bit error probability resulted by adding the white noise model of thequantization effect. As shown in Figure 2.32a, the lower signal to noise ratio range ofthe 4-bit ADC behaves linear.

The ideal rake including the quantisation noise performance is a value between therake receiver performance and the ideal performance. A part of the degradation is aresult of the quantization noise. The remaining degradation is a result of the non­Iinear clipping of the ADC

In appendix F-2 is the plot shown for the 64kbps information bit service. The resultsare similar. The design choice of 4 bits for the rake receiver is adequate.

5.3 Fading channel

5.3.1 Reference

For multipath fading links there are no analytical results to be found yet without usingassumptions [25]. To stay within the scope of the subject, it is not being attempted toachieve analytical fading results since a reference is available. The reference hasbeen proven quite accurate for the static channels. For the fading simulations, thereference Rake receiver, as shown in Figure 2.34, obtains the channel coefficientsand the path-delays from the channel model. The reference Rake makes use ofoptimal time tracking of the path delays and perfect channel estimation. The fadingcase to test the Rake receiver is defined as fading 1.

The fading 1 case describes a pedestrian in a rural area moving with 3km/h. Thechannel model consists of a two finger multipath environment where no line of sightis available. The power, relative to the noise level, of the first finger is OdB and thesecond is -10dB. The probability density function is of the type Rayleigh. In Figure5.15 is the impulse response of the multipath environment shown.

t---------Jt__Ons 976ns

Figure 5.15 Impulse response fingers fading 1

The rake receiver of the reference model uses ideal channel coefficients, has floatingpoint resolution, uses no power control and has one antenna. Using one antenna,locks out the antenna diversity of the receiver. The fixed point rake receiver, weightswith estimated channel coefficients, has fixed point resolution, no power control andalso uses one antenna.

76

Page 81: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

In Table 5-5 are the results of the simulation with the SPW reference system given.

Tab/e 5-5 Cadence reference resu/ts.

Eb/No 384kbps

-3 3.30266E-01-2 3.02697E-01-1 2.79119E-01o 2.51214E-011 2.25620E-012 1.99410E-014 1.53837E-016 1.12077E-018 7.67703E-02

10 4.94292E-02

In appendix F. is a plot of the Fading 1 results given.

5.3.2 Results

Measuring fading channels requires a long series of evaluated hard-decisions sincethe bit error rate fluctuates as a result of the fading holes. For proper measurementwithin n acceptable simulation time frame, there are 200 frames simulated for everyBER value on the graph. The Fading 1 results are given in Table 5-6.

Tab/e 5-6 Fading 1 channe/ results.

Eb/No 384kbps-3 3,69302E-01-2 3,46820E-01-1 3,26085E-01o 3,03946E-011 2,77741 E-012 2,50695E-014 1,92888E-016 1,43885E-018 9,95060E-02

10 7,05372E-02

5.3.3 Verification

Using the reference as described in paragraph 5.3.1, the fading 1 results of theimplemented Rake receiver can be compared. The Fixed-point rake receiver worksproperly but Figure 5.16 shows a degradation of approximately ~(EtlNo)~ 2 dB. Ingeneral shows the graph that the bit-exact chip-rate software modules are functional.Phase and amplitude are corrected with the channel estimation and bath paths arecombined.

The degradation is a result of two different impfementation constraints. The channelcoefficients are not estimated perfectly as a result of tracking accuracy and the

77

Page 82: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 5. Simulations

control resolution of the estimator. The reference model applies directly the channelcoefficients from the channel to weight the fingers for maximum ratio combining.The other constraint is the fixed-point resolution of the rake receiver. In deep fadingsituations the signal amplitude is smaller than the resolution. The signaI is too smallto be recognized by the implementation model, while the reference model is still ableto process a small SNR with the floating-point resolution.Using a power control algorithm will keep the baseband signal level constant.Simulations of both systems with a power control algorithm will improve the fixed­point model increasingly.

9 Eb/No-,

_.r-- -

------- f---

f------ ... --- -_._._.

""1-------- ""-'l

~~ .. I""1

--~- ~I--f------ I - - .... -........;;:

......................

I . - .

.

I----~r_---.- FXP rake __

1,E-01

1,E-02-3

a::wCl)

1,E+OO

Figure 5.16 Comparing Fading 1 channel results with reference.

78

Page 83: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 6

Conclusions and recommendations

The hardware - software rake receiver has been successfully integrated in SPW. Afull test environment to various channel scenarios was developed. It can be used asa golden reference model and is able to generate test vectors for verification of real­environment implementations.The polymorphic block type in SPW has been applied in different variations for thefirst time and introduced by that to the design group.The hardware - software bit-exact co-simulation concept, gives the opportunity toprevent conceptual bugs, although it is the highest achievable abstract level forsimulations within an acceptable timeframe.

The simulation analysis have led to the following conclusions:

The analog front-end gain of the receiver gives an optimal performance when keptconstant although the transmitted signal energy is varied within the measuredinformation bit energy to noise energy ratio (Eb/No) range.The resolution of the analog to digital converter at the front-end, determines themaximum useful Eb/No range of the receiver. In other words, at the maximum usefulEb/No the quantization noise energy outweighs the thermal noise energy.

The statie channel results for the information bit rate service of 384kbps showsminimal degradation. This is a result of the quantization noise and the clippingdistortion at the analog to digital converter. This effect of degradation can only beseen at Eb/No>9dB. The 4-bit resolution of the analog to digital converter is sufficientfor the applied Eb/No range.

The fading channel results show degradation Eb/No~2dB, compared to the referencegraph achieved with the SPW-model. In general the graph shows that the chip-ratesoftware modules are functional although the degradation is quite large. A part of thedegradation occurs during maximum ratio combining where the channel coefficientsare not weil estimated as a result of limitations of the channel estimation. Theremaining degradation is the result of the fixed-point resolution of the rake receivermodel. Compared to the reference model, which uses floating-point accuracy, theintegrated model is not able to recognize the signal in deep fading situations. Theresolution of the fixed-point model is larger than the baseband signal amplitude.

Future work on the integrated bit-exact hard- and software rake receiver model couldinclude the following:• Analyses and optimisation of the channel estimation filter or by applying an

adaptive filter for better channel coefficient approximation in order to obtain betterfading results.

• Integration of a searcher module to the finger management software, to be ableto verify the birth-death channel propagation conditions conform the 3Gspecifications.

79

Page 84: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Chapter 6. Conclusions and recommendations

• Investigation of an implementation of a power contral algorithm and how much itimpraves the performance compared to the reference model during fadingchannel cases.

80

Page 85: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Acknowledgements

First of all I would like to thank my parents for having confidence and supporting myaspirations during the years of my education.

I would like to thank Prof. dr. ir. G. Brussaard for being my supervisor from theuniversity and also for his objective opinion and hints during the Thesis.

I would also like to thank my supervisors. Dip!. Ing. W. Kurtsiefer for the patience ofexplaining the general concept and details of the hardware, taking time to review theDiploma Thesis, for the guidance, assistance and ideas. Dip!. Ing. M. Beuttner for theassistance on the chip-rate processing software, for support on the different timingissues, taking time to review and offering many ideas for improving the DiplomaThesis.

Thanks to Mr. K. Dipesh for explaining details of his chip-rate processing softwareand Mr. S. MacDougall for giving support on testing the hardware.

Thanks to Mr. R. Clemens, Mr. M. Soellner and Mr. H. Ahrens for the usage of theirworkstations to speed up my simulation quantity.

Finally I would like to thank Beate. Thanks for all her mental support, especiallyduring the period of the long working days.

81

Page 86: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

List of abbreviations:

2G3G3GPP3GPP2ADCAFCAGCAMRASICsBCSBDEBERBPSKCDMACdmaOneCFGCGISSCOSSAPCPDCRCCSWAPDPCCHDPDCHDFGDSPDTXEDAEDGEFBIFDDFDM

FFTFIRFPGAGCDGPSGSMGTSSHDLHDSHWICIICsIESSISCG

Second Generation digital technology standardsThird Generation digital technology standardsThird Generation Partnership Projects (produces WCDMA standard)Third Generation Partnership Projects 2 (produces cdma2000 standard)Analog to digital converterAutomatic Frequency ControlAutomatic Gain ControlFor Adaptive Multi Rate speech codingApplication Specific Integrated CiruitsBroadband Communications SectorBlock Diagram EditorBit-error rateBinary Phase Shift KeyingCode Division Multiple AccessOne of the 2nd generation systems mainly in USControl Flow GraphCommercial, Government and Industrial Solutions SectorCommunication System Simulation and Analysis PackageComputing Platforms DivisionCyclic Redundancy CheckChip Rate Software Assist ProgramDedicated physical control channelDedicated physical data channelData Flow GraphDigital Signal ProcessingDiscontinuous transmissionElectronic design automationEnhanced data rates for GSM evolutionFeedback Information bitsFrequency division multiplexFrequency Division Multiplex technology, used in 1st generation cellularsystemsFast Fourier transformFinite impulse responseField Programmabie Gate ArrayGreatest Common DivisorGlobal Positioning SystemGlobal system for mobile communicationsGlobal Telecom Solutions SectorHardware Description LanguageHardware design systemHardwareInter channel interferenceIntegrated CircuitsIntegrated Electronic Systems SectorInternet software and Content Group

83

Page 87: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

IFsIMT-2000IS-136IS-95ISIITULFSRLOSOVSFNCSGNLOSPCS

List of abbreviations

InterfacesInternational Mobile Telephony 2000One of the 2nd generation systems mainly in USSee CdmaOneInter-symbol interferenceInternational Telecommunications UnionLinear feedback shift registerLine of sight propagation pathOrthogonal Variabie Spreading FactorNetworking and Computing Systems GroupNone line of sight propagation pathPersonal communications systems, 2nd generation cellular systems whichare operated mainly in the United States, operating partlyon IMT-2000band

PCS Personal Communication Systems, a sector within MotorolaPDC Personal digital cellular, 2nd generation cellular systemsPMB Polymorphic Block language of SPW environmentPN Pseudo Random NoiseRF Radio FrequencyRTOS Real time operating systemRTL Register-transfer levelSDL Software Description LanguageSDR Software defined radioSIR Signal to interference ratioSNR Signal to noise ratioSPB-C Simulated prepared block C of SPW environmentSPB-I Simulated prepared block interpreter-based of SPW environmentSPW Signal processing work-systemSW Software ModelTDMA Time Division Multiple AccessTFCI Transport Format Combination Indicator bitsTPC Transmission Power Control bitsTSPG Transportation and Standard Products GroupTTI Transmission Time IntervalUMTS The Universal Mobile Telecommunications SystemsUS-TDMA See IS-136UTRA Universal Terrestrial radio access (3GPP)VHDL Very-High-Speed IC Hardware Description LanguageWARC World Administrative Radio ConferenceWBSG Wireless and Broadband Systems GroupWCDMA Wideband CDMA, Code division multiple accessWISD Wireless Infrastructure Systems Division

84

Page 88: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix A

References

[1] Holma, H., and Toskala, A., "WCDMA for UMTS", John Wiley & Sons, Aug.2000, ISBN 0-471-72051-8.

[2] Qi Bi; Zysman, G.L.; Menkes, H., "Wireless mobile communications at the startof the 21st century", IEEE Communications Magazine, Volume: 39 Issue: 1 ,Jan. 2001, Page(s): 110 -116.

[3] 3G TS 25.104 V3.6.0 (2001-03) Technical Specification, 3rd GenerationPartnership Project; Technical Specification Group Radio Access Network;Radio transmission and Reception.

[4] Dinan, E.H.; Jabbari, B., "Spreading codes for direct sequence CDMA andwideband CDMA cellular networks" IEEE Communications Magazine, Volume:36 Issue: 9 , Sept. 1998, Page(s): 48 -54.

[5] 3G TS 25.213 V4.1.0 (2001-06) Technical Specification, 3rd GenerationPartnership Project; Technical Specification Group Radio Access Network;Spread and modulation.

[6] 3G TS 25.212 V4.1.0 (2001-06) Technical Specification, 3rd GenerationPartnership Project; Technical Specification Group Radio Access Network;Multiplexing and channel coding (FDD).

[7] Proakis, G.P., "Digital Communications", 3th ed., Mcgraw-Hill, 1995, ISBN 0­07-051726-6.

[8] Presti L.L., Mondin M., "Design of optimal FIR raised cosine filters", Electronicletters, Volume 25, Issue 7, March 1989.

[9] Springer A., Weigel R, "UMTS - The Universal Mobil TelecommunicationsSystems", 1st ed., Springer-verlag Berlin Heidelberg New Vork, 2002, ISBN 3­540-42162-9.

[10] Ojanperä T., Prasad R, "Wideband CDMA for Third Generation MobileCommunications", 1st ed., Artech House Boston London, 1998, ISBN 0-89006­735-X.

[11] 3G TS 25.141 V3.5.0 (2001-03) Technical Specification, 3rd GenerationPartnership Project; Technical Specification Group Radio Access Network;Base Station conformance testing.

[12] Gray RM., "Quantization Noise Spectra", IEEE Transactions on InformationTheory, Volume 36, Issue 6, November 1990.

85

Page 89: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix A References

[13] Bennet W., "Spectra of quantized signais", Bell system technical journal,volume 27, Page(s) 446-472, July 1948.

[14] Gray RM.; Neuhoff D.L., "Quantization" IEEE Transactions on InformationTheory, Volume 44, Issue: 6, October 1998.

[15] Vaughan RG.; Scott N.L.; White DR., "The Theory of Bandpass Sampling",IEEE Transactions on signal processing, Volume: 39, Issue 9, Sept. 1991,Page(s) 1973-1984.

[16] Heald, AB.; Scott N.L.; Vaughan RG., "Digital demodulator implementationparameters evaluated by simulation" IEEE Communications Magazine, GlobalTelecommunications Conference, 1998. GLOBECOM 1998, The Bridge toGlobal Integration , Volume: 2 Pages(s) 1303-1308, 1998.

[17] Rappaport, T.S., "Wireless Communications", Prentice-Hall, 1996, ISBN 0-13­375536-3.

[18] Moreau J.P., di Crescenzo P., Pople L., "Hardware software systemcodedesign based on SDLlC specifications", white paper Arexsys S.A, France.

[19] Jerraya AA, RomDhani M, PH. Marrec L., Hessel. F., Coste P., Valderrama C.,Marchioro G.F., Daveau J.M., Zergainoh N., "Multilanguage specification forSystems design and Code design", white paper, Tima Laboratory, France.

[20] "Signal Processing Worksystem", product specification, Cadence U.S.

[21] 3G TS 25.211 V4.1.0 (2001-06) Technical Specification, 3rd GenerationPartnership Project; Technical Specification Group Radio Access Network;Physical Channels and Mapping of Transport Channels onto PhysicalChannels.

[22] Koirala D., Taipale D., Thron C., MacDougali S., Roy E., Beuttner M., KurtsieferW. "C-SWAP Aigorithm Descriptions", Motorola internal documentation,revision 1.2.2, March 2001.

[23] Peterson RL., Ziemer RE., Borth D.E., "Introduction to Spread SpectrumCommunications", 1st ed., Prentice-Hall, 1995, ISBN: 0-02-431623-7.

[24] Turin G.L., "Introduction to Spread-spectrum Antimultipath Techniques andTheir Application to Urban Digital Radio", IEEE proceedings, volume 68,Page(s) 328-353, March 1980.

[25] Han Z., "Study of DS-CDMA, RAKE Receiver and Proposal for a MulticarrierDS-CDMA System over Multipath Fading Channel", Paper of institute forSystems Research and Department of Electrical and Computer Engineering,University of Maryland, July 1999.

[26] Kleijnen J.P.C., "Validation of Modeis: StatisticaI Techniques and DataAvailability", Winter Simulation Conference Proceedings IEEE, volume 1,Page(s) 647-654, 1999.

86

Page 90: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix B

Gaussian probability function

Noise plays a crucial role in communication systems. The theoretical capacity ofchannel can be determined and in practice it determines the number of errorsoccurring in a digital communication system.

1'(.•)

--~--l------ .t

Figure B. 1 Gaussian probabi/ty function P(x) and the cummulative distribution function D(x)

The Gaussian probability distribution with mean IJ and standard deviation cr is equalto a normalized Gaussian function

The distribution area is normalized for x € {-oo, oo} as:

00

Jp(x)dx = 1.,-00

(8.1)

(8.2)

where P(x)dx gives the probability that a random variabie with a Gaussian distributiontakes place in the range [x, x+dx]. The cumulative distribution function gives theprobability that a random variabie wil! have a value :s; x and can be found with theintegral of the Gaussian function,

x

D(x) = Jp(x')dx'-00

(8.3)

87

Page 91: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix B. Gaussian probability function

with erf(x) defined as the error function. The cumulative distribution function can notbe integrated for a finite boundary and The Q-function is defined as

00

Q(x) =l-D(x)= Jp(x')dx' (B.4)x

~Ml-erf(:~)] ,~Merft~)]

where erfc(x) is the complementary error function, defined as erfc(x)=1-erf(x). Forzero mean and unit varianee the Q function can be rewritten as:

Q(x) (B.5)

In Figure B.2 are the error function with the dashed line, the complementary errorfunction with a dotted line and the Q-function given.

-310

43.532.521.50.510-8 L.-_-----'__----'-__---L__---L__----L__---l.-__---l.-_------I

oFigure B.2 Error funetion, Complentary error funetion and Q-funetion

88

Page 92: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix 8. Gaussian probability function

In Table 8-1 is a short table given for the values of Q(x) and Erfc(x), approximatedwith an asymptotic expansion.

t E ~ t' n.fth Q d the - revla e a Ie 0 alues 0 e - an e compjemen ary rror unc 10

x Q(x) erfc(x) x Q(x) erfc(x)

0.00 5.0000000E-01 1.0000000E+00 2.05 2.0182215E-02 3.7419040E-03

0.05 4.8006119E-01 9.4362802E-01 2.10 1.7864421 E-02 2.9794667E-03

0.10 4.6017216E-01 8.8753708E-01 2.15 1.5777607E-02 2.3613930E-03

0.15 4.4038231 E-01 8.3200403E-01 2.20 1.3903448E-02 1.8628463E-03

0.20 4.2074029E-01 7.7729741 E-01 2.25 1.2224473E-02 1.4627166E-03

0.25 4.0129367E-01 7.2367361E-01 2.30 1.0724110E-02 1.1431766E-03

0.30 3.8208858E-01 6.7137324E-01 2.35 9.3867055E-03 8.8926703E-04

0.35 3.6316935E-01 6.2061795E-01 2.40 8.1975359E-03 6.8851390E-04

0.40 3.4457826E-01 5.7160764E-01 2.45 7.1428107E-03 5.3058011 E-04

0.45 3.2635522E-01 5.2451828E-01 2.50 6.2096653E-03 4.0695202E-04

0.50 3.0853754E-01 4.7950012E-01 2.55 5.3861460E-03 3. 1066034E-04

0.55 2.9115969E-01 4.3667663E-01 2.60 4.6611880E-03 2.3603442E-04

0.60 2.7425312E-01 3.9614391 E-01 2.65 4.0245885E-03 1.7848775E-04

0.65 2.5784611 E-01 3.5797067E-01 2.70 3.4669738E-03 1.3433274E-04

0.70 2.4196365E-01 3.2219881 E-01 2.75 2.9797632E-03 1.0062192E-04

0.75 2.2662735E-01 2.8884437E-01 2.80 2.5551303E-03 7.5013195E-05

0.80 2.1185540E-01 2.5789904E-01 2.85 2.1859615E-03 5.5656280E-05

0.85 1.9766254E-01 2.2933194E-01 2.90 1.8658133E-03 4.1097878E-05

0.90 1.8406013E-01 2.0309179E-01 2.95 1.5888696E-03 3.0203042E-05

0.95 1.7105613E-01 1.7910919E-01 3.00 1.3498980E-03 2.2090497E-05

1.00 1.5865525E-01 1.5729921 E-01 3.05 1.1442068E-03 1.6079826E-05

1.05 1.4685906E-01 1.3756389E-01 3.10 9.6760321 E-04 1.1648657E-05

1.10 1.3566606E-01 1.1979493E-01 3.15 8.1635231 E-04 8.3982113E-06

1.15 1.2507194E-01 1.0387616E-01 3.20 6.8713794E-04 6.0257612E-06

1.20 1.1506967E-01 8.9686022E-02 3.25 5.7702504E-04 4.3027795E-06

1.25 1.0564977E-01 7.7099872E-02 3.30 4.8342414E-04 3.0577098E-06

1.30 9.6800485E-02 6.5992055E-02 3.35 4.0405780E-04 2.1624768E-06

1.35 8.8507991 E-02 5.6237804E-02 3.40 3.3692927E-04 1.5219934E-06

1.40 8.0756659E-02 4.7714880E-02 3.45 2.8029328E-04 1.0660518E-06

1.45 7.3529260E-02 4.0304974E-02 3.50 2.3262908E-04 7.4309837E-07

1.50 6.6807201 E-02 3.3894854E-02 3.55 1.9261558E-04 5.1548382E-07

1.55 6.0570758E-02 2.8377267E-02 3.60 1.5910859E-04 3.5586299E-07

1.60 5.4799292E-02 2.3651617E-02 3.65 1.3112015E-04 2.4448265E-07

1.65 4.9471468E-02 1.9624415E-02 3.70 1.0779973E-04 1.6715106E-07

1.70 4.4565463E-02 1.6209541 E-02 3.75 8.8417285E-05 1.1372726E-07

1.75 4.0059157E-02 1.3328329E-02 3.80 7.2348044E-05 7.7003927E-08

1.80 3.5930319E-02 1.0909498E-02 3.85 5.9058912E-05 5. 1886293E-08

1.85 3.2156775E-02 8.8889699E-03 3.90 4.8096344E-05 3.4792249E-08

1.90 2.8716560E-02 7.2095708E-03 3.95 3.9075597E-05 2.3216732E-08

1.95 2.5588060E-02 5.8206664E-03 4.00 3.1671242E-05 1.5417258E-08

2.00 2.2750132E-02 4.6777350E-03

Tabl B 1 Abb . t d T, b f v:

89

Page 93: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix C

Student T distribution

In Figure C.1 are the Student T probability-P(x) and density D(x) function given.Given n independent measurements Xi, let the probability:

, , (C.1)

-where 1..1 is the population mean, x is the sample mean, s is the estimation of the

sample variance cr 2 , the standard deviation.

p(:r) 1)(:0

cccccccccccccccccc :1'

Figure C.1 Student T probability and Oensity function.

The standard deviation is defined as:

1 n - 2

S=--~)Xi -x) .N -1 ;=1

(C.2)

The student t-distribution is defined as the distribution of the random variabie t which

is approximated without knowing cr 2. If N increases, the student T distributionapproaches the Gaussian or normal Distribution.

91

Page 94: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix C. Student T distribution

Table C.1 Student T table

T(N,P) .60 .70 .80 .90 .95 .975 .99 .9951 .325 .727 1.367 3.078 6.314 12.70631.821 63.6572 .289 .617 1.061 1.886 2.920 4.303 6.965 9.9253 .277 .584 .978 1.638 2.353 3.182 4.541 5.8414 .271 .569 .941 1.533 2.132 2.776 3.747 4.604

5 .267 .559 .920 1.476 2.015 2.571 3.365 4.0326 .265 .553 .906 1.440 1.943 2.447 3.143 3.7077 .263 .549 .896 1.415 1.895 2.365 2.998 3.4998 .262 .546 .889 1.397 1.860 2.306 2.896 3.355

9 .261 .543 .883 1.383 1.833 2.262 2.821 3.25010 .260 .542 .879 1.372 1.812 2.228 2.764 3.16911 .260 .540 .876 1.363 1.796 2.201 2.718 3.10612 .259 .539 .873 1.356 1.782 2.179 2.681 3.05513 .259 .538 .870 1.350 1.771 2.160 2.650 3.01214 .258 .537 .868 1.345 1.761 2.145 2.624 2.97715 .258 .536 .866 1.341 1.753 2.131 2.602 2.94716 .258 .535 .865 1.337 1.746 2.120 2.583 2.92117 .257 .534 .863 1.333 1.740 2.110 2.567 2.89818 .257 .534 .862 1.330 1.734 2.101 2.552 2.87819 .257 .533 .861 1.328 1.729 2.093 2.539 2.86120 .257 .533 .860 1.325 1.725 2.086 2.528 2.84521 .257 .532 .859 1.323 1.721 2.080 2.518 2.83122 .256 .532 .858 1.321 1.717 2.074 2.508 2.81923 .256 .532 .858 1.319 1.714 2.069 2.500 2.80724 .256 .531 .857 1.316 1.708 2.060 2.485 2.78725 .256 .531 .856 1.316 1.708 2.060 2.485 2.78726 .256 .531 .856 1.315 1.706 2.056 2.479 2.77927 .256 .531 .855 1.314 1.703 2.052 2.473 2.771

28 .256 .530 .855 1.313 1.701 2.048 2.467 2.763

29 .256 .530 .854 1.310 1.697 2.042 2.457 2.750

30 .256 .530 .854 1.310 1.697 2.042 2.457 2.75040 .255 .529 .851 1.303 1.684 2.021 2.423 2.70460 .254 .527 .848 1.296 1.671 2.000 2.390 2.660120 .254 .526 .845 1.289 1.658 1.980 2.358 2.617

00 .253 .524 .842 1.282 1.645 1.960 2.326 2.576

92

Page 95: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix 0

Flow-chart hardware/-software interface

93

Page 96: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix D. Flow-chart hardware/-software interface

Run

Calculate read addressand lengths Data Ram

Banks and resetcounters

Processed Symbolsto output

Reading Ram

Copy post correlationbuffer data DPCCHearly/on time/late

End

94

Page 97: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix E

Calculations

E.1 Error probability statie ehannels

Tables for error probability ealeulations of the statie ehannels as deseribed inparagraph 5.2.

Tab/e E-1a Ana/ytic resu/t of information bit error probability for 384kbps384kbpsEb/No(dB) Eb/No Cr=rslrb G 1/(G"2+1) z z/sqrt(2) Q(z)

-3 0.501187 2.5 0.3333 0.900018 0.600718 0.424772 2.74014E-01-2 0.630957 2.5 0.3333 0.900018 0.674017 0.476602 2.50150E-01-1 0.794328 2.5 0.3333 0.900018 0.756259 0.534756 2.24747E-010 1 2.5 0.3333 0.900018 0.848537 0.600006 1.98070E-011 1.258925 2.5 0.3333 0.900018 0.952074 0.673218 1.70530E-012 1.584893 2.5 0.3333 0.900018 1.068244 0.755363 1.42705E-014 2.511886 2.5 0.3333 0.900018 1.34484 0.950945 8.93385E-026 3.981072 2.5 0.3333 0.900018 1.693053 1.197169 4.52227E-028 6.309573 2.5 0.3333 0.900018 2.131428 1.507147 1.65270E-02

10 10 2.5 0.3333 0.900018 2.683308 1.897386 3.64489E-03

Tab/e E-1b Ana/ytic resu/t of information bit error probabilitv for 64kbps~4kbps

Eb/No(dB) Eb/No Cr=rs/rb G 1/(G"2+1 ) z z/sqrt(2) Q(z)-3 0.501187 3.75 0.5333 0.778568 0.456192 0.322576 3.24126E-01-2 0.630957 3.75 0.5333 0.778568 0.511856 0.361937 3.04376E-01-1 0.794328 3.75 0.5333 0.778568 0.574312 0.4061 2.82878E-010 1 3.75 0.5333 0.778568 0.644388 0.455651 2.59662E-011 1.258925 3.75 0.5333 0.778568 0.723016 0.511249 2.34835E-012 1.584893 3.75 0.5333 0.778568 0.811237 0.573631 2.08615E-014 2.511886 3.75 0.5333 0.778568 1.021287 0.722159 1.53559E-016 3.981072 3.75 0.5333 0.778568 1.285724 0.909144 9.92697E-028 6.309573 3.75 0.5333 0.778568 1.61863 1.144545 5.27634E-02

10 10 3.75 0.5333 0.778568 2.037735 1.440896 2.07882E-02

95

Page 98: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix E. Calculations

Table E-1c Ana/ytic result of infarmatian bit error probability far 144kbps144kbps

Eb/Na(dB) Eb/No Cr=rs/rb G 1/(GJ\2+1 ) z z/sqrt(2) Q(z)

-3 0.501187 3.333333 0.3333 0.900018 0.520237 0.367863 3.01449E-01

-2 0.630957 3.333333 0.3333 0.900018 0.583715 0.412749 2.79706E-01

-1 0.794328 3.333333 0.3333 0.900018 0.65494 0.463112 2.56253E-01

0 1 3.333333 0.3333 0.900018 0.734854 0.51962 2.31214E-01

1 1.258925 3.333333 0.3333 0.900018 0.82452 0.583024 2.04822E-01

2 1.584893 3.333333 0.3333 0.900018 0.925127 0.654163 1.77450E-01

4 2.51188E 3.333333 0.3333 0.900018 1.164666 0.823543 1.22077E-01

6 3.981072 3.333333 0.3333 0.900018 1.466227 1.036779 7. 12932E-02

8 6.309573 3.333333 0.3333 0.900018 1.84587 1.305228 3. 24555E-02

10 1C 3.333333 0.3333 0.900018 2.323813 1.643184 1.00678E-02

b bTt fI 122kbt" biI f' fIbi E 1d A I .Ta e - nalYtlc resu t 0 In arma IOn I error pro a I Ity or >ps12.2kbps

Eb/Na(dB) Eb/No Cr=rs/rb G 1/(GJ\2+1) z z/sQrt(2) Q(z)

-3 0.501187 4.918 0.7333 0.65031 0.364067 0.257434 3.57904E-01

-2 0.630957 4.918 0.7333 0.65031 0.408489 0.288846 3.41457E-01

-1 0.794328 4.918 0.7333 0.65031 0.458333 0.3240!:: 3.23357E-01

0 1 4.918 0.7333 0.65031 0.514258 0.36363e 3.03536E-01

1 1.258925 4.918 0.7333 0.65031 0.577007 0.408005 2.81967E-01

2 1.584893 4.918 0.7333 0.65031 0.647412 0.4577!:: 2.58683E-01

4 2.511886 4.918 0.7333 0.65031 0.815044 0.576323 2.07524E-01

6 3.981072 4.918 0.7333 0.65031 1.026079 0.725548 1.52427E-01

8 6.309573 4.918 0.7333 0.65031 1.291757 0.91341 9.82206E-02

10 10 4.918 0.7333 0.65031 1.626226 1.14991e 5.19508E-02

E.2 Error probability statie ehannels with quantization noise

In the Tables E-2a - E-2d are the values shown to calculate the results of Equation5.25. The variables rb, rs en G can be found in Table 5-2 for the correspondinginformation bit rate. The ratio between the power of the peak level 'tl is assumed 2,the sample frequency corresponding to the simulation environment fs=4B, thenumber of ADC bits is 4 and Eb/No' represents the information bit to noise ratioincluding the quantization noise.

. fI 384kbpst" t"b bTt ëhe - a I error pro a IIW WI quan Iza Ion nalse or

Eb/Na(dB) Eb/No' sQrt(2*Eb/Na') z/sqrt(2) Q(z)

-3 0.180262 0.60043586 0.424572 0.274108

-2 0.226881 0.67361825 0.47632 0.250277

-1 0.285539 0.7556965 0.534358 0.224916

o 0.359333 0.84774222 0.599444 0.198291

1 0.452155 0.95095203 0.672425 0.170814

2 0.568882 1.06666058 0.754243 0.143063

4 0.900058 1.34168404 0.948714 0.089849

6 1.422595 1.68676927 1.192726 0.045824

8 2.244933 2.11893028 1.49831 0.017048

10 3.533812 2.65850022 1.879844 0.003924

Tabl E2 bi

96

Page 99: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

AQ.Qendix E. Calculations

. far64kbpstizat,"babilitv with - --

Eb/Na(dB) Eb/Na' sqrt(2*Eb/Na') z/sqrt(2) Q(z)

-3 0.103999 0.45606848 0.322489 0.32417

-2 0.130909 0.51168137 0.361813 0.304437

-1 0.164775 0.57406527 0.405925 0.282962

o 0.207394 0.64404028 0.455405 0.2597751 0.26102 0.72252404 0.510902 0.234986

2 0.32849 0.81054267 0.57314 0.2088144 0.520101 1.01990259 0.72118 0.153887

6 0.823 1.28296533 0.907193 0.099752

8 1.301105 1.61313675 1.14066 0.05335710 2.053972 2.02680612 1.433168 0.021341

Tab/e E-2b bit

. far 144kbpstizat,·babilitv with - --

Eb/Na(dB) Eb/Na' sqrt(2*Eb/Na') zlsqrt(2) Q(z)

-3 0.135228 0.52005375 0.367734 0.301513

-2 0.170211 0.58345671 0.412566 0.279793

-1 0.214234 0.65457407 0.462854 0.256371

o 0.269626 0.73433811 0.519255 0.231371

1 0.339316 0.82379116 0.582508 0.205029

2 0.426978 0.92409748 0.653436 0.1777184 0.675836 1.16261393 0.822092 0.122493

6 1.068926 1.46213986 1.033889 0.071851

8 1.688636 1.83773536 1.299475 0.03305110 2.66261 2.30764396 1.631751 0.010509

Tab/e E-2c bit

. far 12.2kbpstizat'"babilitv withEb/Na(dB) Eb/Na' sqrt(2*Eb/Na') z/sqrt(2) Q(z)

-3 0.066249 0.36400379 0.25739 0.357928-2 0.083396 0.40840073 0.288783 0.34149

-1 0.104977 0.45820739 0.324002 0.323402

0 0.13214 0.51408076 0.36351 0.3035981 0.166324 0.57675671 0.407829 0.282052

2 0.209343 0.64705911 0.45754 0.258797

4 0.331574 0.81433954 0.575825 0.207725

6 0.52498 1.02467537 0.724555 0.152758

8 0.830708 1.28895958 0.911432 0.098706

10 1.313261 1.62065465 1.145976 0.052546

Tab/e E-2d bit

97

Page 100: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix F

Simulations results

F.1 Simulation results statie ehannel SPW model

Table F-1 Simulation results for a single path statie ehannel with SPW 3G system

Eb/No 384kbps 144kbps 64kbps 12.2kbps-3 2.74674E-01 3.00820E-01 3.24412E-01 3.57500E-01-2 2.50787E-01 2.80027E-01 3.04794E-01 3.43333E-01-1 2.24783E-01 2.56591 E-01 2.83314E-01 3.24167E-01o 1.98206E-01 2.31441E-01 2.60251 E-01 3.05833E-011 1.70702E-01 2.04789E-01 2.35604E-01 2.84167E-012 1.42973E-01 1.77236E-01 2.09838E-01 2.60087E-014 8.95110E-02 1.22135E-01 1.54616E-01 2.06885E-016 4.55341 E-02 7.14125E-02 1.00464E-01 1.51965E-018 1.66412E-02 3.23022E-02 5.36506E-02 9.79292E-02

10 3.62468E-03 1.01856E-02 2.11857E-02 5. 16246E-02

1,E+OO

0::wrn

1,E-Q1

1,E-Q2

1,E-03

-----t-~

~~~r---- --

-.... ....... ................... ........ "~ "'" '"'" ~f=

--+- 384kbps

f= _144kbpsf--f-- ---'-64kbps "-I---

f-- ~12.2kbps

I

I

I

-3 -1 1 3 5 7 ,Eb/No

Figure F. 1 Simulation results for a single path statie ehannel with SPW 3G system

F.2 Simulation results statie ehannel fixed point model

For the 384kbps service, 4 measurements with each 61364 symbols have beenconducted to obtain the results as shown in the average row. The probability that the

99

Page 101: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix F. Simulation results

final result exceeds the boundary of 2.5% is shown in the accuracy row. The erroranalysis theory calculation is explained in [26]. Assumed is that in one simulation, Nsimulation results are obtained while observing stochastic variabie Xi. The samplemean is an estimate for the real average and the standard deviation is an estimatefor the variance. It is assumed that stochastic Xi has an unknown but continuousdistribution. t(N, P) is the student T distribution in which N defines the degrees offreedom and P the exceeding probability. The confidence interval is defined as:

(F.1 )

The table of the student-t distribution is given in appendix C:

I fI' d . t k< d I 384kbft . t th t t" ha e - a Imu a Ion resu s smgle pa s a IC C anne Ixe 'pom ra e mo e JpS

Measurement -3 -2 -1 0 11 2.75161E-01 2.51385E-01 2.25784E-01 2.00818E-01 1.73408E-012 2.74461 E-01 2.51923E-01 2.26419E-01 1.99791 E-01 1.72707E-013 2.74949E-01 2.50440E-01 2.25328E-01 1.99237E-01 1.72202E-014 2.75259E-01 2.52738E-01 2.27348E-01 2.00297E-01 1.73897E-01

~verage 2.74958E-01 2.51621E-01 2.26220E-01 2.00036E-01 1.73053E-01Stddev 3. 55666E-04 9.64142E-04 8.75494E-04 6.77551E-04 7.48724E-04Nr of Batches 4 4 4 4 41r(4, 97,5%) 2.776 2.776 2.776 2.776 2.776~ccuracy 0.180% 0.532% 0.537% 0.470% 0.601%

r, bI F 2 S' I t"

I fI' d . t k d 138 kbft . t th t t" ha e - Imu atlon resu s smqJe pa s a IC C anne Ixe pom ra e mo e 4 JpS

Measurement 2 4 6 8 101 1.45541 E-01 9.17802E-02 4.69819E-02 1.72740E-02 4.25331 E-032 1.45492E-01 9. 15032E-02 4.78293E-02 1.76162E-02 3.84590E-033 1.45036E-01 9.29535E-02 4.73568E-02 1.73555E-02 4.05775E-034 1.45770E-01 9.11772E-02 4.82042E-02 1.84310E-02 4.61182E-03

~verage 1.45460E-01 9.18535E-02 4.75931E-02 1.76692E-02 4. 19220E-03Stddev 3.07188E-04 7.73626E-04 5.34969E-04 5.28455E-04 3.25482E-04Nr of Batches 4 4 4 4 41r(4, 97,5%) 2.776 2.776 2.776 2.776 2.776~ccuracy 0.293% 1.169% 1.560% 4.151% 10.776%

r, bI F 2b S' I .

For the 64kbps information bit service, 2 measurements with each 61364 symbolshave been excited to obtain the results as shown in the average row. The probabilitythat the final result exceeds the boundary of 2.5% is shown in the accuracy row.

d I 64kbI fI' d . t kIt . t th t f ha e - a Imu a Ion resu s smgle pa s a IC C anne Ixe pom ra e mo e JpS

Measurement -3 -2 -1 0 1

1 3.28571 E-01 3.09026E-01 2.87855E-01 2.64647E-01 2.39703E-01

2 3.23724E-01 3.04905E-01 2.83734E-01 2.59974E-01 2.34477E-01

Average 3.26147E-01 3.06965E-01 2.85794E-01 2.62310E-01 2.37090E-01

Stddev 3.42719E-03 2.91367E-03 2.91367E-03 3.30439E-03 3.69512E-03

Nr of Batches 2 2 2 2 2

T(4,97,5%) 4.303 4.303 4.303 4.303 4.303Accuracy 3.197% 2.888% 3.102% 3.833% 4.742%

r, bI F 3 S' I f

Table F-3b Simulation results single path statie ehannel fixed point rake model 64kbps

100

Page 102: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix F. Simulation results

Measurement 2 4 6 8 10

1 2.13022E-01 1.57812E-01 1.03393E-01 5.57932E-02 2.30814E-02

2 2.08680E-01 1.54023E-01 9.92722E-02 5.34093E-02 2.14711 E-02

AveraQe 2.10851 E-01 1.55918E-01 1.01332E-01 5.46013E-02 2.22763E-02

Stddev 3.06996E-03 2.67924E-03 2.91367E-03 1.68569E-03 1.13868E-03

Nr of Batches 2 2 2 2 2

T(4,97,5%) 4.303 4.303 4.303 4.303 4.303

Accuracy 4.430% 5.228% 8.749% 9.394% 15.553%

1,E+00

9 Eb/No·1

......~=------=-- .-

--- .... c----- ........ ---

............

""'- ~.

~......

~.

I-+- 384kbps I

1_64kbPS I

I

0:::WCf)

1,E-{)3

-3

1,E-{)2

1,E-{)1

Figure F.2 Statie ehannel simulation results offixed point rake model

l,E+OO

9 Eb/No-1

'-

-. ..

=E- .-

I --- -...~--I~

~f---- I -.

'-.,._. " ~"--- --_.._-

~.'I---)(--.Ideal rake receiver It_____.__Fixed point rake

___Ideal rake + Q·noise

a::UJIJ)

l,E-02

-3

1,E-Ol

Figure F.3 Compared statie ehannel simulation results of fixed point rake model

101

Page 103: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix F. Simulation results

F.3 Simulation results fading 1 channel SPW model

Note that the reference model results are obtained with 1000 frames or 9.6 millionscompared symbols without antenna diversity.

u s ara a ma c anEb/No 384kbps

-3 3.30266E-01-2 3.02697E-01-1 2.79119E-01o 2.51214E-011 2.25620E-012 1.99410E-014 1.53837E-016 1.12077E-018 7.67703E-02

10 4.94292E-02

Table F-4 Simulation res It fI "d' 1 h nel with SPW 3G system

----

f-----+---.-+-----+----f-----+----f-----I

~~f-----+----;f-"-----~. -----+-----+---- --t----j

1,E·01 Ë=====1======t=-====$=r--+--.-=====tr---..-~-~ç=~=t=.==:1_..-....... _... -

f--------+-------+-------------+--------+---------- ---

!. t~1,E-02 '--__---l- I--__--+- I--__--+- ""=~ i

-3 ·1 gCD/NOj

Figure FA Simulation results for a Fading 1 channel with SPW 3G system

102

Page 104: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

In terne I Input sta Bus

»"C"CCD::::JC._.><Cl

(J)_.3c-Q)r+_.o::::J::::!!

CCC

afA

Jttttt..tl_lj~~... :~.~• __1'1_ nt

,If

"..- IIII

tbld SiRk:lllC ir 1; Do:n't "'r"it'e! Lag filllll' I It 11; Urillllll..!:llll'ihs5J

10MB. d' Hw InltllllllZiilllllll FIIIIII f'ol' I'll"Ch Co .5IutrOUtllllllii:li

.......ow

Page 105: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

linK 61"1g61 Parsoufll"'$

CD::Ja.X·G)

U>3'cll)-o'::J:::::!1

C(tiCIl

RePerence Tran sm I t POWElr"'RQCQi uGid Eb / Ne (cS)

I'blse pcwer (na' (t1bn/J.61 MHz I

R.,fe"",cllI D8 t~ Ra t"

PI'DPQgGlt i Dil P:lINnlilt 1iIr'l;;

ChamEil NedelC.arrÎer freQ,..JeflCY

Ct'lllnnllli O.... II!II'""3:t11npl ing R'!IIhll

......o~

tt"'l:I FI1101l' CII'If'1 P'IIt 1-.. Ollo50l"'iptilOfli

Etvl'b erra-- m&UlJrEmSlJl t setLP for Fajl JlO e:t"a'Ine ITIIt.l rilt.. ~11IIl lJiB.5 E:f:.le'1.1eEee M. PeF'Pen In I t l.al lJerslonblDlI.,. :';.'/;~il~=w.f,'.~",=''·..~""n·a·~"i,......._·~_:,.'À,,,V,;l~~. IJO.S 2Ei/l!lG/2I2e2 N. PaF"Pen Reconp i led end tested for SPI.! +.8

1J1.9 31 ....e7....2ge2 H. PeF"F'en Fedifl9 i Ièsul is rneas:. o!o f\.nDt ionel

Page 106: Eindhoven University of Technology MASTER Hardware ...Hardware - software integration and simulation of a WCDMA rake receiver Paffen, M.J.L.W. Award date: 2002 Link to publication

Appendix G. 8imulation figures

1 Segmentclock

;~.'~" O,82 e,;~21

l:::pt-7LI1 ?CïÜ7pmJDOG,!.tQt ~:)::, .. .. . ~/~(L ...

10°]-111

IJr---~---;--;-: ~_~_-100 fl

,~'w>:~ 0.0B;::F:+J-~m__-__m•.é::::'-_---------"'--------------'--=-------'-----'------------------

m :::::]--•••------'--!-------e--------....-...-.--·--------t-I-·---.-------...~.,----------.-.-----'---~

Figure G.3 Timing diagram hardware -/software interface

Slotclock

Address bus

Data fromHWto SW

Read/writeenable

Data fromSWto HW

105