giao trinh thuc hanh vxl

Upload: nguyentandat212

Post on 08-Jul-2015

266 views

Category:

Documents


0 download

TRANSCRIPT

TRUNG TM TH NGHIM THC HNH IN T B MN IN T CNG NGHIP TTII LLIIUU HHNNGG DDNN TTHH NNGGHHIIMM -- TTHHCC HHNNHH B BI I N N S SO O N N: : P PH H M M Q QU UA AN NG G T TR R TP. H CH MINH 11 - 2005 MC LC CHNG 1: CU HNH CA M HNH TH NGHIM VI IU KHIN. ...................................................1 1.1Gii thiu: ..............................................................................................................................................................1 1.2Cu hnh ca m hnh th nghim vi iu khin: ............................................................................................. .....2 1.2.1Khi lp trnh vi iu khin: .....................................................................................................................2 1.2.2Khi vi iu khin:....................................................................................................................................4 1.2.3Khi LED im:........................................................................................................................................6 1.2.4Khi LED 7 on: .....................................................................................................................................7 1.2.5Khi LED ma trn:..................................................................................................................................11 1.2.6Khi LCD: ..............................................................................................................................................13 1.2.7Khi cng tc: .........................................................................................................................................14 1.2.8Khi nt nhn:.........................................................................................................................................15 1.2.9Khi bn phm:........................................................................................................................................16 1.2.10Khi relay: ..............................................................................................................................................17 1.2.11Khi to xung:.........................................................................................................................................18 1.2.12Khi to p thay i:...............................................................................................................................19 1.2.13Khi iu khin ng c bc:...............................................................................................................20 1.2.14Khi Serial EEPROM: ............................................................................................................................21 1.2.15Khi cm bin nhit: ...............................................................................................................................22 1.2.16Khi m d liu: ...................................................................................................................................24 1.2.17Khi gii m:...........................................................................................................................................26 1.2.18Khi ADC: ..............................................................................................................................................28 1.2.19Khi DAC: ..............................................................................................................................................29 1.2.20Khi RTC:...............................................................................................................................................31 1.2.21Khi thanh ghi dch:................................................................................................................................32 1.2.22Khi m rng port I/O: ...........................................................................................................................34 1.2.23Khi giao tip PC:...................................................................................................................................37 1.2.24Khi m rng bus: ..................................................................................................................................39 CHNG 2: HNG DN S DNG PHN MM MCU PROGRAM LOADER. .....................................40 2.1Gii thiu: ............................................................................................................................................................40 2.2Hng dn s dng phn mm MCU Program Loader: ......................................................................................41 CHNG 3: H THNG CC BI THC HNH VI IU KHIN.............................................................47 Mt s lu khi vit chng trnh v kt ni mch ............................................................................................47 A.H thng iu khin LED n....................................................................................................................48 Mc ch: ................................................................................................................................................48 Yu cu: ..................................................................................................................................................48 Bi 1: Chng trnh iu khin 8 LED c ni vi Port0 sng tt. .....................................................48 Bi 2: Chng trnh iu khin m ln nh phn 8 bit v hin th trn 8 LED c ni vi Port0......51 Bi 3: Chng trnh iu khin 8 LED c ni vi Port0 sng dn v tt ht. ...................................52 Bi 4: Chng trnh iu khin 8 LED c ni vi Port0 sng ui. ..................................................54 Bi 5: Chng trnh iu khin 8 LED c ni vi Port0 sng dn. ...................................................56 Bi 6: Chng trnh iu khin 8 LED c ni vi Port0 hot ng bng cch tng hp cc phng php iu khin thc tp....................................................................................................................58 Bi 7: Chng trnh con iu khin to thi gian tr 200s, 20ms, 2s s dng Timer. .........................60 B.H thng iu khin LED 7 on. ..............................................................................................................62 Mc ch: ................................................................................................................................................62 Yu cu: ..................................................................................................................................................62 B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD. 62 oBi 1: Chng trnh iu khin hin th s 7 trn LED3. ................................................................62 oBi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9trn LED3...................................64 oBi 3: Chng trnh iu khin hin th s 35 trn hai LED. ..........................................................66 oBi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................67 oBi 5: Chng trnh iu khin hin th s 1234 trn bn LED. .....................................................68 oBi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. .................69 B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on. 70 oBi 1: Chng trnh iu khin hin th s 7 trn LED3. ................................................................70 oBi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9trn LED3...................................71 oBi 3: Chng trnh iu khin hin th s 35 trn hai LED. ..........................................................73 oBi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................74 oBi 5: Chng trnh iu khin hin th s 1234 trn bn LED. .....................................................75 oBi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. .................76 B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii a hp bn ngoi). .....................................................................................................78 oBi 1: Chng trnh iu khin hin th s 1 trn LED7. ................................................................78 oBi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9trn LED7...................................79 oBi 3: Chng trnh iu khin hin th s 12 trn hai LED. ..........................................................81 oBi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................84 oBi 5: Chng trnh iu khin hin th s 1234 trn bn LED. .....................................................86 oBi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. .................87 oBi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. .............................................89 oBi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED...91 B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch gii a hp bn ngoi). .....................................................................................................93 oBi 1: Chng trnh iu khin hin th s 1 trn LED7. ................................................................93 oBi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9trn LED7...................................94 oBi 3: Chng trnh iu khin hin th s 12 trn hai LED. ..........................................................96 oBi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................99 oBi 5: Chng trnh iu khin hin th s 1234 trn bn LED. ...................................................101 oBi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. ...............102 oBi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. ...........................................105 oBi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED. 107 B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a hp bn ngoi). ................................................................................................................110 oBi 1: Chng trnh iu khin hin th s 1 trn LED7. ..............................................................110 oBi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9trn LED7.................................111 oBi 3: Chng trnh iu khin hin th s 12 trn hai LED. ........................................................113 oBi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED..........................116 oBi 5: Chng trnh iu khin hin th s 1234 trn bn LED. ...................................................118 oBi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. ...............121 oBi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. ...........................................122 oBi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED. 123 B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a hp bn ngoi). ............................................................................................................125 oBi 1: Chng trnh iu khin hin th s 1 trn LED7. ..............................................................125 oBi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9trn LED7.................................126 oBi 3: Chng trnh iu khin hin th s 12 trn hai LED. ........................................................128 oBi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED..........................131 oBi 5: Chng trnh iu khin hin th s 1234 trn bn LED. ...................................................133 oBi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. ...............134 oBi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. ...........................................137 oBi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED. 139 ng dng iu khin LED 7 on tng hp. ........................................................................................142 oBi 1: Chng trnh iu khin hin th m GI PHT GIY trn su LED. ......................142 oBi 2: Chng trnh iu khin hin th chui k t -HA-NOI- trn tm LED..........................145 oBi 3: Chng trnh iu khin hin th v chp tt chui k t -HA-NOI- trn tm LED. ....147 oBi4:Chngtrnhiukhinhinthlnltccchuikt-HA-NOI,-DA-LAT-,-SAIGON- trn tm LED. Mi chui hin th cch nhau 1 giy....................................................149 oBi5:Chngtrnhiukhinhinthvdchchuynchuikt-HA-NOI-SAI-GON-trn tm LED t phi sang tri. .............................................................................................................151 C.H thng iu khin LED ma trn...........................................................................................................153 Mc ch: ..............................................................................................................................................153 Yu cu: ................................................................................................................................................153 Bi 1: Chng trnh iu khin hin th ch A mu trn LED ma trn...........................................154 Bi 2: Chng trnh iu khin hin th ch S mu trn LED ma trn sng tt .............................157 Bi 3: Chng trnh iu khin hin th ln lt cc ch A, B, C, a, b, c mu trn LED ma trn.......................................................................................................................................................................159 Bi4:ChngtrnhiukhinhinthchuiktWELLCOMEmutrnLEDmatrndch chuyn t phi sang tri........................................................................................................................161 D.H thng iu khin bn phm. ................................................................................................................163 Mc ch: ..............................................................................................................................................163 Yu cu: ................................................................................................................................................163 Bi 1: Chng trnh iu khin bn phm v hin th m ca phm nhn trn 8 LED di dng s BIN...............................................................................................................................................................164 Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc phm c nhn.....................................................................................................................................166 E.H thng iu khin LCD. ........................................................................................................................171 Mc ch: ..............................................................................................................................................171 Yu cu: ................................................................................................................................................171 Bi1:ChngtrnhiukhinLCDhinthhaidngchMICRO-CONTROLLERv DESIGNED BY: PQT. ng yn trn hai dng ca mn hnh LCD.................................................172 Bi 2: Chng trnh iu khin LCD hin th hai dng ch WELLCOME TO MICROCONTROLLER SYSTEM 51 v DESIGNED BY: PQT. trn hai dng ca mn hnh LCD vi yu cu: dng ch th nht s dch chuyn lin tc t phi sang tri, dng ch th hai ng yn. ..................................174 Bi 3: Chng trnh iu khin LCD hin th hai dng ch PULSE= v DESIGNED BY PHAM QUANG TRI ELECTRIC TRAINING CENTER - HO CHI MINH UNIVERSITY OF INDUSTRY trn haidngcamnhnhLCDviyucu:slngxungmc(0099)tichnP3.0sc hin thtrn dng thnhttippha saudngchPULSE=,dngch th haisdch chuyn t phi sang tri. Xung c to ra bng cch nhn nt nhn KEY0. .....................................................177 F.H thng iu khin nt nhn. .................................................................................................................181 Mc ch: ..............................................................................................................................................181 Yu cu: ................................................................................................................................................181 Bi 1: Chng trnh iu khin nt nhn, khi ta nhn nt no trong 8 nt th LED tng ng s sng ln v ngc li.....................................................................................................................................182 Bi 2: Chng trnh iu khin nt nhn, khi ta nhn nt KEY0 th8 LED s chp tt vi tn s 5 Hz v ngc li khi ta nh nt KEY0 th 8 LED s chp tt vi tn s 20 Hz...........................................184 G.H thng iu khin cng tc....................................................................................................................186 Mc ch: ..............................................................................................................................................186 Yu cu: ................................................................................................................................................186 Bitp:ChngtrnhiukhincngtcvhinthlntmLEDmclogic hinti(LEDsng= mc cao, LED tt = mc thp) ca tm cng tc gt...........................................................................187 H.H thng iu khin relay. ........................................................................................................................189 Mc ch: ..............................................................................................................................................189 Yu cu: ................................................................................................................................................189 Bi tp: Chng trnh iu khin RELAY1 v RELAY2ng ngt tun t v lin tc. Thi gian gia hai ln ng ngt l 1s..........................................................................................................................190 I.H thng iu khin motor bc..............................................................................................................192 Mc ch: ..............................................................................................................................................192 Yu cu: ................................................................................................................................................192 Bi 1: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h .........................................193 Bi 2: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h mt vng ri dng li...........................................................................................................................................................................195 Bi 3: Chng trnh iu khin STEPPER1 quay bng cch nhn nt KEY0: quay thun, KEY1: quay ngc, KEY2: dng...............................................................................................................................196 J.H thng iu khin ngt (Interrupt). .....................................................................................................198 Mc ch: ..............................................................................................................................................198 Yu cu: ................................................................................................................................................198 Bi1:Chngtrnhiukhint?osngvungtunhonctns10Hz(sdngngtTimer)ti chn P0.0 v hin th mc logic ti chn ny ln LED0.......................................................................199 Bi 2: Chng trnh iu khin m s xung t?i chn INT0 (s dng ngt ngoi) v hin th s xung ny (ti a l 255 ln) ln ba LED 7 on ...........................................................................................200 K.H thng iu khin Timer/Counter........................................................................................................203 Mc ch: ..............................................................................................................................................203 Yu cu: ................................................................................................................................................203 Bi 1: Chng trnh iu khin m lin tc s lng xung (0000 9999) c a vo chn T1 ca vi iu khin v hin th s lng xung ny ln cc LED 7 on.............................................................204 Bi 2: Chng trnh iu khin o tn s ca xung (0000 9999, n v l Hz) c a vo chn T1 ca vi iu khin v hin th tn s ca xung ny ln cc LED 7 on................................................207 Bi3:Chng trnhiu khinorng caxung (nvlms)cavochnINT0cavi iu khin v hin th rng ca xung ny ln cc LED 7 on........................................................210 L.H thng iu khin thu pht d liu dng ni tip. ..............................................................................212 Mc ch: ..............................................................................................................................................212 Yu cu: ................................................................................................................................................212 Bi 1: Chng trnh iu khin (ng dng m rng port xut) xut lin tc cc gi tr 00H, 01H, 03H, 07H, 0FH, 1FH, 3FH, 7FH v FFH ra 8 LED thng qua port ni tip v s dng vi mch 4094, mi ln xut cch nhau 1s............................................................................................................................213 Bi 2: Chng trnh iu khin (ng dng m rng port nhp) thc hin lin tc vic nhp d liu t 8 cngtc thngquaportnitip vs dng vimch74165,d liunhpvo nys cxut ra8 LED. ......................................................................................................................................................215 Bi 3: Chng trnh iu khin (ng dng m rng thu pht ni tip) to mt bng d liu gm 9 bytes (00H,01H,03H,07H,0FH,1FH,3FH,7FH,FFH).Thchinvicxuttngbytecabngnyra port ni tip (chn TXD) ri thu vo port ni tip (chn RXD) v ct vo RAM ni c a ch bt u l 40H. Vic xut d liu c iu khin bng nt nhn KEY0, mi ln xut/nhp mt byte. D liu sau khi nhp vo c xut ra 8 LED (c s dng b m o) ng thi vi vic ghi vo RAM ni................................................................................................................................................................216 M.H thng iu khin port I/O (iu khin xut/nhp qua cc thit b ngoi vi). ....................................... Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi1:Chngtrnhiu khinPort I/O,lm cho8 LEDmlnnhphn8bit.Sdngcchb nh ngoi. ................................................................................................................................................... Bi2:Chngtrnhiu khinPortI/O,lintccccgi tr tcccngtcgtSW0SW7v hin th mc logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED. S dng c ch b nh ngoi...................................................................................................................... Bi3:Chngtrnhiu khinPort I/O,lm cho8 LEDmlnnhphn8bit.Sdngcchb nh ngoi. ................................................................................................................................................... Bi4:Chngtrnhiu khinPortI/O,lintccccgi tr tcccngtcgtSW0SW7v hin th mc logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED. S dng c ch b nh ngoi...................................................................................................................... N.H thng iu khin ADC. .............................................................................................................................. Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi 1: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo (knh IN0) ln hai LED 7 on (LED1 v LED0; di dng s HEX t 00H -> FFH). S dng c ch b nh ngoi. .............................................................................................................................................. Bi 2: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo (knh IN0) ln ba LED 7 on (LED2, LED1 v LED0; di dng s DEC t 0 -> 255). S dng c ch b nh ngoi.................................................................................................................................Bi 3: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr in p ca knh ng vo (knh IN0) ln bn LED 7 on (LED3: hng n v;LED2, LED1 v LED0: ba s phn thp phn). S dng c ch b nh ngoi........................................................................................................... Bi 3: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh ng vo(knhIN0vIN1)lnbaLED7on(LED2,LED1vLED0;didngsthpphnt0-> 255), LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt KEY0. S dng c ch b nh ngoi........................................................................................................... O.H thng iu khin DAC. .............................................................................................................................. Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi1:ChngtrnhiukhinbiniD/AthngquaDAC0808vthchinbinigitrlu trong thanh ghi R0 thnh in p tng t. Gi tr trong thanh ghi R0 thay i lin tc 00H, 40H, 80H, C0H v FFH, mi ln cch nhau 2 giy. .................................................................................................... Bi2:ChngtrnhiukhinbiniD/AthngquaDAC0808vthchinbinigitrlu trongthanhghiR0thnhinptngt.GitrtrongthanhghiR0thayilintct00H-> FFH,milncchnhau2giyvchinthlnbaLED7on(LED2,LED1vLED0;di dng s thp phn t 0 -> 255). ................................................................................................................. Bi 3: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin to sng sin c tn s bt k ti ng ra. ......................................................................................................................................... P.H thng iu khin o nhit ..................................................................................................................... Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi tp: Chng trnh o nhit v hin th gi tr ln bn LED 7 on (mt LED hin th phn thp phn). ..........................................................................................................................................................Q.H thng iu khin motor DC. ..................................................................................................................... Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi 1:R.H thng iu khin Serial EEPROM. .......................................................................................................... Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi 1:S.H thng iu khin RTC. .............................................................................................................................. Mc ch: .................................................................................................................................................... Yu cu: ...................................................................................................................................................... Bi 1: TRUNG TM TH NGHIM THC HNH IN T B MN IN T CNG NGHIP T T I I L LI I U U H H N NG G D D N N T TH H N NG GH HI I M M - - T TH H C C H H N NH H Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.8Bin son: Phm Quang Tr 1.1Gii thiu: Sau khi c hc, nghin cuv tm hiu v vi iu khin phn l thuyt. Chng ta c th bt u tin hnh thc hin cc bi th nghim i vi vi iu khin nhm mc ch gip chng ta hiu mt cch tng tn hn v nhng g m ta c hc trong phn l thuyt cng nh cch thc vn dng n vo trong thc t. Trong thc t, cc ng dng ca vi iu khin th rt a dng v phong ph. T nhng ng dng n gin ch c vi thit b ngoi vi cho n nhng h thng vi iu khin phc tp. Tuy nhin, trong phm vi c gii hn ca gio trnh v nhm mc ch phc v cho cng vic hc tp v t nghin cu ca sinh vin. Cho nn m hnh th nghim vi iu khin ny c thit k vi tng i y cc yu cu phn cng v c rt nhiu chng trnh iu khin mu cng nh cc bi tp thc hnh t n gin n phc tp c th gip cho sinh vin thc hnh, th nghim v t nghin cu, t hc mn hc ny. M hnh th nghim vi iu khin ny h tr cho vic th nghim: Thnghimccloiviiukhinnh:89C1051,89C2051,89C4051,89C51,89LV51,89C52, 89LV52,89C55,89LV55,89C55WD,89S51,89LS51,89S52,89LS52,89S53,89LS53,89S8252, 89LS8252. Th nghim cc thit b ngoi vi nh: LED im, LED ma trn, LED 7 on, LCD, ADC, DAC, cng tc, nt nhn, bn phm, relay, b nh ni tip, xut nhp d liu ni tip v song song, to xung, cm bin nhit, ng h thi gian thc (RTC), Th nghim cc chun giao tip nh: RS232, LPT, USB, PS2. PhnmmsdngchomhnhthnghimviiukhinnylphnmmmphngTopviewvMCU Program Loader. Phn mm m phng Topview cho php bn m phng v chy th cc chng trnh iu khin trn my tnh vi mt s module thit b ngoi vi c sn tng t nh trn m hnh th nghim vi iu khin ngoi thc t, phn mm ny cn cho bn kh nng son tho v bin dch chng trnh theo ngn ng Assembler. Phn mm MCU Program Loader cho php bn kh nng np chng trnh cho cc loi vi iu khin nu trn t my tnh. Cc bn c th tm hiu thm v hai phn mm ny trong cc phn sau ca gio trnh (phn mm m phng Topview xem trong ti liu Hng dn s dng phn mm m phng MCS-51 Topview Simulator). Cc chng tip theo s trnh by chi tit hn v cu hnh ca m hnh th nghim vi iu khin, cch thc s dng phn mm v cung cp cc bi th nghim thc hnh mu cng nh cc bi tp m rng c th phc v rt tt cho vic t hc ca cc bn. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.9Bin son: Phm Quang Tr 1.2Cu hnh ca m hnh th nghim vi iu khin: 1.2.1Khi lp trnhvi iu khin: S nguyn l: D0R1111K2A12P1.1VPPD395V6C481000uVDDD5R1173K3D1D3R109100/2WD4VCCVPC 5V/6.5VA9P1.7D421N4007P1.7R10810KA12P1.2VPP 5V/6.5VD6R1204K7D355V6D4Y311.0592MHzP1.7A5 D5P1.0A13SW18POWER SWVDDU35 745732345678911119181716151413121020D0D1D2D3D4D5D6D7LEOEQ0Q1Q2Q3Q4Q5Q6Q7GNDVCCQ23C1815VPC ON/OFFVCCD2VCCD2A8VCCP1.6VCCP1.2VPC A9A13C41104D6R1214K7D361N4007D1A10R12222/2W15. KHOI LAP TRNH VI IEU KHIENA7D4P1.6A6R116100/2WD3 D3VCCD3R11510KD431N4007R1231K2D7D371N4007U36 SLAVE 40PIN29304020311918939383736353433321234567821222324252627281011121314151617PSENALEVCCGNDEAX1X2RSTP0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7P2.0/A8P2.1/A9P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A15P3.0/RXDP3.1/TXDP3.2/INT0P3.3/INT1P3.4/T0P3.5/T1P3.6/WRP3.7/RDVCCQ19C1815P1.3VCCC4433pVPPA4P1.6Q24C1815R1121K2A14A8P1.3C50100uD4P1.5A11C51104C49100uA3C45104D1D346V7D0A1P3.7R1103K3D6R1181K2VPP ON/OFFVCCVCCP1.1VCCVPPD2R107 10K1 2 3 4 5 6 7 8 9A2P1.5A10P1.2D7D3813VU33MAX2321 34 51615261291110138147C1+C1-C2+C2-VCCGNDV+V-R1OUTR2OUTT1INT2INR1INR2INT1OUTT2OUTD1R1134K7C3510uD0D2P1.0VCCA0P1.4VPCD5P1.5C46104VCCA14P3.7 Q20C1815VCC VDDD7D6P1.1U34 89C5229304020311918939383736353433321234567821222324252627281011121314151617PSENALEVCCGNDEAX1X2RSTP0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7P2.0/A8P2.1/A9P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A15P3.0/RXDP3.1/TXDP3.2/INT0P3.3/INT1P3.4/T0P3.5/T1P3.6/WRP3.7/RDVCCC4333pC4210u VPCC38104P3TO PC594837261A11C37104Q21C1815P1.4R1191K2J90ADC 16V12C47104C4010uD7R1144K7D401N4007D0C3610uU37 SLAVE 20PIN1213141516171819452010 123678911P1.0/AIN0P1.1/AIN1P1.2P1.3P1.4P1.5P1.6P1.7X2X1VCCGND RST/VPPP3.0/RXDP3.1/TXDP3.2/INT0P3.3/INT1P3.4/T0P3.5/T1P3.7D41LEDC3910uU38 78051 32VIN VOUTGNDD5P1.4Q22C1815 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.10Bin son: Phm Quang Tr Gii thiu chung: Cc thnh phn chnh ca b lp trnh l port ni tip, ngun cung cp v b vi iu khin trung tm. D liu ni tipcgivnhn tcngCOM9 chnv chuyni tmclogic TTLsangmctnhiuRS232hoc chuynitmctnhiuRS232sangmclogicTTLbngvimchMAX232.Mtsicpportnitipc dng ni cng COM ca khi lp trnh vi iu khin vi cng COM ca my tnh (cng RS232). Nguncungcp16VDCccungcpchokhilptrnhthngquauniJ90AvcngtcSW18 (POWER SW). Cc diode D36, D37, D40, D42 lm nhim v chnh lu in p v chng hin tng sai cc tnh ngun khi ta dng ngun DC cung cp cho khi (Lu : ta c th s dng ngun DC 16V hoc AC 12V cung cp cho khi). in p ny l in p cha c n p v c gi l VDD. VDD c dng to ra ba mc in p khc nhau l VCC, VPP v VPC. in p VCC c mc in p l 5V c to ra t vi mch n p LM7805 cung cp cho b vi iu khin trung tm U34 hot ng. in p VPP c mc in p l 0V, 5V hoc 12V theo s iu khin ca b vi iu khin trung tm. in p VPC c mc in p l 0V, 5V hoc 6.5V theo s iu khin ca b vi iu khin trung tm. Cc loi in p khc nhau ny c yu cu trong sut qu trnh lp trnh cho cc chip vi iu khin. Trung tm ca khi lp trnh ny l b vi iu khin trung tm U34 v phn mm iu khin ca n. Phn mm ny c kh nng nhn dng chip vi iu khin c a vo mch thng qua mt trong hai socket ZIF l SLAVE 40 PIN v SLAVE 20 PIN. Cc thng tin ny c sang phn mm MCU Program Loader trn my tnh xc lp cc thng s hot ng iu khin. Khi mt tp tin chng trnh c gi i t my tnh, cc thng tin ny s c b vi iu khin trung tm ti n chip vi iu khin cn lp trnh bng cc tn hiu a ch, d liu v iu khin tng thch. Sau khi vic lp trnh hon tt th cc d liu c ghi vo ny s c gi ngc tr li my tnh kim tra li trong qu trnh np chip, t a ra thng bo qu trnh lp trnh thnh cng hay c li. Bn cn phi ch n mt im rt quan trng l lun lun phi tt ngun cung cp cho khi lp trnh vi iu khin trc khi tin hnh tho/gn chip vi iu khin vo socket nhm trnh gy hng chip vi iu khin ny. ng dng: Khi lp trnh vi iu khin ny kt hp vi phn mm MCU Program Loader trn my tnh c kh nng lp trnh cho cc loi chip sau: oLoichip40chn(cgnvosocketSLAVE40PIN):AT89C51,AT89LV51,AT89C52, AT89LV52, AT89C55, AT89LV55, AT89C55WD, AT89S51, AT89LS51, AT89S52, AT89LS52, AT89S53, AT89LS53, AT89S8252, AT89LS8252. oLoi chip 20 chn (c gn vo socket SLAVE 20 PIN): AT89C1051, AT89C2051, AT89C4051. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.11Bin son: Phm Quang Tr 1.2.2Khivi iu khin: S nguyn l: U24B 7439313121110987CLKCLRQAQBQCQD GNDA14D5COMP1.6DATABUSJ39PORT 212345678C2010412 MHzP2.7CLK12T0C1910uP0.7P1.0P3.5VCCVCCD5LOWADDRESSBUS9. KHOI VI IEU KHIEND4C2333pD28000H- 9FFFHC13104U24A 7439312345614CLKCLRQAQBQCQDVCCR991000000H- 1FFFHP3.3P1.3D2J36INT/EXT123Y112MHzP3.0T1A1P1.2P1.0D4C2210uA16000H- 7FFFHA3 P3.3P3.2A13P1.3P1.4VCCD1A2RSTA10A7C17104VCCWRP1.2P1.7P0.5J38PORT 112345678VCCA9P1.5RDC16104SW33POWER SWD0P1.7D4D6D241N4148U19 SOCKET 40PIN29304020 311918939383736353433321234567821222324252627281011121314151617PSENALEVCCGND EAX1X2RSTP0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7P1.0/T2P1.1/T2EXP1.2/ECIP1.3/CEX0P1.4/CEX1P1.5/CEX2P1.6/CEX3P1.7/CEX4P2.0/A8P2.1/A9P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A15P3.0/RXDP3.1/TXDP3.2/INT0P3.3/INT1P3.4/T0P3.5/T1P3.6/WRP3.7/RD188 KHzVCCA12D6J37PORT 012345678R1351K2RXDA3P0.2D1P0.6WRRDP1.6J44PORT 312345678A15P1.1T0RXDA14P2.2P3.5WRA5D7P1.6TXDA11P2.31.5 MHzINT1D231N4148R1008K2D46LEDA9P3.6P3.2P1.4VCCINTP2.6D7P1.5VCCT1INT0A13A6U25 SOCKET 20PIN1213141516171819452010 123678911P1.0/AIN0P1.1/AIN1P1.2P1.3P1.4P1.5P1.6P1.7X2X1VCCGND RST/VPPP3.0/RXDP3.1/TXDP3.2/INT0P3.3/INT1P3.4/T0P3.5/T1P3.7VCCD0TXDP1.2C14104HIGHADDRESSBUSP2.1P3.7RDP1.5VCCD6D5P2.5INT1J41A0-A7 BUS12345678D3R981K2000H- 3FFFHC12104P2.0P1.5RSTP1.4R1018K2P2.4VCCA14C1833pINT0A8C15104P1.2U20 745732345678911119181716151413121020D0D1D2D3D4D5D6D7LEOEQ0Q1Q2Q3Q4Q5Q6Q7GNDVCCJ40PORT 312345678A06264P1.7P1.1CLK750P3.4Y212MHzVCCA13D3D251N4148P0.1J42CLOCK OUT12345678P1.1J901ADC 5V12P1.46 MHzP3.13 MHzD1BT13V6J35SELECT CHIP12345678A2P1.3P1.3VCCP0.0CLK12A11A7EXTP3.0VCCD26264D3375 KHz750 KHzP1.0A4P1.04000H- 5FFFHVCCA5P1.6A15P3.4A8D7P0.4P1.7A0A6VCCU22 62641098765432524212321112131516171819281422272026A0A1A2A3A4A5A6A7A8A9A10A11A12D0D1D2D3D4D5D6D7VCCGNDOEWECS1CS2A10C2433pA15E000H- FFFFHP3.194 KHzVCCR1028K2C2133pP0.3U23 7413812315141312111097168645ABCY0Y1Y2Y3Y4Y5Y6Y7VCCGNDG1G2AG2B A4J43PORT 112345678SW17RESETU21 2764109876543252421232111213151617181928142227120A0A1A2A3A4A5A6A7A8A9A10A11A12O0O1O2O3O4O5O6O7VCCGNDOEPGMVPPCECLK12P1.1VCCP3.7A000H- BFFFHD0R97 10K123456789C000H- DFFFHA12J34CS626412P3.6 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.12Bin son: Phm Quang Tr Gii thiu chung: Khi vi iu khin c thit k cho php ngi s dng thun tin trong vic tin hnh th nghim i vi cc loi vi iu khin h 89 ca hng Atmel mi ch lm vic kh thi, gm cc loi vi iu khin 40 chn v cc vi iu khin 20 chn. oThnghimviiukhin20chn:viiukhincnthnghimscgnvosocketU25 (SOCKET20PIN),tns hotngcachipdoY2 quytnh, ccport xutnhpca chip J43 (PORT 1): Port 1 (trong P3.0 v P3.1 l ng vo ca b ADC trong chip); J44 (PORT 3): Port 3 (trong P3.6 khng s dng). reset chip bn s dng nt nhn SW17 (RESET). oThnghimviiukhin40chn:viiukhincnthnghimscgnvosocketU19 (SOCKET40PIN),tns hotngcachipdoY1 quytnh, ccport xutnhpca chip J37 (PORT 0): Port 0 (ngoi ra cn l bus d liu D0..D7 gii a hp); J38 (PORT 1): Port 1; J39 (PORT 2): Port 2 (ngoi ra cn l bus a ch byte cao A8..A15); J40 (PORT 3): Port 3 (ngoi ra cn l bus tn hiu iu khin c bit). u ni J41 (A0-A7 BUS): bus a ch byte thp A0..A7. resetchipbnsdngntnhnSW17(RESET).Trongtrnghpthnghimny,trnm hnh c thit k sn cc b nh ROM/RAM bn ngoi (ROM 2764: 8 KB v RAM 6264: 8 KB c ngun back-up BT1) nhm mc ch gip cho ngi s dng thun tin hn trong vic thit k vthnghimhthngviiukhin sdngbnhtronghocsdngbnhngoi.thc hinvicchuynibnhsdng(tronghayngoi)tathayiJumpJ36(INT/EXT),ni COM-INT:lsdngbnhtrong;niCOM-EXT:lsdngbnhngoi.Tmachca ROM:0000H1FFFH;RAMchun:0000H1FFFH.iviRAM,nutachcmtvi mch (RAM chun) ta s ni J34 (CS6264) xung GND, cn nu c thm I/O hot ng vi chc nngnhRAMthtaniJ34(CS6262)nbgiimachU23(74138)lcachca RAM c s thay i. Ngoi ra, ti khi vi iu khin trn m hnh th nghim cn c thit k sn: b chia tn s U24 (74393) c nhim v to ra cc tn s khc nhau J42 (CLOCK OUT) cung cp cho cc khi khc hoc dng cho cc mc ch khc ca ngi s dng, b gii m a ch U23 (74138) c nhim v to ra cc tn hiu iu khin chn chip vi cc tm a ch khc nhau J35 (SELECT CHIP). Bncnphichnmtimrtquantrngllunlunphittnguncungcpchokhiviiu khin trc khi tin hnh tho/gn chip vi iu khin vo socket nhm trnh gy hng chip vi iu khin ny. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.13Bin son: Phm Quang Tr ng dng: oTh nghim ng dng cc loi vi iu khin 20 chn v 40 chn. oTh nghim cu hnh vi iu khin s dng b nh bn trong hay b nh bn ngoi (Dung lng ROM/RAM ngoi c sn: 8KB/8KB, RAM c ngun Back-up). oPht cc tn hiu gii m a ch (CS): 0000H - 1FFFH, 2000H - 3FFFH, 4000H - 5FFFH, 6000H - 7FFFH, 8000H - 9FFFH, A000H - BFFFH, C000H - DFFFH, E000H FFFFH. oPhtccxungclockctns:12MHz,6MHz,3MHz,1.5MHz,750KHz,375KHz,188KHz, 94KHz. 1.2.3KhiLED im: S nguyn l: D5LEDD13LEDR75 330LED4+5VR64 3301. KHOI DAY LEDR51 330D15LEDD16LEDVCCLED5GNDD12LEDD14LEDD7LEDVCCD10LEDGNDR77 330LED3R72 330D8LEDD4LEDD1LEDR82 330LED6R52 330R78 330LED4LED0D3LEDR81 330R49 330LED5LED2LED7D2LEDLED6LED1D9LEDJ9BARLED12345678R56 330LED3R76 330R55 330R50 330LED7D6LEDJ103POWER123R63 330LED1D11LEDLED0J10BARLED12345678R71 330VCCLED2 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.14Bin son: Phm Quang Tr Gii thiu chung: Khi gm 16 LED im, c chia ra lm hai phn 8 LED. Cc dy LED ny c iu khin bng hai u ni J9 v J10 (BARLED) v th ta c th thc hin iu khin cng lc nhiu LED hoc ch mt LED. Mc tch cc iu khin cc LED ny l mc thp. Dng in cung cp cho cc LED phi t 15 25 mA cc LED c th hot ng tt nht. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J103 (POWER). ng dng: oTh nghim phng php kt ni LED im vi vi iu khin. oTh nghim phng php iu khin tng LED. oTh nghim phng php iu khin dy LED. oTh nghim cc kiu iu khin LED sng tt. oTh nghim nguyn l iu khin n giao thng. 1.2.4Khi LED 7 on: S nguyn l: J2SEL IN11234 Q1A1015SEL0GGJ110POWER123U6LED78 37 6 4 2 9 1 105CACAA B C D F E G DPGR42330Q7FDQ5R45330DAR22330DACQ6DPDQ2R42K2SEL3R12K2+5VR13 330R28330U3LED78 37 6 4 2 9 1 105CACAA B C D F E G DPGQ2J47SEG IN MUL12345678Q8A1015VCCR33330SEL2VCCR12 330J57SEG7 IN1 2 3 4 5 6 7 8J1SEL LED IN12345678U5LED78 37 6 4 2 9 1 105CACAA B C D F E G DPR14 330GNDEQ0R36330R17330ADPR32330DPQ2A1015SEL0CR383302. KHOI LED 7 OANDR24330R41330DQ5ED EQ3DSEL5GVCCR44330E DSEL6FQ4GVCCR11 330U7LED78 37 6 4 2 9 1 105CACAA B C D F E G DPF FDPR29330ASEL7GQ7A1015DPDPQ2FR20330R22K2R25330Q3A1015B ER37330SEL1AR62K2B R31330Q1Q6A1015DPFVCCR34330VCCQ6BGNDQ6Q3C EQ0U8LED78 37 6 4 2 9 1 105CACAA B C D F E G DPQ1GR23330Q5A1015BR43330R30330CQ4F R48330SEL4R35330R32K2SEL4DPQ1FR10 330ECC CR40330SEL7CQ7J87SEG4 IN1 2 3 4 5 6 7 8R15 330J77SEG5 IN1 2 3 4 5 6 7 8R16 330Q4A1015SEL5CVCCQ5R27330DPGB R21330BSEL6VCCR47330R39330Q3R18330SEL3BDP AR9 330EU2LED78 37 6 4 2 9 1 105CACAA B C D F E G DPQ4SEL1BAECR46330U1LED78 37 6 4 2 9 1 105CACAA B C D F E G DPFQ7BGR82K2R19330R72K2J3SEL IN11234R26330J67SEG6 IN1 2 3 4 5 6 7 8SEL2VCCFEAU4LED78 37 6 4 2 9 1 105CACAA B C D F E G DPB AR52K2Q0AD Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.15Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Khi LED 7 on bao gm 8 LED 7 on loi Anode chung kt hp li vi nhau theo hai cch thit k khc nhau. Cc LED ny u c cp ngun thng qua cc transistor Q1 - Q8 ng vai tr nh cc cng tc v c iu khin thng qua cc tn hiu iu khin tch cc mc thp ti u ni J1 (SEL LED IN), J2 (SEL IN1) v J3 (SEL IN2). 8 LED ny c chia ra lm hai nhm vi hai phng php thit k khc nhau cho mi nhm: oPhng php khng a hp (phng php iu khin LED trc tip): c thit k trn c s 4 LED (U1, U2, U3, U4). Phng php ny l phng php m cc on ca mi LED s c ni vomi Port iu khin c lp vi nhau v Anode ca tt c cc LED s c cp ngun ng thi vi nhau (thng thng th s c ni thng ln VCC). J5, J6, J7, J8 (7SEG IN): ng vo tn hiu 7 on (A-G v DP) ca tng LED s c ni n tng Port iu khin c lp vi nhau; J3 (SEL IN2): ng vo tn hiu iu khin cp ngun cho cc LED, ch ny th s c ni trc tip xung GND cp ngun lin tc v ng thi cho tt c cc LED. Phng php iu khin trong trng hp ny l cp m 7 on tng ng ca s cn hin th ra LED 7 on mong mun. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.16Bin son: Phm Quang Tr oPhng php a hp (phng php iu khin qut LED): c thit k trn c s 4 LED (U5, U6, U7, U8). Phng php ny l phng php m tt c cc on ca cc LED s c ni chung vo nhau v vo mt Port iu khin cn Anode ca tt c cc LED s c ni vo mt Port iu khin khc v c cp tn hiu qut LED mt cch tun t (ti mt thi im th ch c mt LED c cp ngun hot ng). J4 (7SEG IN MUL): ng vo tn hiu 7 on (A-G v DP) a hp ca tt c cc LED s c ni n mt Port iu khin; J2 (SEL IN1): ng vo tn hiu iu khin cp ngun cho cc LED, ch ny th s c ni n mt Port iu khin khc cung cp tn hiu qutLEDcpnguntuntchoccLED.Phngphpiukhintrongtrnghpnyl phi tin hnh tun t qua cc giai on: cp mt tn hiu qut LED sao cho ch c LED u tin c cp ngun ri a m 7 on tng ng ca s cn hin th ra LED 7 on ; k tip cp mt tn hiu qut LED sao cho ch c LED th hai c cp ngun ri a m 7 on tng ng ca s cn hin th ra LED 7 on ; qu trnh c din ra lin tc nh vy v do hin tng lu nh ca mt m ta thy c cc LED dng nh sng cng mt lc. Trong hai phng php nu trn th phng php a hp th thng c s dng nhiu hn trong thc t. Cho nn trn m hnh th nghim ny cng cho php ta c th thit k ch a hp cho tt c 8 LED ny bng cch ni cung tt c cc u ni J5, J6, J7, J8 vo vi u ni J4 thng qua khi m rng. Bng m 7 on cho cc LED: S HexdpgfedcbaM s HEX 011000000C0 111111001F9 210100100A4 310110000B0 41001100199 51001001092 61000001082 711110111F7 81000000080 91001000090 A1000100088 B1000001183 C11000010C2 D10100001A1 E1000011086 F100011108E Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.17Bin son: Phm Quang Tr Bng m iu khin qut LED: M HEXTn hiu qut iu khin cc Transistor FE11111110Transistor 1 ON FD11111101Transistor 2 ON FB11111011Transistor 3 ON F711110111Transistor 4 ON EF11101111Transistor 5 ON DF11011111Transistor 6 ON BF10111111Transistor 7 ON 7F01111111Transistor 8 ON Ngoi ra cn cc m 7 on cho cc LED khc, cc bn c th t mnh thit lp thm nng cao s lng k t c th hin th trn LED 7 on cho th nghim ca mnh. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J110 (POWER). ng dng: oTh nghim phng php kt ni LED 7 on vi vi iu khin. oTh nghim phng php iu khin tng LED 7 on. oTh nghim phng php iu khin dy LED 7 on. oTh nghim cc kiu iu khin LED sng tt v hin th thng tin. oThnghimccphngphpiukhinLED7on ccchkhcnhau:ahp,khnga hp, ng vo BCD hay 7 on, oTh nghim nguyn l iu khin n giao thng. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.18Bin son: Phm Quang Tr 1.2.5Khi LED ma trn: S nguyn l: R85 330R80 330C2C1C0R67 10C4Q13A1015C6C5R74 10Q15A1015R88 330Q16A1015C5C7R73 10Q9A1015VCCR59 10Q14A1015R61 10R68 10+5VQ10A1015J12COL GREEN MATRIX12345678R66 10GNDR54 10U9 MATRIXLED221916133 6 9 122118151471024 2320171425811R0R1R2R3R4R5R6R7C1GC2GC3GC4GC5GC6GC7GC0G C0RC1RC2RC3RC4RC5RC6RC7RC3R86 330R70 10VCCR5R2R87 330R1Q12A1015R84 330C2R83 3303. KHOI LED MA TRANR3GNDC3R7J13ROW MATRIX12345678R69 10R6Q11A1015C7C4R79 330J11COL RED MATRIX12345678R53 10R57 10R4R58 10R62 10C6R65 10J116POWER123R0C0C1R60 10 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.19Bin son: Phm Quang Tr Gii thiu chung: Loi ma trn LED s dng trn m hnh th nghim ny l loi ma trn LED 24 chn gm 8 hng v 8 ct. Ma trn LED ny c hai mu chnh l xanh v , ngoi ra cn c mt mu ph l do s tng hp ca hai mu trn m ra. Mi im LED trn ma trn c cu to gm hai LED mu xanh v mu ghp li vi nhau theo nguyn tc Anode ghp chung v Cathode ring. Ma trn LED 8 hng x 8 ct ny gm 24 chn c chia ra lm ba nhm chn: 8 chn HNG R0-R7 (l cc Anode chung ca cc LED trn cng mt hng), 8 chn CT C0R-C7R (l cc Cathode chung ca cc LED mu trn cng mt ct), 8 chn CT XANH C0G-C7G (l cc Cathode chung ca cc LED mu xanh trn cng mt ct). S kt hp cc mc logic cao/thp thch hp trn cc hng v ct theo mt qui lut nht nh (hin th theo phng php qut hay cn gi l phng php ch th ng) m ta s c c cc hnh nh nh mong mun trn ma trn LED vi cc mu sc khc nhau. J11(COLREDMATRIX):ngvoiukhinhngR0-R7choccLEDmu,tchccmcthp;J12 (COL GREEN MATRIX): ng vo iu khin hng R0-R7 cho cc LED mu xanh, tch cc mc thp; J13 (ROW MATRIX): ng vo iu khin ct chung C0-C7 cho cc LED, tch cc mc thp. Phng php iu khin cng tng t nh phng php iu khin cc LED 7 on ch a hp (phng phpqutLED).u tin,ta cp tnhiusaochochcHNG1c ngunri amnhphn tngngca hnh nh cn hin th ti hng ra cc ct. K tip, ta cp tn hiu sao cho ch c HNG 2 c ngun ri a m nh phn tng ng ca hnh nh cn hin th ti hng ra cc ct. Qu trnh c din ra mt cch tun t v lin tc nh vy v do hin tng lu nh ca mt m ta thy c cc LED dng nh sng cng mt lc to ra hnh nh nh mong mun trn ma trn LED. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J116 (POWER). ng dng: oTh nghim phng php kt ni LED ma trn vi vi iu khin. oTh nghim phng php iu khin tng LED trong ma trn. oTh nghim phng php iu khin nhiu LED trong ma trn. oTh nghim cc kiu iu khin LED sng tt v hin th thng tin tnh hoc thng tin ng vi cc mu sc khc nhau. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.20Bin son: Phm Quang Tr 1.2.6KhiLCD: S nguyn l: VCCD1J128CTRL LCD123R14910KENA25. KHOI LCDBLQ25C1815D4D0RS D2R/WLCD16 Characters x 2 LinesU57 TC1602A1 2 3 4 5 6 7 8 9 10111213141516GNDVCCVEERSR/WE D0D1D2D3D4D5D6D7LED+LED-D3CONTRASTBLJ104POWER123GNDJ127DATA LCD12345678R1482K7D6VCC+5VD7J121BACKLIGHT1 2D5VCCGND S b tr linh kin: Gii thiu chung: Trn m hnh th nghim cthit k sn mt LCD 16 k t x 2 hng, c s dng cho cc bi th nghim v phng php iu khin v hin th thng tin trn mn hnh tinh th lng (LCD). J127(DATALCD):ngvonhnthngtindliu(Data)hocthngtinlnh(Command)choLCD,J128 (CTRL LCD): ng vo iu khin LCD. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.21Bin son: Phm Quang Tr iu chnh tng phn ca cc thng tin hin th trn LCD ta tin hnh iu chnh bin tr R149. bt nchiunnchoLCDtrongtrnghpnhsngmitrngyulmvichinththngtintrnLCDkhng c r rng th ta cung cp mt mc logic cao (5V) vo u ni J121 (BACKLIGHT). Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J104 (POWER). ng dng: oTh nghim phng php kt ni LCD vi vi iu khin. oTh nghim phng php iu khin trng thi lm vic ca LCD. oTh nghim phng php iu khin LCD hin th thng tin tnh hay ng. 1.2.7Khicng tc: S nguyn l: SW27SWITCH20. KHOI CONG TACSW0SW2SW3SW5SW6VCCSW1VCCJ106POWER123GNDSW4SW7+5VR147 10K1 2 3 4 5 6 7 8 9GNDJ122SWITCH12345678 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.22Bin son: Phm Quang Tr Gii thiu chung: Nhim v chnh ca khi cng tc l s dng cho cc bi th nghim v giao tip gia vi iu khin vi cng tc, lp trnh iu khin dng cng tc. Khi gm 8 cng tc c thit k trn mt DIP-SW, cc cng tc ny s to ra mt mc logic cao (5V) hoc thp (0V) cc bit tng ng ca u ni J122 (SWITCH) tu theo v tr ca cng tc trn DIP-SW (cng tc v tr ON l mc logic thp v ngc li s c mc logic cao). Ngoi ra, khi ny cn c s dng cho vic ni GND hoc ni VCC cho cc khi cn thit, v d nh ni GND cho cc transistor trong khi LED 7 on. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J106 (POWER). ng dng: oTh nghim phng php kt ni cng tc vi vi iu khin. oTh nghim ng dng iu khin thit b bng cng tc. oTo cc mc logic thp (0V) hoc logic cao (5V) cho cc khi khc trn m hnh th nghim. 1.2.8Khint nhn: S nguyn l: SW19KEY0GNDSW26KEY7K0 VCCKEY4K7+5VR1284K7GNDK4SW21KEY2R1324K7VCCK216. KHOI NUT NHANJ91PUSH KEY12345678K1VCCVCCK5R1314K7KEY0J114POWER123K0K6KEY1K4VCCR1294K7KEY7VCCSW22KEY3SW24KEY5VCCK1K2K7R1254K7SW23KEY4SW25KEY6KEY2K3KEY6R1274K7KEY5SW20KEY1VCCR1264K7K6VCCK3K5R1304K7KEY3 S b tr linh kin: Gii thiu chung: Nhimvchnhcakhi ntnhn lsdngchoccbithnghimvgiaotipgiaviiukhinvi nt nhn, lp trnh iu khin dng nt nhn. Khi gm 8 nt nhn c thit k theo nguyn tc: nu khng nhn nt th mc logic ti bit tng ng ca u ni J91 s c mc logic cao (5V) v ngc li khi nhn nt th s c mc logic cao. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.23Bin son: Phm Quang Tr Ngoira,khi nycnc s dngchovicto ramtxungkch cmc logicthp (hockchbngcnh xung) cho cc khi khc trn m hnh th nghim, v d nh cung cp xung m hoc xung ngt cho vi iu khin. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J114 (POWER). ng dng: oTh nghim phng php kt ni nt nhn vi vi iu khin. oTh nghim ng dng iu khin thit b bng nt nhn. oTo cc tn hiu xung c mc logic thp cho cc khi khc trn m hnh th nghim. 1.2.9Khibn phm: S nguyn l: R3R1SW3 3C3R1SW10 8R1SW6 5R0R0R2SW14 0C0SW13ESCR2C25. KHOI PHM MATRANC2C1SW7 6SW11 9SW15ENTERR2C3R0C2J18KEYPAD12345678C0C0 C1SW12 F3SW5 4SW9 7C1R1R3SW8 F2R3C3SW4 F1R2C3R3SW16 F4R0SW1 1C0SW2 2J15KEYBOARD12345678C1C2 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.24Bin son: Phm Quang Tr Gii thiu chung: Khi bn phm trn m hnh th nghim ny c thit k da vo cu trc hng v ct ca ma trn. Bn phm gm 16 phm c sp xp theo ma trn 4 x 4 (tc 4 hng x 4 ct). Cc hng v ct ca ma trn phm ny c kt niviviiukhin thngquauni J15(KEYBOARD),R0-R3:cchngcamatrnphm,C0-C3:ccct ca ma trn phm. iu khin bn phm ma trn ny ta s dng phng php qut phm. iu khin qut phm th bn xut mt d liu 4 bit (trong c 1 bit mc logic thp v 3 bit cn li mc logic cao) ra cc ct ca ma trn phm (C0-C3), c 4 bit d liu cc hng ca ma trn phm (R0-R3) vo kim tra xem c phm no c nhn hay khng. Nu c phm nhn th trong 4 bit c vo s c 1 bit mc logic thp v da trn c s thit lp m phm nhn. Nu khng c phm nhn th 4 bit c vo u c mc logic cao, khi ta chuyn mc logic thp sang ct k tip d tm phm khc. ng dng: oTh nghim phng php kt ni bn phm c thit k theo kiu ma trn vi vi iu khin. oTh nghim ng dng iu khin thit b bng bn phm. oTh nghim cc phng php qut phm v nhn dng phm nhn. 1.2.10Khirelay: S nguyn l: NC12COM11R922K2NO21NO11LS1RELAY 5V34568712COM21R91330NO12VCCNC22NO22 NO12GNDR89330NO11COM21VCC VCCCOM12R902K26. KHOI RELAYCOM12NC11GNDQ18C1815+5VJ27RELAY 1 OUT12345678D19LEDD181N4148NO21NC11+5VNC22+5VCOM12J26RELAY 2 OUT12345678NO12LS2RELAY 5V34568712NO21NC12COM22VCCGNDNC11NC22J111POWER123COM11COM22REL1GNDCOM21Q17C1815COM22NO11D17LEDNO22NO22COM11VCCNC21REL1NC21NC12D201N4148NC21 Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.25Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Trn m hnh th nghim c thit k sn hai relay LS1 v LS2 cho cc ng dng lp trnh iu khin ng ngt cng sut. Hai relay c iu khin bng u ni J18 (RELAY CTRL), ng (hoc) relay th bn cn cung cp mt mc logic cao (hoc logic thp) n u ni J18 ny. Cc cng tc bn trong relay c ni ra bn ngoi thng qua cc u ni J26 (RELAY1 OUT) v J27 (RELAY2 OUT). Trong : COM (Common): im chung; NC (Normal Close): im thng ng; NO(Normal Open): im thng m. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J111 (POWER). ng dng: oTh nghim phng php kt ni relay vi vi iu khin. oTh nghim ng dng iu khin relay. 1.2.11Khito xung: S nguyn l: U18 LM55534815 267OUTRSTVCCGNDCV TRGTHRDSCHGVCCPULSE+5VPULSEGNDC1010uJ113POWER123GNDR951KJ33PULSE OUT12D221N41487. KHOI TAO XUNGVCCVCCC9104C7103R96100KC11103R941K D211N4148R93100KVCCVCC Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.26Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Khi to xung trn m hnh s dng vi mch LM555 to ra cc xung vung cung cp cho cc ng dng lp trnh iu khin cn n. Xung vung c ly ra ti u ni J33 (PULSE OUT), tn s xung c iu chnh bi bin tr R93 v phn trm xung mc cao (hoc thp) c iu chnh bi bin tr R96. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J113 (POWER). ng dng: Pht xung clock c tn s thay i v to xung iu khin cho vi iu khin hoc cho cc khi khc trn m hnh th nghim. 1.2.12Khito p thay i: S nguyn l: GNDR104100K J46V OUT 212R106100K11. KHOI TAO APJ48V OUT 412J47V OUT 312VCC VCC VCCGNDR103100KVCCVCC+5VR105100K J45V OUT 112D35POWER123 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.27Bin son: Phm Quang Tr Gii thiu chung: Khi to p ny c thit k trn m hnh ch yu nhm mc ch gi lp tn hiu tng t cung cp cho khi ADC thc hin vic bin i. Khong in p thay i nm trong khong 0V 5V. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni D35 (POWER). ng dng: oToccmcinpthayilintct0Vn5Vcungcpchocckhitrnmhnhth nghim. oGi lp tn hiu tng t cung cp cho khi ADC. 1.2.13Khiiu khin ng c bc: S nguyn l: GNDD7D6AJ31CTRL MOTOR12345678DCJ32STEPPER21234A+5VVCCB8. KHOI MOTOR BUOCDCBU17 ULN280310 9123456781817161514131211COM GNDIN1IN2IN3IN4IN5IN6IN7IN8OUT1OUT2OUT3OUT4OUT5OUT6OUT7OUT8GNDJ30STEPPER 11234D4D5C8104D2VCCD1D0J105POWER1 2 3D3 S b tr linh kin: Gii thiu chung: Khi iu khin ng c bc c thit k trn c s vi mch ULN2803, khi c th iu khin c cng lc hai ng c bc cng sut nh (in p hot ng: 5VDC, dng in tiu th: 500 mA). Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.28Bin son: Phm Quang Tr J31 (CTRL MOTOR): ng vo nhn tn hiu iu khin hai ng c bc t vi iu khin; J30 (STEPPER 1), J32 (STEPPER 2): ng ra iu khin ca hai ng c bc. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J105 (POWER). ng dng: oTh nghim phng php kt ni ng c bc vi vi iu khin. oTh nghim ng dng iu khin ng c bc. 1.2.14KhiSerial EEPROM: S nguyn l: A0VCCJ112POWER123A1GND+5VVCCA2J49ADDR EEPROM123SDAJ50CTRL EEPROM12310. KHOI SERIAL EEPROMWPSCLU27 AT24C0412345678A0A1A2GNDSDASCLWPVCCC25104GNDVCC S b tr linh kin: Gii thiu chung: Khi c thit k trn nn tng vi mch b nh giao din ni tip 2 dy, AT24C04, c dung lng l 4 KB (512 x 8 bit). Khi c thit k nhm mc ch gip ngi s dng c th th nghim cc phng php ghi/c d liu gia vi iu khin vi Serial-Eeprom. J49(ADDREEPROM):ngvoachcabnh;J50(CTRLEEPROM):cctnhiuiukhinca Eeprom nh ng vo d liu ni tip, xung clock ni tip v tn hiu chng ghi vo b nh. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J112 (POWER). Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.29Bin son: Phm Quang Tr ng dng: oTh nghim phng php kt ni Serial-Eeprom vi vi iu khin. oTh nghim cc phng php ghi/c d liu gia vi iu khin vi Serial-Eeprom. 1.2.15Khicm bin nhit: S nguyn l: R15139KC55104R13410KJ135POWER1234-VCCC54104VCCJ96V112R13610K-VCCVCCR13720K-+U43OP0732674 81-+U40OP0732674 81-+U39OP0732674 81VCCD44LM335C53104-VCCV1V1VCCR13539KV2VCCR13320KVCCV219. KHOI CAM BIEN NHIETC5610uJ92V212-VCCR124 39KVCCC5210uJ93V OUT12 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.30Bin son: Phm Quang Tr Gii thiu chung: m rng cc ng dng giao tip gia vi iu khin (mi trng s) vi mi trng bn ngoi (mi trng tng t) v cc ng dng mang tnh thc t hn. V th, trn khi c chun b sn khi cm bin nhit vi phn gii l 0,5V ngi s dng c th nghin cu thit k v lp trnh iu khin cc h thng o nhit mi trng v khng ch nhit bng vi iu khin. J93 (V OUT): in p (tng t) ng ra ca khi cm bin nhit; J92 (V2): ng vo ca in p so snh; J96 (V1): ng vo ca tn hiu t cm bin nhit LM335. LM335 l cm bin nhit c th hot ng n 150OC. Tng ng vi nhit 0OK th LM335 cho ra in p 0V. C tng 1OC th in p ra tng 10mV. Nh vy, vi 0OC th in p ra l 2,73V. d dng cho vic x l chng trnh th vi 0OC in p ra nn l 0V. Mun vy cn c thm mch khuch i hiu tr bt i 2,73V. in p ng ra ti J93 V OUT = AV(V1 V2). Suy ra, in p ng ra thay i 10AV (mV) khi nhit thay i 1OC. phn gii cn thit l 0,5OC nn in p thay i khi nhit thay i 1OC phi bng 2 ln phn gii ca ADC 0809: 10AV (mV) = 2 x 19,6 (mV) AV = 3,92 chn RF = R124 = 39K v RI =R134 = 10K Tin hnh cn chnh mch: chnh bin tr R137 sao cho V1 = 2,73 + 0,01 x tOC (V). Trong thc t chnh xc th ta nhng LM335 vo nc ang tan (0OC). Chnh bin tr R133 sao cho V2 = 2,73 V. Ch : nhit sau khi qua khi cm bin nhit s to ra mt in p ti J93 (V OUT) c gi tr l 39 * tOC (mV). Vi phn gii ca ADC 0809 l 19,6 mV th in p trn sau khi qua ADC 0809 s c gi tr l 39 * tOC / 19,6 2 * tOC. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J135 (POWER). ng dng: oTh nghim ng dng o nhit mi trng bng vi iu khin. oGi lp tn hiu tng t cung cp cho khi ADC. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.31Bin son: Phm Quang Tr 1.2.16Khim d liu: S nguyn l: GNDIN6OUT3U32 ULN280310 9123456781817161514131211COM GNDIN1IN2IN3IN4IN5IN6IN7IN8OUT1OUT2OUT3OUT4OUT5OUT6OUT7OUT8J78INPUT 412345678OUT4OUT0OUT4IN5C32104IN7IN7OUT7OUT5U30 ULN280310 9123456781817161514131211COM GNDIN1IN2IN3IN4IN5IN6IN7IN8OUT1OUT2OUT3OUT4OUT5OUT6OUT7OUT8IN1IN2IN4IN2+5VOUT0IN1OUT3OUT7IN0IN4J68INPUT 312345678IN6+5VOUT0IN5IN3OUT1IN7IN6OUT1U31 ULN280310 9123456781817161514131211COM GNDIN1IN2IN3IN4IN5IN6IN7IN8OUT1OUT2OUT3OUT4OUT5OUT6OUT7OUT8IN2C29104OUT1IN3IN3+5VOUT4OUT0IN2OUT6VCCOUT3IN1OUT7OUT2J79OUTPUT 412345678IN0IN5 IN5IN0J69OUTPUT 312345678OUT513. KHOI EM D LIEUIN1C33104OUT1J53INPUT 112345678IN3J54OUTPUT 112345678IN4OUT3OUT6IN0OUT5J61INPUT 212345678OUT4 IN4OUT2+5V+5VU28 ULN280310 9123456781817161514131211COM GNDIN1IN2IN3IN4IN5IN6IN7IN8OUT1OUT2OUT3OUT4OUT5OUT6OUT7OUT8OUT6OUT7IN6OUT5C34104J62OUTPUT 212345678OUT2IN7J109POWER123GNDOUT2OUT6 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.32Bin son: Phm Quang Tr Gii thiu chung: Trong trng hp cc port xut nhp ca vi iu khin cn phi iu khin nhng thit b cng sut ln hoc phi iu khin cng lc nhiu thit b trn mt port. Lc ny i hi cn phi c cc b khuch i dng in ng ra ti cc port xut nhp ca vi iu khin th n mi c th p ng c cng vic iu khin nu trn. Trn m hnh th nghim c thit k sn bn b m d liu c chc nng khuch i cc dng in ti ng vo ca chng. Dng in ti ng ra l khong 300 - 500 mA. Lu mt iu rt quan trng trong khi ny l cc b m ULN2803 s dng y l cc b m c o. J53, J68, J61, J78 (INPUT): ng vo ca cc b m d liu; J54, J69, J62, J79 (OUTPUT): ng ra ca cc b m d liu (ng ra c o trng thi so vi ng vo). Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J109 (POWER). ng dng: ocsdngthchinvicktnigiaccthitbngoivicngsutcaovichipviiu khin. oKhuch i dng in iu khin cung cp cho cc thit b cng sut cao. oLu khi ny l khi khuch i m o. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.33Bin son: Phm Quang Tr 1.2.17Khigii m: S nguyn l: DJ28DECODER 2-412BFBSEL6SEL BSEL2SEL A4. KHOI GIAI MAEU15A 741392314567168ABGY0Y1Y2Y3VCCGNDSEL1J36POWER123C5104VCCU13 744771264531312111091514168D0D1D2D3BI/RBORBILTABCDEFGVCCGNDCC1104SEL3CBVCCDPVCCU14 7413812315141312111097168645ABCY0Y1Y2Y3Y4Y5Y6Y7VCCGNDG1G2AG2BDPCJ177SEG OUT 212345678GSEL0VCCDBASEL2DSEL CGVCCSEL1SEL AJ217SEG OUT 412345678SEL0SEL3J197SEG OUT 312345678 J20BCD IN 3-412345678SEL5FJ147SEG OUT 112345678U11 744771264531312111091514168D0D1D2D3BI/RBORBILTABCDEFGVCCGNDSEL ADVCCDPVCCVCCCFVCCSEL2GNDCCASEL BVCCJ24DECODER 2-412CEC DVCCVCCSEL1C3104U12 744771264531312111091514168D0D1D2D3BI/RBORBILTABCDEFGVCCGNDAU15B 741391413151211109ABGY0Y1Y2Y3SEL BDDJ16BCD IN 1-212345678AAC2104SEL0DGVCCC4104U10 744771264531312111091514168D0D1D2D3BI/RBORBILTABCDEFGVCCGNDBGB+5VAVCCDPGNDSEL3EJ25DECODER OUT1234VCCJ29DECODER OUT1234SEL7BFEC6104J22DECODER 3-8123A AJ23DECODER OUT12345678BSEL4 S b tr linh kin: Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.34Bin son: Phm Quang Tr Gii thiu chung: M hnh th nghim ny c thit k sn mt b gii m 3 sang 8 (U14 - 74138), hai b gii m 2 sang 4 (U15 -74139)vbnbgiimBCDsang7on(U10..U13-7447).Mcchchnhcaccbgiimnyls dng kt hp vi khi LED 7 on sinh vin c th thit k, th nghim v tm hiu v cc phng php iu khin LED 7 on t n gin (iu khin trc tip) n phc tp (iu khin theo kiu qut LED). Mt s phng php iu khin LED 7 on nh (cu trc ca cc phng php ny c th xem phn sau hoc xem trong ti liu Hng dn s dng phn mm m phng MCS-51 Topview Simulator): oCh hin th khng a hp vi ng vo BCD (Non Multiplexed displays with BCD inputs). oChhinthkhngahpvingvo7on(NonMultiplexeddisplayswith7segment inputs). oChhinthahpngvoBCDvibahpbntrong(MultiplexedBCDinputdisplays with internal multiplexer). oChhinthahpngvo7onvibahpbntrong(Multiplexed7segmentinput displays with internal multiplexer). oChhinthahpngvoBCDvibahpbnngoi(MultiplexedBCDinputdisplays with external multiplexer). oChhinthahpngvo7onvibahpbnngoi(Multiplexed7segmentinput displays with external multiplexer). J16 (BCD IN 1-2): ng vo ca hai tn hiu m BCD (4 bit cao v 4 bit thp); J14, J17 (7 SEG OUT 1, 7 SEG OUT 2): ng ra ca tn hiu m 7 on tng ng. Tng t nh vy cho J20 v J19, J21. J22 (DECODER 3-8): cc ng vo ca b gii m 3 sang 8; J23 (DECODER OUT): cc ng ra ca b gii m 3 sang 8. J24, J28 (DECODER 2-4): cc ng vo ca b gii m 2 sang 4; J25, J29 (DECODER OUT): cc ng ra ca b gii m 2 sang 4. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J36 (POWER). ng dng: oCung cp b gii m BCD sang 7 on. oCung cp b gii m 2 sang 4 hoc b gii m 3 sang 8. oTh nghim phng php kt ni khi LED 7 on trc tip hoc kt ni c thng qua cc b gii m vi khi vi iu khin. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.35Bin son: Phm Quang Tr 1.2.18KhiADC: S nguyn l: CS0809IN1GNDU54A7402231147IN4IN3A0U52 ADC080910971714158181920216221113121626272812345252423CLKOEEOCD0D1D2D3D4D5D6D7STARTALEVCCGNDREF+REF-IN0IN1IN2IN3IN4IN5IN6IN7A0A1A2C66104J118CS080912GNDWRIN5D0+5VA1CS0809VCCU54B7402564D6CLK750KVCC22. KHOI ADCJ113DIGITAL OUT12345678D5VCCD34POWER123D7C65104D2RDVCCD4IN0D1IN6A2IN7J112ANALOG IN12345678IN2VCCD3 S b tr linh kin: Gii thiu chung: Nhm mc ch gip sinh vin c th nghin cu, thit k v tm hiu v nguyn l chuyn i tn hiu tng t sang tn hiu s v ng dng ca cc vi mch ADC trong thc t. T c th d dng v thun tin trong vic thit k c cc h thng chuyn i tn hiu tng t sang tn hiu s dng vi iu khin kt hp vi mch ADC. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.36Bin son: Phm Quang Tr Trn m hnh th nghim thit k sn mt vi mch ADC 0809, l mt vi mch chuyn i tng t s 8 bit c8ngvotnhiutngt,thchinvicchuynitnhiuny.J112 (ANALOGIN):ccngvotn hiu tng t (c 8 ng vo); J113 (DIGITAL OUT): ng ra tn hiu s 8 bit; J118 (CS 0809): ng vo cho php ADChotng.Vicchnlangvocatnhiutngt sdokhiviiukhinquytnhthngquacc ngachA0..A2.Qutrnhthchinchuynivadliutiviiukhinsdokhiviiukhin qun l thng qua hai tn hiu RD\ v WR\. Tn s ly mu ca chip ADC l 750KHz c ly t b chia tn trong khi vi iu khin. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni D34 (POWER). ng dng: oTh nghim phng php kt ni vi mch ADC vi vi iu khin. oTh nghim phng php chuyn i dng tn hiu t tng t sang s s dng vi mch ADC0809. 1.2.19KhiDAC: S nguyn l: R1462K7U55 DAC08081211109876514152416313A8A7A6A5A4A3A2A1VR+VR-/IOUTIOUTCOMPV-V+VCCJ120ANALOG OUT12D455V1C74104R14210K-+U56LM7413267 14 5D3ANALOG+12VC7110uD421. KHOI DAC-VCCR1412K7R1432K7D1D6C70104R1502K7D0D5C73104D7R14510KD2+12VR1442K7J119DIGITAL IN12345678C72104ANALOG-12V Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.37Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Nhm mc ch gip sinh vin c th nghin cu, thit k v tm hiu v nguyn l chuyn i tn hiu s sang tn hiu tng t v ng dng ca cc vi mch DAC trong thc t. T c th d dng v thun tin trong vic thit k c cc h thng chuyn i tn hiu s sang tn hiu tng t dng vi iu khin kt hp vi mch DAC. Trn m hnh th nghim thit k sn mt vi mch DAC 0808, l mt vi mch chuyn i s tng t 8 bit,thchinvicchuynitnhiuny.J119(DIGITALIN):ngvotnhius8bit;J120(ANALOG OUT): ng ra tn hiu tng t (dng in p). Vi mch U56 (LM741) lm nhim v bin i tn hiu tng t ti ng ra ca vi mch DAC t dng dng in sang dng in p. Bin tr R145: iu chnh mc in p chun. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J108 (POWER). ng dng: oTh nghim phng php kt ni vi mch DAC vi vi iu khin. oTh nghim phng php chuyn i dng tn hiu t s sang tng t s dng vi mch DAC0808. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.38Bin son: Phm Quang Tr 1.2.20KhiRTC: S nguyn l: J147POWER123J108CS RTC12WRRTCRD AD1RSTJ104READ/WRITE12AD7SQWAD6 INTJ105CTRL P-RTC1234AD4AD3ALEGNDAD5C6410p+5VSCLR139 10KAD0Y432.768KHzGNDSDAU46 DS1288719232412456789101114171181513IRQSQWVCCGNDAD0AD1AD2AD3AD4AD5AD6AD7ASDSMOTRSTR/WCSR14010KAD2U49 PCF858312345678OSC1OSC0A0 VSSSDASCLINTVDDR13810KA0J103ADD/DATA BUS1234567818. KHOI REAL TIME CLOCK (RTC)J109CTRL S-RTC1234VCCVCCINTC63104VCCRTCVCCC62104VCCVCC S b tr linh kin: Gii thiu chung: Nhm mc ch gip sinh vin c th nghin cu, thit k v tm hiu v cc vi mch ng h thi gian thc (RTC) t c th d dng v thun tin trong vic thit k c cc h thng ng h s dng vi iu khin kt hp vi mch RTC. Trn m hnh th nghim thit k sn hai loi RTC: loi giao tip song song (DS12C887) v loi giao tip ni tip (PCF8583). Lu rng loi RTC ni tip khng lu gi c cc thng s hot ng khi ngun cung cp b mt. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.39Bin son: Phm Quang Tr oLoigiaotipsong song(DS12C887): J103(ADD/DATABUS):busachvdliuahp; J104 (READ/WRITE): tn iu khin ghi v c RTC; J105 (CTRL P-RTC): tn hiu ngt, cht a ch, reset RTC v tn hiu xung a tn; J108 (CS RTC): tn hiu cho php RTC hot ng. oLoi giao tip ni tip (PCF8583): J109 (CTRL S-RTC): tn hiu a ch, ngt, xung clock ni tip v d liu ni tip. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J147 (POWER). ng dng: oTh nghim phng php kt ni cc vi mch RTC vi vi iu khin. oTh nghim lp trnh ng dng thit k ng h s s dng vi mch RTC kiu song song. oTh nghim lp trnh ng dng thit k ng h s s dng vi mch RTC kiu ni tip. 1.2.21Khithanh ghi dch: S nguyn l: D4U53 741641289345610111213147ABCLKCLRQ0Q1Q2Q3Q4Q5Q6Q7VCCGNDD0D6D0D2D2D5D4J111PARALLEL OUT 112345678GNDD1VCCD3D024. KHOI THANH GHI DCHCLKU51 7416510111213143456215197168DSP0P1P2P3P4P5P6P7CP1CP2PLQHQHVCCGNDD7STRU50 409445671413121191016812315Q1Q2Q3Q4Q5Q6Q7Q8QS1QS2VCCGNDSTRDCLKOED6OUTCLK-3GNDJ116PARALLEL OUT 212345678VCCOUTJ114PARALLEL IN12345678+5VVCCCLKLDVCCVCCJ110SERIAL IN 1123J117SERIAL OUT123LDINC67104VCCINJ115SERIAL IN 212J115POWER123D2D3C68104CLK D1D7D3D4D5D6D7C69104D1D5 Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.40Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Khi thanh ghi dch trn m hnh th nghim c s dng nghin cu th nghim v thit k cc ng dng chuyn i d liu t dng ni tip sang dng song song 8 bit v ngc li. Vic nghin cu th nghim tnh nng chuyn i ny gip cho bn c th tm hiu su hn v nguyn l hot ng v phng php iu khin cng nh ch hot ng ca port ni tip trong chip vi iu khin (m ch yu l ch thanh ghi dch ca port ni tip). Port ni tip ca chip vi iu khin c th c s dng m rng thnh mt hay nhiu port xut song song. thchiniuny,tasdngportnitip ch thanhghidch (ShiftRegisterMode0)vsdngvi mch chuyn i t dng ni tip ra dng song song (Serial In Parallel Out) nh 74164 (U53) v 4094 (U50). Vi hot ng xut ch thanh ghi dch th trn vi iu khin ng RXD l ng ra d liu ni tip, ng TXD l ng ra xung clock. Port ni tip ca chip vi iu khin c th c s dng m rng thnh mt hay nhiu port nhp song song. thchiniuny,tasdngportnitip ch thanhghidch (ShiftRegisterMode0)vsdngvi mchchuynitdngsongsongradngnitip(ParallelInSerialOut)nh74165(U51).Vihotng xut ch thanh ghi dch th trn vi iu khin ng RXD l ng vo d liu ni tip, ng TXD l ng ra xung clock. U50(4094)vU53(74164)lhaivimchchuynidliutdngnitipradngsongsong.J110 (SERIAL IN1), J115 (SERIAL IN2): ng vo ca d liu ni tip, IN: ng vo ca d liu ni tip, CLK: ng vo caxungclockhayxungdchchuyndliu,STR:ngvocaxungchtdliungra.J111(PARALLEL OUT1), J116 (PARALLEL OUT2): ng ra ca d liu song song 8 bit. U51 (74165) l vi mch chuyn i d liu t dng song song ra dng ni tip. J114 (PARALLEL IN): ng vo ca d liu song song 8 bit. J117 (SERIAL OUT): ng ra ca d liu ni tip, OUT: ng ra ca d liu ni tip, CLK:ngvocaxungclockhayxungdchchuyndliu,LD:chophptidliusongsongvovimch (LD=0: ti d liu mi t ng vo song song vo trong vi mch, LD=1: cht d liu nhn c t ng vo song song li v thc hin vic ghi dch d liu ny.Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.41Bin son: Phm Quang Tr Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J115 (POWER). ng dng: oTh nghim phng php xut nhp d liu dng ni tip s dng phng thc thanh ghi dch. oThnghimphngphpchuynikiudliu tdngsongsongsangdngnitipsdng phng thc thanh ghi dch. oThnghimphngphpchuynikiudliu tdngnitipsangdngsongsongsdng phng thc thanh ghi dch. 1.2.22Khim rng port I/O: S nguyn l: D7D5J102DATA OUT12345678J107CS573B12D3245D1573AD0D6D5573BVCCC58104U41 825534333231302928274321403938371819202122232425141516171312111026753698356D0D1D2D3D4D5D6D7PA0PA1PA2PA3PA4PA5PA6PA7PB0PB1PB2PB3PB4PB5PB6PB7PC0PC1PC2PC3PC4PC5PC6PC7VCCGNDRDWRA0A1RESETCS573AD5WRU45 745732345678911119181716151413121020D0D1D2D3D4D5D6D7LEOEQ0Q1Q2Q3Q4Q5Q6Q7GNDVCCD0GNDJ98CS24512D7573BJ107POWER123D0D2PC3D2D7+5VD6D3U42 742452345678919118171615141312112010A0A1A2A3A4A5A6A7GDIRB0B1B2B3B4B5B6B7VCCGNDD2J101PORT C12345678J97PORT B12345678PC0D3VCCD5GNDVCCD7J106CS573A12C59104PC7D4D6D2PB5A0VCCVCCD1D3PC4PB1D3VCCD4D6PC5D5D7J99DATA OUT12345678WRPB6PC1D2PB2PC6D4D3VCCD0PA0WRD0D4PC2D1PA7PA3D4D0PA6C571048255PA5D6D1C61104PB3D1D6J95DATA IN12345678PA1C601048255D1PB0245D4RDD7U47A7402231147J100CS825512D0A1D2PA4D2U47B7402564PB7PA217. KHOI M RONG PORT I/OU44 745732345678911119181716151413121020D0D1D2D3D4D5D6D7LEOEQ0Q1Q2Q3Q4Q5Q6Q7GNDVCCD3D7D4D6J94PORT A12345678PB4D5D1D5 Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.42Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Nhta bit, vi iu khin ch c ti a bn port xut nhp truyn thng vi cc thit b bn ngoi, l cha xt ti trng hp khi vi iu khin hot ng ch s dng b nh ngoi th vi iu khin ch cn li duy nht mt port xut nhp. Tuy nhin trong thc t c nhng trng hp ta cn phi truyn thng vi mt s lng ln hn cc thit b ngoi vi. Chnh v nhng c im ny t ra cho chng ta mt vn l phi lm cch no nng cao (m rng) c s lng port xut nhp cho vi iu khin. Khi m rng port I/O trn m hnh c to ra t cc vi mch chuyn dng m rng port xut nhp cho vi iu khin trn thc t nh: 8255 (m rng port xut nhp), 74245(m rng port nhp), 74573 (m rng port xut), t gip cho bn thun tin trong vic thc hin cc bi th nghim v vn ny. y c mt im m bn cn lu l cc vi mch 8255, 47245, 74573 trn y u c thit k hot ng tng t nh mt b nh ngoi ca vi iu khin (c ngha l s hot ng truyn thng cachng din ra thng qua bus a ch A0-A1, bus d liu D0-D7, bus iu khin RD v WR, tn hiu gii m a ch CS ly t khi vi iu khin. U41(8255)chophpmrngportxutvportnhp.BusdliuD0-D7caviiukhinsciu khin xut nhp thng qua ba port J94 (PORT A), J97 (PORT B), J9101 (PORT C) di s iu khin ca bus a chA0-A1,tnhiuRD(iukhinc/nhp d liu),tnhiu WR(iukhin ghi/xutd liu)vs chophp ca tn hiu J100 (CS8255). U42(74245)chophpmrngportnhp.BusdliuD0-D7caviiukhinsciukhinnhp thng qua port J95 (DATA IN) di s cho php ca tn hiu J98 (CS245). Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.43Bin son: Phm Quang Tr U44 (74573) v U45 (74573) cho php m rng port xut. Bus d liu D0-D7 ca vi iu khin s c iu khinxutthngquaportJ99(DATAOUT)vJ102(DATAOUT)disiukhincatnhiuWR(iu khin ghi/xut d liu) v s cho php ca tn hiu J107 (CS573A), J106 (CS573B). Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J107 (POWER). ng dng: oTh nghim phng php xut nhp d liu dng song song s dng cc vi mch s 74245, 74573. oTh nghim phng php lp trnh iu khin vi mch PPI 8255 bng vi iu khin. oTh nghim cc phng php m rng (tng thm s lng) port xut nhp d liu. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.44Bin son: Phm Quang Tr 1.2.23Khigiao tip PC: S nguyn l: D5JR2 PS21 23 45 6PEJ87USB2-PC12C7210uTXD+DATAGNDRTSD0D7TXDJ61POWER123-DATAN/A1GNDD1RTSGNDAUTOFEED\CLOCKJ77USB 11234J70STATUS LPT-PC12345678D2RXDSEL IN\DSRC3110uJ58RS232C-PC12345678DATASELD5STROBE\VCCJR1 PS21 23 45 6-DATAGNDCLOCKD4SEL IN\INIT+DATAJ82USB1-PC12D6ACK\AF\DSRD0DTRRXDACKC2710uNCDATATXDJ81PS2-1-PC12VCCD6GNDJ86USB 21234NCCTSD2P1RS232C594837261RXDD7D4BUSYN/AN/A2STROBE\GNDJ65DATA LPT-PC12345678C2610uERROR\RXDINIT14. KHOI GIAO TIEP PCN/AN/A1DTRJ75CONTROL LPT-PC1234J55TXD/RXD12GNDVCCBUSYVCCCTSERROR\DTRN/A2NCVCCVCCTXDP2LPT13251224112310229218207196185174163152141PEVCCGNDRTSGNDC3010uSELCTSJ85PS2-2-PC12D1DSR+5VD3U29MAX2321 34 51615261291110138147C1+C1-C2+C2-VCCGNDV+V-R1OUTR2OUTT1INT2INR1INR2INT1OUTT2OUTD3GNDC28104 Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.45Bin son: Phm Quang Tr S b tr linh kin: Gii thiu chung: Trn m hnh th nghim c thit k sn mt s chun giao tip thng dng trn my tnh nh: LPT, RS232, USB v PS2. Khicn thnghimloicnggiaotipno thtadngloicptngngktni cnggiaotipcamy tnh vi cng giao tip tng ng trn m hnh th nghim li vi nhau. thun tin v linh ng trong vic th nghim,ttcccngtnhiucacccnggiaotipnyucninccunitrnmhnhth nghim. Ta c th kt ni vi iu khin vi cc cng giao tip thng qua cc u ni ny. oCngLPT:J65(DATALPT-PC):busdliu;J70(STATUSLPT-PC):bustrngthi;J75 (CONTROL LPT-PC): bus iu khin. oCng RS232: J55 (TXD/RXD): giao tip c thng qua MAX232; J58 (RS232C-PC): giao tip trc tip. oCngUSB:J82(USB1-PC),J87(USB2-PC):tnhiudliu;chnVCCvGNDcacng c kt ni sn vo m hnh. oCngPS2: J81(PS2-1-PC), J85 (PS2-2-PC):tnhiudliuvxungclock;chnVCCvGND ca cng c kt ni sn vo m hnh. Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J61 (POWER). ng dng: Th nghim phng php giao tip gia vi iu khin vi my tnh thng qua cc chun kt ni LPT, RS232, USB v PS2. Chng 1: Cu hnh ca m hnh th nghim vi iu khin. Gio trnh thc hnh vi x l.46Bin son: Phm Quang Tr 1.2.24Khim rng bus: S nguyn l: J131BUS12345678J123BUS12345678J130BUS12345678SW32SWITCHSW30SWITCHJ133BUS12345678J132BUS12345678J126BUS12345678J134BUS1234567823. KHOI M RONGSW29SWITCHJ125BUS12345678SW28SWITCHJ129BUS12345678SW31SWITCHJ124BUS12345678 S b tr linh kin: Gii thiu chung: Trn thc t, mi port ca vi iu khin c th cng lc iu khin nhiu thit b. Chnh v mc ch ny m trn m hnh th nghim ny c thit k sn khi m rng c th tng thm s lng thit b ngoi vi c ni vo cng mt port iu khin. Trong khi m rng gm 5 b chuyn i m rng 8 bit c lp nhau (SW28, SW29, SW30, SW31, SW32). Ccbchuynimrngnycnhimvlinkthaicpunican(J123-J124,J125-J126,J129-J130, J131-J132, J133-J134) li vi nhau theo tng bit mt (khi cng tc tng ng v tr ON). ng dng: c s dng m rng, nng cao kh nng kt ni cc port xut nhp ca chip vi iu khin n cng mt lc nhiu thit b ngoi vi hn. TRUNG TM TH NGHIM THC HNH IN T B MN IN T CNG NGHIP T T I I L LI I U U H H N NG G D D N N T TH H N NG GH HI I M M - - T TH H C C H H N NH H Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.48Bin son: Phm Quang Tr 2.1Gii thiu: Phn mm MCU ProgramLoader kt hp vi khi lp trnh vi iu khin trn m hnh th nghim to thnh mtthitblptrnhviiukhinh89cahngAtmel.Thitblptrnhviiukhinnyhtrchoccvi mch sau: Flash (Kbytes)EEPROMRAM (Bytes)I/O Pins AT89C51412832 AT89LV51412832 AT89C52825632 AT89LV52825632 AT8C552025632 AT89LV552025632 AT89C55WD2025632 AT89S51412832 AT89LS51412832 AT89S52825632 AT89LS52825632 AT89S531225632 AT89LS531225632 AT89S825282 KB25632 AT89LS825282 KB25632 AT89C1051112815 AT89C2051212815 AT89C4051412815 Thitblptrnhnybaogmmththngphncngvphnmmthngminh.ngin,dsdngv hiusutcaolnhngcimnibtcathitblptrnhny.Nckhnnglptrnh(Progam),c (Read)vkimtramdliu(VerifyCodeData),chngcdliu(WriteLockBits),xo(Erase)vkimtra khongtrng(BlankCheck).Phncng(khilptrnhviiukhintrnmhnhthnghim)ctothnht mt vi iu khin thng minh m n c kh nng hiu c giao thc truyn nhn d liu vi my tnh thng qua port ni tip. Trn my tnh, phn mm MCU Program Loader c kh nng nhn dng phn cng v nhn dng vi mch vi iu khin c a vo thit b. Phn mm s thc hin vic truyn d liu t my tnh sang vi iu khin cn lp trnh hoc c d liu trn vi iu khin c lp trnh tr v my tnh. nh dng d liu s dng y phi l tp tin dng HEX ca Intel. Ngoi ra, phn mm cn h tr vic chng c d liu t vi iu khin c lp trnh (Lock bit). Cc thng s k thut: H tr lp trnh cc vi iu khin h 89 ca hng Atmel. T ng nhn dng phn cng c kt ni v cc thit b. So snh d liu v kim tra li. Kho cc chng trnh trn vi mch sau khi lp trnh. T ng xo trc khi ghi d liu mi v t ng kim tra d liu sau khi ghi xong. Chun giao tip: RS232 Tc truyn d liu: 9600 bps, 8 bits, no parity, 1 stop, no flow control. nh dng tp tin d liu: Intel 8 bit HEX. H iu hnh lm vic: Windows 95, 98, Me, 2000, NT, XP. Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.49Bin son: Phm Quang Tr 2.2Hng dn s dng phn mm MCU Program Loader V2.0: S dng phn mm tng thch son tho chng trnh iu khin mong mun cho vi iu khin. Sau khi son tho xong v kim tra hon chnh chng trnh iu khin, bn cn phi bin dch chng trnh ny sang ngn ng my dng tp tin Intel 8 bit HEX v lu vo trong my tnh. Cc bc thao tc ti mt chng trnh iu khin t my tnh sang chip vi iu khin bng cch s dng phn mm MCU Program Loader V2.0. Bc1:KtnicpRS232gia cngCOM camytnhvicngCOM trn khilptrnhvi iu khin ca m hnh th nghim. Nu my tnh c nhiu cng COM th kt ni vi cng no cng c v phn mm s t nhn dng cng COM s dng. Bc 2: Gn chip vi iu khin cn lp trnh vo trong socket tng ng. Lu rng: oChng ta ch gn mt loi vi iu khin vo trong socket tng ng m thi (hoc loi vi iu khin40chnhocloiviiukhin20chn).Khngcgncnglchailoiviiu khin vo c hai socket v nh th thit b s khng hot ng c. oChn s 1 ca chip vi iu khin phi c gn sao cho nm ti v tr gn cn gt ca socket. oGt cn xung kho cht chip vo mch. Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.50Bin son: Phm Quang Tr Bc3:Btcccngtc nguntrnmhnhcpngunchokhi lptrnh viiukhin.Sau nhp p chut vo biu tng ProLoad2 khi ng phn mm MCU Program Loader V2.0. Giao din lm vic ca phn mm s c hin ln nh hnh minh ha di y. Lu rng: oTrng hp li khng kt ni thit b (hnh minh ha bn tri) hoc li khng nhn dng chip (hnh minh ha bn phi),giao din lm vic s nh sau:

oKhixuthincctrnghplitacnkimtrali:nguncpchothitb,cpktni RS232,chip cn tthay hng,gnchip vomch cngchiuhaykhng, gnhaichip vohaisocketcnglcphikhng(chcphpgnmtchipvomtsocketbtkm thi). Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.51Bin son: Phm Quang Tr Bc 4: Tin hnh np chng trnh t my tnh vo b nh ca chip hoc c d liu t b nh ca chip vo trong my tnh. oTrng hp np chng trnh cho chip: Nubnmunkhakhngchongikhccchngtrnhmmnhnptrong chip. Bn nh du chn vo hp chn Set lock bytes after writing. Ngc li th bn khng nh du chn vo hp chn ny. Nhp chut vo nt Send. Mt hp thoi s hin ln bn chn tp tin chng trnh cn np cho vi iu khin. Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.52Bin son: Phm Quang Tr Chn tp tin chng trnh cn thit, sau nhn vo nt Open. Phn mm s tin hnh xa d liu c c trn chip, nhn dng li thit b v tin hnh np d liu vo chip. QutrnhnphonttkhixuthinthngboWriteSuccessfultrngiaodinlm vic ca phn mm. Lu rng: S lng byte b nh s dng m phn mmthng bo sau khi np chip xong c th khng bng (nhng phi l nh hn) vi s lng byte ca tp tin chng trnh ngoi thc t. L do l phn mm ch kim tra b nh ca chip sau khi np xong v kt lun l byte s dngkhigitrcabytekhcFFHmthi,cnnugitrcabytebngFFHthphn mm coi nh l byte cha c s dng. Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.53Bin son: Phm Quang Tr oTrng hp c chng trnh trong chip: NhpchutvontRead.Mthpthoishinlnbnnhpvotntptin chng trnh m ti bn mun lu chng trnh c c t trong vi iu khin. Bn cn phi nhp tn tp tin vo mc File name, sau nhp chut vo nt Save tip tc qu trnh x l. Phn mm s tin hnh c chng trnh trong vi iu khin v lu vo my tnh ti ni m bn xc nh trn. Chng 2: Hng dn s dng phn mm MCU Program Loader. Gio trnh thc hnh vi x l.54Bin son: Phm Quang Tr Qu trnh c chng trnh hon tt khi xut hin thng bo File Saved trn giao din lm vic ca phn mm. Bc 5: Sau khi hon tt qu trnh np (hoc c chng trnh) t my tnh sang vi iu khin (hoc t vi iu khin sang my tnh), bn tt cc cng tc ngun trn m hnh ngng cp ngun cho khi lp trnh vi iu khin v tho chip ra khi b np. Tin hnh theo ng trnh t hng dn bn trn bn s hon tt mt cch rt d dng vic np chng trnh (hoc c chng trnh) cho chip vi iu khin. Bn cn phi ch n cc im rt quan trng l: Lun lun phi tt ngun cung cp cho thit b np trc khi tin hnh tho/gn chip vi iu khin vo socket nhm trnh gy hng chip vi iu khin ny. S lng byte b nh s dng m phn mmthng bo sau khi np chip xong c th khng bng (nhng phi l nh hn) vi s lng byte ca tp tin chng trnh ngoi thc t (l s byte m bn thy trong qu trnh phn mm np chng trnh cho vi iu khin). TRUNG TM TH NGHIM THC HNH IN T B MN IN T CNG NGHIP T T I I L LI I U U H H N NG G D D N N T TH H N NG GH HI I M M - - T TH H C C H H N NH H Gio trnh thc hnh vi x l.47Bin son: Phm Quang Tr MT S IM CN CH KHI KT NI MCH V VIT CHNG TRNH A.Khi kt ni mch: Tn ca tng port xut nhp trn m hnh. Th t cc bit (t LSB n MSB) ti cc port xut nhp trn m hnh. Khi kt ni phi m bo sao cho bit 0 ca port vi iu khin ng v tr bit 0 ca i tng cn iu khin. Khi kt ni ng v tr bit 0 th cc bit cn li s ng v tr. Tt c cc chng trnh mu trong h thng ny u c kim tra rt k theo ng nh kt ni mch c trnh by. Nu mt yu cu no khng ng th hy xem li phn kt ni v chng trnh. B.Khi vit chng trnh: S 0 thng c nh nhm l ch O. Thng nh thiu tin t # v hu t H i km trong mt s trng hp. SaulnhENDthkhngcnmthnghaymtktno(kckttrng)nukhng chng trnh bin dch s bo li. Li ny c th b qua. HydngphmTAB vitchngtrnhcho thnghng.iunyrt c ch chobnkhi cn xem li v kim tra li chng trnh c nhanh chng. Nu nhp mt chng trnh no trong ti liu m chng trnh chy khng ng nh yu cu th hy xem k li c nh y tt c cc lnh trong chng trnh hay cha? C thiu stgkhng?Ktnimchctheonhhngdnhaykhng?Ttcccchngtrnh trong ti liu hng dn c chy th v lun lun ng. C K HNG DN S DNG TRC KHI THC HNH TRUNG TM TH NGHIM THC HNH IN T B MN IN T CNG NGHIP T T I I L LI I U U H H N NG G D D N N T TH H N NG GH HI I M M - - T TH H C C H H N NH H A. H THNG IU KHIN LED N Gio trnh thc hnh vi x l.49Bin son: Phm Quang Tr A.MC CH: Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m hnh th nghim kim chng. iu khin thit b ngoi vi bng cc port ca vi iu khin. iu khin vic hin th bng cch s dng LED n. Vit cc chng trnh con to thi gian tr s dng trong cc ng dng iu khin. B.YU CU: Nm vng tp lnh ca vi iu khin MCS-51. Bit cch vit cc chng trnh iu khin LED n cc ch khc nhau. Nm c s v nguyn l hot ng ca khi LED n trn m hnh th nghim. Nm c nguyn l iu khin LED n cc ch khc nhau. Bit cch tnh ton cc thng s delay ca Timer trong vi iu khin. Bit cch vit cc chng trnh to thi gian tr vi cc khong thi gian bt k. A. H THNG IU KHIN LED N Bi 1: Chng trnh iu khin 8 LED c ni vi Port0 sng tt (c s dng b m o). Gio trnh thc hnh vi x l.50Bin son: Phm Quang Tr 1Trnh t tin hnh th nghim: 1.1Kt ni thit b th nghim. Tt ngun cp cho m hnh th nghim. Dng dy bus 8 ni J12 (BAR LED 2) khi dy LED vi J63 (PORT0 / DATA) khi vi iu khin. Dng dy bus 3 ni J103 (POWER) khi dy LED vi ngun +5V khi ngun. PORT oPORT zPORT PORT zPORT zPORT qo PINSzo PINS 1.2V lu gii thut v vit chng trnh iu khin. ;*************************************************** ;CHUONG TRINH DIEU KHIEN 8 LED SANG TAT ;*************************************************** ;KET NOI: 8 LED -> PORT0 (CO DEM DAO). ;*************************************************** ORG 00H CHOPTAT: MOVP0,#00H;LED TAT LCALL DELAY500MS MOV P0,#0FFH;LED SANG LCALL DELAY500MS SJMP CHOPTAT;QUAY LAI ;****************************************************DELAY500MS:;CHUONG TRINH CON TAO THOI GIAN TRE 500MS PUSH00H MOV R0,#100 MOV TMOD,#01H LOOP2:MOV TH0,#0ECH MOV TL0,#78H SETB TR0 JNB TF0,$ CLRTR0 CLR TF0 DJNZ R0,LOOP2 POP00H RET END 1.3Lu chng trnh v bin dch chng trnh. 1.4Kim tra li v hiu chnh li nu c. 1.5Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip hot ng. 1.6Np chng trnh vo vi iu khin. 1.7S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin. A. H THNG IU KHIN LED N Bi 1: Chng trnh iu khin 8 LED c ni vi Port0 sng tt (c s dng b m o). Gio trnh thc hnh vi x l.51Bin son: Phm Quang Tr 1.8Btnguncho mhnhthnghim.Quanstktquhotng,nuktquhotngkhngng yu cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t bc 3 n bc 9. 2Bi tp: Bi 1: Hy vit chng trnh iu khin 8 LED c ni vi Port3 sng tt. Bi 2: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port0 v Port1) sng tt. Bi 3: Hy vit chng trnh iu khin 8 LED c ni vi Port0 sng, 8 LED c ni vi Port1 tt ri sau 8 LED c ni vi Port0 tt, 8 LED c ni vi Port1 sng. Bi 4: Nu mun thay i tc sng tt nhanh hay chm th bn phi lm g? Th thc hin xem ? Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh. A. H THNG IU KHIN LED N Bi 2: Chng trnh iu khin m ln nh phn 8 bit v hin th trn 8 LED c ni vi Port0 (c s dng b m o). Gio trnh thc hnh vi x l.52Bin son: Phm Quang Tr 1Trnh t tin hnh th nghim: 1.1Kt ni thit b th nghim. Qu trnh kt ni thit b th nghim tng t nhBi 1. 1.2V lu gii thut v vit chng trnh iu khin. ;*************************************************** ;CHUONG TRINH DIEU KHIEN 8 LED DEM LEN NHI PHAN ;*************************************************** ;KET NOI: 8 LED -> PORT0 (CO DEM DAO). ;*************************************************** ORG 00H MOVP0,#00H;LED TAT LCALL DELAY500MS LAP: INCP0;TANG NHI PHAN LCALL DELAY500MS SJMP LAP;QUAY LAI ;****************************************************DELAY500MS:;CHUONG TRINH CON TAO THOI GIAN TRE 500MS PUSH00H MOV R0,#100 MOV TMOD,#01H LOOP2:MOV TH0,#0ECH MOV TL0,#78H SETB TR0 JNB TF0,$ CLRTR0 CLR TF0 DJNZ R0,LOOP2 POP00H RET END 1.3Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn. 2Bi tp: Bi 1: Hy vit chng trnh iu khin m ln nh phn 8 bit v hin th trn 8 LED c ni vi Port3. Bi 2: Hy vit chng trnh iu khin m ln nh phn 16 LED c ni vi hai Port (Port0 v Port1). Bi 3: Hy vit chng trnh iu khin tng t nh hai bi tp 1 v 2 nhng l m xung. Bi 4: Nu mun thay i tc m nhanh hay chm th bn phi lm g? Th thc hin xem ? Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh. A. H THNG IU KHIN LED N Bi 3: Chng trnh iu khin 8 LED c ni vi Port0 sng dn v tt ht (c s dng b m o). Gio trnh thc hnh vi x l.53Bin son: Phm Quang Tr 1Trnh t tin hnh th nghim: 1.1Kt ni thit b th nghim. Qu trnh kt ni thit b th nghim tng t nhBi 1. 1.2V lu gii thut v vit chng trnh iu khin. Cch 1: ;*************************************************** ;CHUONG TRINH DIEU KHIEN 8 LED SANG DAN VA TAT HET ;*************************************************** ;KET NOI: 8 LED -> PORT0 (CO DEM DAO). ;*************************************************** ORG 00H MAIN: MOVP0,#00000000B;HIEN THI 0 LED LCALL DELAY500MS MOVP0,#00000001B;HIEN THI 1 LED LCALL DELAY500MS MOVP0,#00000011B;HIEN THI 2 LED LCALL DELAY500MS MOVP0,#00000111B;HIEN THI 3 LED LCALL DELAY500MS MOVP0,#00001111B;HIEN THI 4 LED LCALL DELAY500MS MOVP0,#00011111B;HIEN THI 5 LED LCALL DELAY500MS MOVP0,#00111111B;HIEN THI 6 LED LCALL DELAY500MS MOVP0,#01111111B;HIEN THI 7 LED LCALL DELAY500MS MOVP0,#11111111B;HIEN THI 8 LED LCALL DELAY500MS SJMP MAIN;QUAY LAI ;****************************************************DELAY500MS:;CHUONG TRINH CON TAO THOI GIAN TRE 500MS PUSH00H MOV R0,#100 MOV TMOD,#01H LOOP2:MOV TH0,#0ECH MOV TL0,#78H SETB TR0 JNB TF0,$ CLRTR0 CLR TF0 DJNZ R0,LOOP2 POP00H RET END Cch 2: ;*************************************************** ;CHUONG TRINH DIEU KHIEN 8 LED SANG DAN VA TAT HET ;*************************************************** ;KET NOI: 8 LED -> PORT0 (CO DEM DAO). ;*************************************************** ORG 00H MAIN: MOVR0,#00H;BIEN DEM SO TRANG THAI HIEN THI MOVDPTR,#DATADISP;NAP DIA CHI VUNG DU LIEU LOOP: MOVA,R0 MOVCA,@A+DPTR;LA