presenter: pclee – 2011.03.02. this paper outlines the mbac tool for the generation of assertion...

16
Assertion Checkers – Enablers of Quality Design Presenter: PCLee – 2011.03.02

Upload: charla-reynolds

Post on 17-Dec-2015

213 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Assertion Checkers – Enablers of Quality

Design

Presenter: PCLee – 2011.03.02

Page 2: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation of the automated compilation of assertions into checkers, and proceed to overview the multitude of applications of resource-efficient circuit-level checkers in the field of logic design and verification. A summary of experimental results is also given to show the current state of the MBAC tool, compared to the best known checker generator from IBM.

Abstract

Page 3: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Assertions are high-level statement built on temporal logical that formally specifying correctness properties of a design.

Assertions are usually written in PSL(Property Specification Language) or SVA(System Verilog Assertion). Thus it can used to observe the design if it obey the behavior we want.

Their real benefits are exploited when they can be processed by EDA (Electronic Design Automation) tools.

Assertion language: Based on temporal logic languages and regular expressions. Boolean expressions are chained to form a sequence. ( |-> ) Ex: assert always ({!req ; req} |–> {!gnt[∗0:15] ; gnt});

Assertion checker: It is a circuit that captures the behavior of a given assertion, and can be included

in the design for in-circuit assertion monitoring.

Introduction of assertions

Page 4: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Problem: Running a simulation can assist to debugging. But the power of assertions can not

typically be exploited outside the realm of software-based verification tools.

Proposed method: Providing the efficient means to automatically generate assertion-checking

circuits(HDL) from the assertions. Once assertions are converted into circuits, assertion checkers can be used in a

variety of applications outside the traditional simulation and formal verification techniques.

What’s the problem?

Page 5: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

[3],[6]: FoCs, [5]:HORUS, [4]:previous vision

[7]: Introduction to automata theory, languages and computation

[9]:Assertion-based design

Related workChecker generator

[3],[4],[5],[6]

Classical automata

algorithm[7]

Assertion-based verification design[9]

This paper

Page 6: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

[1]:Airwolf-TG: A Test Generator for Assertion-Based Dynamic Verification

My related work

PSL/SVA

Dynamic Verification[1]

THIS PAPER

Page 7: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Three generation tool(PSL only): IBM’s FoCs Property Checkers Generator HORUS checker generator - TIMA MBAC – this paper

Previous version of generator Using modular approach whereby sub-modules for each property operator(ex:

always) are built and interconnected according to the expression being implemented.

Checker Generation Techniques

Page 8: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Current version of generator(MBAC) Assertions are transformed into an intermediate representation in automaton form

(the graph in the top-right box), for subsequent conversion into RTL (Register Transfer Level).

A critical of automata algorithms for generating hardware checkers is the novel use of partial nondeterminism and the unique minimization algorithm.

Rewrite rules play a key role in MBAC to help handle the large variety of “sugaring” and other more involved temporal operators found in PSL, and SVA to a lesser degree.

Using rewrite rules and specialized automata algorithms, MBAC supports the full synthesizable subset of PSL, and SVA, and produces behaviorally correct checkers in experimental benchmarks with simulators and model checkers.

Checker Generation Techniques(cont.)

Page 9: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Application of assertion checkers

Page 10: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Dynamic verification: Simulation and hardware emulation When simulators or emulators do not support PSL and SVA, generating assertion

checkers and adding them to the source design is an effective way of allowing the continued use of assertions.

Static verification: When formal proofs of correctness are required. When formal verification tools such as model checkers do not support PSL and

SVA, generating assertion checkers and adding them to the source design also allows the continued use of assertions.

Checkers in verification

Page 11: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Some errors sensitive to timing constraints can only be checked in the actual fabricated silicon.

Checkers can be purposely left in the fabricated IC for debugging purposes.

When the prototype silicon is found to operate as desired, checkers can be removed or left in chip for in field chip testing.

Checkers in silicon debug

Page 12: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Synthesis tool: In order to synthesize assertions, assertion generator can be integrated to

synthesis tool.

IP generator tool: Assertion checkers can also be offered as a class of cores of core generator tool:

users simply enter their assertion and the tool can generate the corresponding core (checker).

Checkers in EDA Tools

Page 13: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

The expressive power of assertions going beyond the bounds of verification and debugging.

Any form of monitoring circuit that can be expressed by an assertion, once given to the checker generator, can produce a complex error-free circuit instantly. These circuit-level checkers are in fact more akin to actual design modules rather than verification modules.

Using assertions and a checker generator as a means of logic design poses difficulties when it comes to generating complex output signals; however, the design of many types of analysis circuits can benefit directly from these techniques.

Checkers in EDA Tools(cont.)

Page 14: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Experimental result

Page 15: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

Present a variety direction for checker generator.

MBAC is the most effective at compiling assertions into circuit-checkers due to the now automata method and rewrite rules.

This paper enhances the benefit of assertion, and it really enhances the design quality.

Conclusion of this paper

Page 16: Presenter: PCLee – 2011.03.02. This paper outlines the MBAC tool for the generation of assertion checkers in hardware. We begin with a high-level presentation

This method of paper is different from the protocol checker, it can widens my knowledge.

This paper gives the overview of what assertion checker have done. I can look up for more detail about checker generator.

My conclusion