silicon manufacturing

132
TM September 2013

Upload: andrea-sottocornola

Post on 05-Feb-2016

21 views

Category:

Documents


0 download

DESCRIPTION

Silicon manufacturing presentation, freescale.

TRANSCRIPT

Page 1: Silicon manufacturing

TM

September 2013

Page 2: Silicon manufacturing

TM 2

• What are Semiconductor Devices?

• How Semiconductors are Made

− Front-End Process

− Back-End Process

• Fabrication Facility and Equipment Issues

• Business Aspects of Supplying Semiconductors

Page 3: Silicon manufacturing

4 TM

Page 4: Silicon manufacturing

5 TM

A conductor carries

electricity like a pipe

carries water.

A semiconductor

controls the flow of

electricity like a faucet

controls water.

An insulator stops

the flow of

electricity like a

plug blocks water. Insulator

Conductor

Page 5: Silicon manufacturing

6 TM

n Type doped with

P or As p Type doped with B

• Copper, a conductor, has

one electron per atom

available for conduction.

• A useful semiconductor

requires about 10 orders

of magnitude less

• This means adding one

doping atom in a billion

• Impurities have to be

below one in 10 billion

Page 6: Silicon manufacturing

7 TM

• A MOSFET transistor is nothing more than a voltage controlled switch!

• A transistor is just like a light switch on a wall, except that a voltage is used to turn the switch on and off instead of a lever.

• A good analogy to a transistor is two lakes connected by a canal.

• The "flood gate" regulates the flow of water between the two lakes (source and drain).

• A real transistor switches the flow of electric current on and off instead of water.

Water reservoir (SOURCE) Water

reservoir (DRAIN)

"flood gate"

Page 7: Silicon manufacturing

8 TM

• Current (water) will not flow from an n-type reservoir to a p-type region because it would have to flow uphill.

• Only way we can get water from one p-type reservoir to another is by way of a n-type channel.

• By using a capacitor and applying a positive voltage to that capacitor, we can change the apparent conductivity of the channel from p to n and turn the transistor on.

• In reality, the drain is usually at a lower elevation than the source so the water will flow downhill to the drain.

.

water reservoir (SOURCE)

water reservoir (DRAIN)

n-type

n-type

p-type channel

Page 8: Silicon manufacturing

9 TM

• A MOS transistor is nothing more than a voltage-controlled switch.

• A MOS transistor is really just a capacitor with two extra terminals.

Cross-Section View

p-type silicon

n- n- n+ n+

source drain

Capacitor (gate)

Direction of current flow

channel

Doped polysilicon

isolation (oxide)

isolation (oxide)

Page 9: Silicon manufacturing

10 TM

Top View

Isolation (oxide)

source drain

Capacitor

Page 10: Silicon manufacturing

11 TM

PMOS

GND

VDD

IN OUT

NMOS

Normally ON

Normally OFF

V Logic V Logic

0V 0 ~Vdd 1

Vdd 1 0V 0

IN OUT

Page 11: Silicon manufacturing

12 TM

• Analog semiconductor devices deal in precise electric properties, most

commonly voltages. Transistors within the device are designed to measure

and manipulate these properties. Analog devices are well suited to

processing real-world signals, as electronic patterns are used to directly

represent the original.

• Digital devices do not deal in the values of actual voltages; rather, they

simply detect the presence or absence of a voltage. The presence of a

voltage is represented digitally as a “1,” with the absence represented as a

“0.” These 1s and 0s can be processed and manipulated digitally with great

flexibility.

• Mixed Signal – These devices include both analog and digital circuitry.

Mixed signal devices are difficult to design and build, but bring the benefits of

both analog and digital processing together.

Page 12: Silicon manufacturing

13 TM

• Discretes, Optoelectronics, Sensors – Includes all non-integrated circuit semiconductor devices. A discrete is a single transistor in a package. Sensors are discrete devices that measure real-world input. Optoelectronics are discrete devices that produce or measure light.

• Analog – Devices used to process real-world signals using electronic voltage patterns that represent the original. Includes SLICs (standard linear components) and ASSPs (application-specific analog ICs).

• Microcomponents – All digital processors, including microprocessors (MPUs), microcontrollers (MCUs) and digital signal processors (DSPs).

• Logic – All non-microcomponent digital logic. Includes ASICs (custom logic), ASSPs (standard specialty logic products), FPGAs (programmable logic), display drivers and general purpose logic.

• Memory – Memory devices are used to store data either for short periods of time or permanently. Includes volatile (DRAM, SRAM) and non-volatile (flash, ROM) memory.

Page 13: Silicon manufacturing

14 TM

Microcomponents: Devices designed to perform intensive

compute processing and system control

Three Key Types:

• Microprocessors – digital processors that execute intructions and

perform system control functions. MPUs are optimized for general

purpose data processing

• Microcontrollers – stand-alone devices that perform embedded

compute functions within an overall system. MCUs contain single of

multiple processing elements as well as on-chip, RAM, ROM, and I/O

logic.

• Digital Signal Processors – specialized high speed programmable

processors designed to perform real-time processing of digital signals

Page 14: Silicon manufacturing

15 TM

Page 15: Silicon manufacturing

17 TM

• Standard MCU

• Application Specific

Analog IC (ASIC)

• Single package

• Die-to-die bonding

Semi-Discrete Solution Multi-die SiP

• MCU and Analog on

the same die

Monolithic SiP

Page 16: Silicon manufacturing

18 TM

Z axis

Elements

X axis

Elements

Harmems, Interdigitated

X and XY Sensing

Poly Silicon, Interdigitated

X and XY-Axis Sensing

Poly Silicon, Folded Beam

Z-Axis Sensing

Page 17: Silicon manufacturing

19 TM

ASIC Lead Frame

g-cell cap

g-cell device

ASIC

Die

g-Cell

Die

6 mm

1.98mm Wire bonds

Automotive Qualified Package:

In production since 2006

Page 18: Silicon manufacturing

20 TM

Mold Compound

ASIC Die

G-Cell Die

Page 19: Silicon manufacturing

21 TM

MCU

MPC5xxx / S12x

ADC PWM Timer

CAN

SPI

System

Basis Chip

SBC

CAN

CAN CAN

Transceiver

CAN CAN

Transeiver

LIN

LIN LIN Transeiver

LIN

LIN

H-bridge Door Lock

Door Lock

Door Lock

Door Lock

Various sensor inputs

Various sensor inputs

Various sensor inputs

Internal Lighting

Horn

External lighting

LED control

Seat control

Various Outputs

Real

Time

Clock

Warning LEDs

Antenna

H-bridge

H-bridge

H-bridge

LIN Transeiver

LIN Transeiver

High-side e-switches

Low-side switches

LED Drivers COSS

UHF

Transceiver

MC33696

Amplifier

Multiplexer

MSDI

Multiplexer

MSDI

Multiplexer

MSDI

Watchdog

MCU

S08

Page 20: Silicon manufacturing

22 TM

Mother Nature

Analog Signal Conditioning

Compute Engine

High Voltage

Power Drivers

Low Voltage

Core/NVM Protection

Peripherals interface to the real world

Page 21: Silicon manufacturing

23 TM

Page 22: Silicon manufacturing

24 TM

• 32-bit MCU with Power Architecture® CPU core

• Die size = .55 cm2

• 5 million transistors

• 16 million vias and local interconnects

• 2 polysilicon layers

• 3 aluminum metal layers

• 0.25 µm technology

Page 23: Silicon manufacturing

25 TM

• Red – CPU

• Blue – ETPU

• Green – EQADC

• Purple – DSPI

• Yellow – EMIOS

• Orange – FlexCAN

• White – EBI

• Dark Green – SIU

• Magenta – JTAG

• Grey – SCI

RA

M

PLL

ADC

Flash

Page 24: Silicon manufacturing

26 TM

• ~350 process steps, 55 mask layers

• ~75 million transistors

• ~400 to 1,600 chips per 200 mm wafer

• Embedded ADC, SRAM, non-volatile memory

State-of-the-art Power Architecture® 32-bit MCU engine control

600+ DMIPS – MPC5674F

6 Layers of Cu Metal

Logic NVM Bitcell NVM Bitcell Array

1.15 um2 SRAM

Bitcell Array

Page 25: Silicon manufacturing

27 TM

• 0.5 micron is 1/200th

the width of a human hair

1997 mainstream process

• 55 nm is 1/2000th the

width of a human hair

2013 mainstream process

Electron microscope photograph

of a common Texas fire-ant eye

0.5 um

Page 26: Silicon manufacturing

28 TM

• The fab-provided Design Rule Manual (DRM) is an important

way that the semiconductor fab communicates with the IC

Design team

• Over 500 pages of design rules

• Typical contents include:

− Technology overview

− Physical Layout Rules

− Electrical specifications

− Reliability

Page 27: Silicon manufacturing

29 TM

• Approved voltages, temperatures, etc

• Process Options

− Individual device offerings

− Allowed / forbidden device combinations

− Metallization options

• Main process features

− Brief process flow

Page 28: Silicon manufacturing

30 TM

Rule Description Value

A Active-poly distance >0.05um

B Active-poly corner >0.1um

D Active overlap poly >0.16um

Active Poly

Page 29: Silicon manufacturing

31 TM

Page 30: Silicon manufacturing

32 TM

Page 31: Silicon manufacturing

TM

Page 32: Silicon manufacturing

34 TM

Raw silicon substrate

Diffusion grows or deposits a layer of oxide, nitride, poly or similar material.

Photo spins on photoresist, aligns reticle and exposes wafer

with reticle pattern. Develop removes resist from exposed areas.

Etch removes film layer that was uncovered

during develop. Strips resist.

Metals/Films

connects devices

electrically and isolates

circuit pathways.

CMP polishing technique

to keep surfaces flat so

more layers can be added

Implant dopants are implanted for

electrical characteristics.

E

Silicon Wafer

Oxide Growth

Chemical deposits

Poly/Nitride/TEOS Coat

Chemical deposits

Substrate

Doped Region

Probe/Test

test device

functions

IC manufacturing uses a recursive deposition and masking process to define patterns of doped areas, isolation films and metal conductors to create solid state devices.

Page 33: Silicon manufacturing

35 TM

Test and back-end

Room Temp

Probe

Hot Temp

Probe Dicing

(for KGD)

Outgoing

Inspection,

Pack & Ship

Page 34: Silicon manufacturing

36 TM

• A MOS transistor is nothing more than a voltage-controlled switch.

• A MOS transistor is really just a capacitor with two extra terminals.

Cross-Section View

p-type silicon

n- n- n+ n+

source drain

Capacitor (gate)

Direction of current flow

channel

Doped polysilicon

isolation (oxide)

isolation (oxide)

Page 35: Silicon manufacturing

37 TM

• Silicon is the second most abundant element on earth after

oxygen ( 25% of crust )

Si O2 + C Si + CO2

Si + 3HCl Si HCL3 + H2

Si HCL3 + H2 Si + 3HCl

Purification operations

1900C Furnace gives metallurgical grade Si

Refining to reduce impurities

The white sand of Abel Tasman’s beaches in New Zealand’s South Island is a typical source of silicon dioxide.

Page 36: Silicon manufacturing

38 TM

• A pure silicon seed crystal is

placed into a molten sand bath.

• This crystal will be pulled out

slowly as it is rotated (1 mm per

hour).

• The result is a pure silicon

cylinder that is called an ingot.

Page 37: Silicon manufacturing

39 TM

• Single crystal silicon ingot

length: 110 cm

Page 38: Silicon manufacturing

40 TM

• The ingot is ground into the

correct diameter for the

wafers.

• Then, it is sliced into very thin

wafers.

• This is usually done with a

diamond saw.

Slicing : 640m thick

Page 39: Silicon manufacturing

41 TM

Next, the wafers are

polished in a series of

combination chemical and

mechanical polish

processes.

Page 40: Silicon manufacturing

42 TM

The Process

• Start with ultra-pure glass plates with

a surface deposition of chromium.

• Computer generated layouts of the

IC drive a laser beam or electron

beam to selectively remove

chromium and create the mask or

reticle.

Design Driven

• Increased complexity

• Long write times

• New product acceleration

Page 41: Silicon manufacturing

43 TM

Optical Proximity

Correction (OPC)

Mask Printed

No OPC With OPC

Mask Printed

Chrome

Resist

Quartz

recess

Phase Shift Mask

Page 42: Silicon manufacturing

44 TM

• Growth of an ultra-

pure layer of

crystalline silicon

• Approximately 3%

of the wafer

thickness

• Contaminant-free

for the subsequent

construction of

transistors

Page 43: Silicon manufacturing

45 TM

All processing done on a wafer lot basis

• The wafer is coated with

photoresist material.

• The reticle containing a layer’s

image of one or more die are

exposed by a light source

through a lens system onto the

wafer.

• The wafer is then stepped over

to the next die and the process

repeated until the wafer is

completely exposed.

Page 44: Silicon manufacturing

46 TM

Substrate with Thin Film

Resist Coat and Post Apply Bake (PAB)

Expose Resist

Post Exposure Bake (PEB)

Develop Resist

Etch

Page 45: Silicon manufacturing

47 TM

• The epi-wafer is exposed to high

temperature to grow an oxide

layer.

• A layer of photoresist is spun onto

the oxide.

• The stepper exposes the pattern

onto the photoresist.

• The photoresist is then developed

to leave the pattern on the wafer.

Page 46: Silicon manufacturing

48 TM

Lo

ad

ing

Hot plate

Un

loa

din

g

Dispenses

Control keyboard

Wafers receivers

Track 1

Track 2

Page 47: Silicon manufacturing

49 TM

Mercury Lamp

Shutter

Reticle

Lens

Wafer Stage

Wafer

Loading /Unloading

Reticles

Storage

Page 48: Silicon manufacturing

50 TM

Lo

ad

ing

Hot plates

Un

loa

din

g

Dispense

Control panel

Wafers receivers

Track 1

Track 2

Page 49: Silicon manufacturing

51 TM

• An etch process (wet or dry) is used

to remove the oxide where the

photoresist pattern is absent.

• The photoresist is then stripped

completely off the wafer, leaving the

oxide pattern on the wafer.

Page 50: Silicon manufacturing

52 TM

QDR

QDR

Exhaust management

45:00 45:00 45:00 45:00

Rinse

Acid Acid

Process control

Acid waste Drain

Heating

element QDR

Vacuum system

Wafers with resist

Radio frequency

power supply

Ionized

Gas ETCH

Process gases

Process

chamber

under

vacuum

Page 51: Silicon manufacturing

53 TM

• The oxide acts as a barrier when

dopant chemicals are deposited on

the surface and diffused into the

surface.

• Alternatively, dopants may be

bombarded into the silicon surface

via and ion implant beam.

• The induced ions create regions with

different properties of the silicon

semiconductor material.

• These regions become the source

and drain of the CMOS transistor.

Page 52: Silicon manufacturing

54 TM

• Diffusion furnaces are classified as either horizontal or vertical.

• Vertical gives better process control and tends to be cleaner but takes up more space.

• Changing the process gases allow us to grow films (oxide, nitride, poly) or dope the wafers to change the electrical characteristics.

• They operate at temperatures generally between 650°C and 1200°C.

• Temperatures in furnaces are controlled to better than +/- 1°C.

• Furnaces can process 50-200 wafers per run depending on type of process.

• Process times can vary from 4-20 hours (thicker films take longer to grow/deposit).

GAS

Heating elements

Wafers on quartz boat

Exhaust Atmospheric Pressure Furnace

Gases Management

Vertical Furnace

Page 53: Silicon manufacturing

55 TM

Ion Accelerator

Source

Gas

box

Machine Management

Too heavy ions

Too light ions

Loading

Ion Accelerator

Page 54: Silicon manufacturing

56 TM

oxidation

photoresist

removal (ashing)

process

step

spin, rinse, dry acid etch

photoresist

development

photoresist coating

stepper exposure

optical

mask

Page 55: Silicon manufacturing

57 TM

11 22 33 44 55

1 Organics 2 Oxides 3 Particles 4 Metals 5 Dry H2SO4 + HF + NH4OH + HCl + H2O or IPA + H2O2 H2O H2O2 + H2O H2O2 + H2O N2 H2O Rinse H2O Rinse H2O Rinse H2O Rinse

Contact locations

n-w ell

p-channel transistor

p-w ell

n-channel transistor

p+ substrate

RCA Clean SC1 Clean (H2O + NH4OH + H2O2)

SC2 Clean (H2O + HCl + H2O2)

Piranha Strip H2SO4 + H2O2

Nitride Strip H3PO4

Oxide Strip HF + H2O

Solvent Cleans NMP

Proprietary Amines (liquid)

Dry Cleans HF

O2 Plasma

Alcohol + O3

Dry Strip N2O

O2

CF4 + O2

O3

Process Conditions

Temperature: Piranha Strip

is 180 degrees C.

Page 56: Silicon manufacturing

58 TM

• Using the same oxidation and photolithography process, an opening is made in the oxide to build the transistor’s gate region.

• A thin gate oxide or silicon nitride is deposited via Chemical Vapor Deposition (CVD) process to act as an insulator between the gate and the silicon.

• This is followed by Physical Vapor Deposition (PVD) or “sputtering” of a conductive polysilicon layer to form the transistor’s gate region.

Page 57: Silicon manufacturing

59 TM

• Various oxides are grown or deposited

to insulate or protect the formed

transistors.

• Deep Field Oxides are grown to isolate

each transistor from its adjacent

partners.

• Dielectric isolation oxides are deposited

to insulate the transistors from the

interconnecting layers that will be built

above.

• Passivation oxides are later deposited

on top of completed wafers to protect the

surface from damage.

Page 58: Silicon manufacturing

60 TM

Using the same photolithography process, “via” contact holes are

etched down to the three transistor regions that need to be connected to

other components on the chip.

Page 59: Silicon manufacturing

61 TM

• A layer of aluminum is deposited

on the surface and down into the

via holes.

• Excess aluminum is etched away

after another photolithography

process, leaving the desired

interconnect pattern.

• Another layer of dielectric isolation

oxide is deposited to insulate the

first layer of aluminum from the

next one.

Page 60: Silicon manufacturing

62 TM

A B

1

2

3

4

Process Chamber

Gas:

Argon

Aluminium

Target

Al Sputerred

C

Load-lock

EtchChamber

Heating

Chamber

Vacuum Pump

Page 61: Silicon manufacturing

63 TM

• Without CMP, the wafer will have a lot of topography

(mountains and valleys).

• Excessive topography will:

− Limit how small photo can print

− Cause thinning of films on side walls

− Compromise etch uniformity

− Compromise film deposition uniformity

Page 62: Silicon manufacturing

64 TM

Page 63: Silicon manufacturing

65 TM

• Another set of via holes are etched in the dielectric isolation oxide to enable access down to the layer below.

• Contact plugs are deposited (often tungsten) into the vias to reach down and make contact to the lower layer.

• The next layer of aluminum is deposited, patterned and etched.

• This process is repeated for as many interconnect layers as are required for chip design.

Page 64: Silicon manufacturing

66 TM

This process requires

more than 190

stages. Each stage

contains multiple

substeps.

P + S ub st ra te

Epi 2.0 µ m

P-Well N-Well

n+ P+ n+ n+ P+

SION

E SL

BP TEOS

LI B AR LI LI TEOS+ SION

LI

Via 1 Via 1

METAL 1 METAL 1

METAL 2 METAL 2

Via 2 Via 2

METAL 3 METAL 3

METAL 4 METAL 4

PET EOS

Via 3 Via 3

150 PEN

POLY IMI DE POLY IMI DE

MHAT Fus e

4500 SION

SION exposed to Pad Clear

Etch 150 PEN

Cleared Bond Pad Opening

PEN ESL

PEN ESL

PEN ESL

Page 65: Silicon manufacturing

67 TM

1. Silicon substrate

2. Oxide growth

3. Oxide etching

4. Deposition of

structural layer

5. Structural layer

etching

6. Oxide removal

Page 66: Silicon manufacturing

68 TM

• Charge is placed on floating gate with hot carrier injection or Fowler Nordheim tunnelling

• Charge remains trapped on floating gate giving non volatile memory function

Page 67: Silicon manufacturing

69 TM

• Parametric testing of test structures

• Test structures in scribe lines between product die

Reticle

field

PID

4

Product Die

PID

1

Product Die

PRB01 BE753 BE750

TS311 G110 RO101 G210 TS311

FNF

BITP2 T182 TS300 T100A BE123

BITP3 T192 TS400 T110A BE701

BITL4 BITL5 FE49 FE50 FE04

Product Die

CD

s

Scat

PID

4

Product Die

BE145 TS301 TS311 T100 C100

BE767 TS401 R0111 T110 C110

C90_OPCTP BE700 LCAP8 C90SOI_RLM_RO C110A

Product Die

IRP

R3

PID

3

Product Die

PID

3

Product Die

Product Die

IRP

R1

CD

s

Product Die

CD

s

Product Die

FE05 BITM1 C22 FE48 BE102

FNF

FN

F

Product Die

CD

s

PID

1

Product Die

CD

s

C90_O

PC

TP

S

IMS

OP

N01

P

RB

01

ES

D17

A

G004

CD

s

FN

F

Lab

el

IDs

TS311 BITD1 C180 FE47 TS311

BE751 C190 BE752

SGPC = scribe grid process control

Not to scale (~0.1mm)

Page 68: Silicon manufacturing

70 TM

• Transistors

• Metal to metal linkage

• Contact chains

• Via chains

• Resistors

• Capacitors

• Gate Oxide

Probe pad Probe pad

Probe pad Probe pad

pwell contact

Poly over active stack

Probe pad Probe pad

D05 poly line

D05 Gate

Active

Metal 1

Page 69: Silicon manufacturing

71 TM

• Wafer thickness is reduced from 640m to 300m

3 2

1

Loading Unloading

Rotated table

Page 70: Silicon manufacturing

TM

Page 71: Silicon manufacturing

73 TM

HPM Rooms

Center

Corridor

Raised Floor

Process Tool

Elec.

Piping

Process Tool

Piping

Air

Recirc

Air

Recirc

Air

Recirc

Air

Recirc

Air

Recirc

Elec.

Electric Rooms Exhaust Exhaust

Work Zone

Class 1

Utility Zone Class 100

Work Zone

Class 1

Work Zone

Class 1

Work Zone

Class 1

Utility Zone Class 100

Process Tool

Process Tool

Support Tools

Support Tools

Crawl Space

SEM, Computer Center,

Smock Rooms

Maintenance Shops Parts, Quartz, Wafer Storage

ULPA Ceiling

Fan Deck

Process Level

Subfab

Interstitial

Page 72: Silicon manufacturing

74 TM

Page 73: Silicon manufacturing

75 TM

• First stage makeup air pre-filters are 30% efficient for 3-10um sized particles.

• Second stage makeup air pre-filters (inside makeup air units) are 95% efficient for 0.3-1µm sized particles.

• Final stage filters (cleanroom ceiling grid) are Ultra Low Penetrating Air (ULPA) filters that are 99.9995% efficient for 0.1um sized particles.

• 100% cleanroom ceiling ULPA filter coverage in work zone areas

• 40% filter coverage in utility zone areas

• Average laminar airflow velocity is 90-110 ft/min

Page 74: Silicon manufacturing

76 TM

N

Polyimide 5.8k sf

Analytical

8.3k sf Lot Start Ship

Probe

9k sf

“ C” Bldg Diffusion/

Cleans 10k sf

Photo

6.5

ksf

CVD (TEOS, PAS)

5.6k sf

Cleans/ISD 2.5k sf

Photo i - line 7k sf

Photo DUV

6.1k sf

Etch 4.2k sf

Metals (W,RTP)

ETCH 8.2k sf

YE 2.5k sf

Diffusion/ Cleans 6.6k sf

Implant Cleans

ETCH CLNS

CVD 4k sf

North & South

Implant

Implant

Implant 23k sf

CMP 22k sf

Probe 27k sf

Gown 2.1k sf

East Module (Bldg “M”)

Metals PVD 11 k sf

“A” Building to the East of “M” Building

has additional 8k sqft of Probe

Epi

MRAM

Page 75: Silicon manufacturing

77 TM

• 85,000+ square feet of sub-class 1 clean room space supporting wafer capacity of 6,000 WPW

• Approximately 750 people working at OHT-Fab

• Factory operates 24 hours per day, 364 days per year

• Factory moves ~6,400,000 CFM, enough to fill 120 hot air balloons every minute

• 9,300 tons of refrigeration capacity, sufficient to cool 2,800 homes

• Factory uses 44,000,000 gallons of water/month, equivalent to 4,400 homes

• Factory uses ~215,000,000 KWH per year, equivalent to 6,000 homes

• Factory has more than 17 miles of stainless steel piping and more than 50 miles of electrical wiring

Page 76: Silicon manufacturing

78 TM

Equipment Number Price ($M) Total ($M)

Chemical Vapor Deposition 24 3 60

Physical Vapor Deposition 23 4 81

Steppers 54 8 432

Photoresist Processing 54 2 108

Etch 55 3 187

Cleaning- Strip 30 1 18

CMP 20 1 24

Diffusion - RTP 32 1 32

Ion Implant 13 3 43

Process Control - - 60

Automation/Handling - - 15

Miscellaneous - - 67

Total 1,126

200MM Equipment List for .18 Micron Facility

Page 77: Silicon manufacturing

79 TM

Page 78: Silicon manufacturing

80 TM

Page 79: Silicon manufacturing

81 TM

13%

29%

52%

2012

8"

6"

12"

10%

24%61%

2016

8"

6"

12"

Source: Gartner (March 2012)

Source: Gartner (March 2012)

10%12%

33%

45%

2000

8"

6"

5"

4"

Source: Gartner (March 2012)

• Larger wafers = more die per wafer = lower cost per die

• 300mm (12”) wafers yield more than twice as many die for the same product as a 200mm (8”) wafer

Page 80: Silicon manufacturing

82 TM

• First 450mm fab expected in Albany NanoTech Complex in

2013 followed by another in 2016

Source: Gartner March 2012)

0

500

1,000

1,500

2,000

2,500

3,000

4Q97 4Q98 4Q99 4Q00 4Q01 4Q02 4Q03 4Q04 4Q05 4Q06 4Q07 4Q08 4Q09 4Q10 4Q11 4Q12 4Q13

MSI

≤100 mm 125 mm 150 mm 200 mm 300 mm

Page 81: Silicon manufacturing

83 TM

• R&D provides two major benefits:

− Increases productivity

− Enhanced process capabilities (required to remain competitive)

R&D Spending as a % of Sales

R&D by Industry

Page 82: Silicon manufacturing

84 TM

Page 83: Silicon manufacturing

85 TM

Low Power

CMOS

High

Performance

SOI

CMOS

LP / GP

RF

CMOS Packaging

e-Non

Volatile

Memory

Sensors

GaAs

RF

SmartMOS

Power &

Analog

Increase differentiation

Increase partnership

GaN

Research

HPSOI LP-Bulk

TM

TM

TM

Page 84: Silicon manufacturing

TM

Page 85: Silicon manufacturing

87 TM

Page 86: Silicon manufacturing

88 TM

Wafer Mount Process Description

Wafers are mounted on UV tape and carefully

placed on the metal frame to ensure correct

rotational alignment.

Wafer Saw Process Description

A mounted wafer is placed on a metal

supporting plate under the mounting tape.

Process parameters are set and the diamond

blade cuts through the silicon wafer targeting

100% of the wafer depth.

Page 87: Silicon manufacturing

89 TM

Process Description

Epoxy is dispensed in the die flag area of the substrate in a specified pattern (usually star) followed by a pick and place process that removes the die from the tape carrier and places it over the dispensed epoxy.

Die Bond Cure Process

Substrates are placed in a nitrogen purged oven. During the cure time, the epoxy resin completes the cross linking process to form a rigid material. Nitrogen is used to replace the trapped air/moisture that may interfere with the cross linking process.

Page 88: Silicon manufacturing

90 TM

Plasma Clean Process Description Plasma clean is then used to remove contaminants from the die bond pad surface to improve wire bondability and adhesion. He/O2 and Ar/H2 are two common gas mixtures that are used. Ar plasma treatments used to bombard loose contaminants from the surface. Oxygen-based plasma is used to react with carbon to form gaseous CO & CO2.

Wire Bond Process Description

The substrate panel is fed from a carrier into the wirebond machine for setup where each bond pad location is loaded.

Page 89: Silicon manufacturing

91 TM

Transfer Mold Process Description

Substrate panels are loaded from a carrier into

the heated mold tool. Mold pellets are loaded into

the mold gate where clamp pressure is used to

create a seal between top and bottom die around

the substrate. Mold compound is transferred

through the gate runners into the mold cavity.

Transfer Mold Cure Process

Description

Molded substrate panel is then placed into a

curing oven to complete the carbon cross linking

process and to relieve internal mold stresses.

Page 90: Silicon manufacturing

92 TM

Laser/Pad Marking Process Description

Molded substrate panels are then loaded into the

laser marking machine from a carrier and marked to

a defined set of criteria.

Solder Ball Attach Process Description

Molded substrate panels are loaded into the ball

attach machine where pins in the exact ball array

pattern are dipped into solder flux and placed on the

substrate panel. A ball tool places the solder balls on

the substrate panel. Substrate panels with solder

balls then proceed through a multi-stage convection

reflow oven. Each stage is set to defined

temperature in order to meet a target reflow profile.

Page 91: Silicon manufacturing

93 TM

Solder Flux Clean Process Description

A flux clean step follows the reflow process utilizing heated de-ionized water.

Saw Singulation Process Description

Completed panels are then singulated by sawing the panel into individual units.

High-pressure water is sprayed on the panel to help reduce the saw cut friction

while also providing a final flux wash to remove any leftover residue.

Page 92: Silicon manufacturing

94 TM

Plasma Clean & Wire Bond

Page 93: Silicon manufacturing

95 TM

Page 94: Silicon manufacturing

TM

Page 95: Silicon manufacturing

97 TM

$0

$50

$100

$150

$200

$250

$300

$350

'84'85'86'87'88'89'90'91'92'93'94'95'96'97'98'99'00'01'02'03'04'05'06'07'08'09'10'11 '12'13

Source: WSTS, Sept’2012 and Freescale Internal Analysis

Bill

ions o

f D

olla

rs

-2%

to

-4%

+5%

to

+6%

Page 96: Silicon manufacturing

98 TM

Source: WSTS, Freescale Strategy, iSuppli, Gartner

46

-17

2323

38

85

8 10

2932

42

-9

4

-8

19

37

-32

1

18

28

79

3

-3-9

32

0

-2

5

-50

-40

-30

-20

-10

0

10

20

30

40

50

'84 '86 '88 '90 '92 '94 '96 '98 '00 '02 '04 '06 '08 '10 '12

Average Growth 2001-2011: 8.0% per Year

Semiconductor Market

Billions of Dollars 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011

$204 $139 $141 $166 $213 $227 $248 $256 $248 $226 $298 $299

Page 97: Silicon manufacturing

99 TM

Source: WSTS

Percent of Total Semiconductor Market

10

15

20

25

30

35

40

45

50

55

60

'96 '97 '98 '99 '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12

Americas

Asia

Pacific

Japan

Europe

Years By Quarter

China

Page 98: Silicon manufacturing

100 TM

Electronics revenues

Semiconductor revenues

Semiconductor content

CAGR 2007-11: 1%

CAGR 2001-11: 3%

CAGR 1991-11: 3%

Source: IHS iSuppli, Q2’12; WSTS

Page 99: Silicon manufacturing

101 TM

0.1

1

10

100

1000

1970 1975 1980 1985 1990 1995 2000 2005 2010

SC Market

Annual Trend Growth

1970s 18%

1980s 16%

1990s 14%

2000-06 7%

2000-’10 4%

Years By Quarter

Semiconductor Market Revenues in Billions of Dollars Bil $

Source: WSTS, Freescale Strategy, iSuppli, Gartner

Page 100: Silicon manufacturing

102 TM

Source: WSTS, End Use Report

* Note: Communication includes Cellular & Infrastructure applications

No Significant Change in Industrial, Military % of Total Market

8%

22%

18% 11%

1%

40% Automotive

Communication

Consumer

Industrial

Military

Data Processing

Page 101: Silicon manufacturing

103 TM

15%

5% 2%

26%

14% 3%

8%

7%

20% MPU

MCU

DSP

Logic

Analog

Sensors

Opto

Discretes

Memory

Share of Industry Total is shown in %

Source: WSTS, Jan’12

Page 102: Silicon manufacturing

104 TM

Source: WSTS, End Use Report

MCU:5% DSP:3%

DRAM:12%

Flash:

9%

Opto:6% Analog: 14%

Logic:26%

MPU:14%

Other

Memory:

2% Discretes:

7% Sensors:

2%

Automotive Market

Logic 24.3%

Memory 4.8%

Discrete 13.2%

Analog 12.8%

Sensor 10.2%

Opto 4.8%

MCU 29.8%

Overall Market

Page 103: Silicon manufacturing

105 TM

Analog & power management

Embedded microprocessors

Digital signal processor technologies

Sensors

Microcontrollers

Multimedia processors

Communication processors

RF, PA and baseband Wireless connectivity: baseband processing, RF transceivers, and power amplifiers

Control processors

Networking Infrastructure

Wireless Handsets

Consumer Industrial Automotive

Multimedia processors

Page 104: Silicon manufacturing

106 TM

Time

Automotive

Demand

Other Markets

Demand

Total

Demand

Capacity

Qual

Samples Ramp

Customer

Qual Cycle

(2-3 years)

Required Warranty Support

(+10-20 years)

Factory Closure

or Obsolete

Technology

How to make automotive

golden years attractive

Volume: individual parts &

overall market ?

Page 105: Silicon manufacturing

TM

Page 106: Silicon manufacturing

108 TM

EEPROM 1.5T Flash

2T-S Flash

1 T F l a s h

Scale Drawing ETOX Flash

High Density ETOX Flash

High Density

130nm

CDR1

MPC565

CDR3

MC68HC11 MC68F333

MPC555

MPC5554

High Density

Page 107: Silicon manufacturing

109 TM

CDR3 0.25µm

HCI/FN 1T

0.995µm2

HiP7 130nm

HCI/FN 1T

0.359µm2

CMOS90

HCI/FN 1T

0.18µm2

All bitcells are drawn on the same scale.

CDR1 0.35µm

FN/FN 1T

2.7µm2

CMOS55

HCI/FN 1T

<0.15µm2

CMOS40

HCI/FN 1T

< 0.1µm2

Page 108: Silicon manufacturing

110 TM

MPC555 MPC565 MPC5554

Black Oak Spanish Oak Copperhead CDR1 0.35u CDR3 0.25u HIP7 0.13u

448K Flash 1MB Flash 2MB Flash 7 million transistors 14 million transistors 34 million transistors

Page 109: Silicon manufacturing

111 TM

8 Output Switch

0.375 ohm

SMARTMOS 2.5

16 Output Switch

0.375 ohm

SMARTMOS 5AP

Page 110: Silicon manufacturing

112 TM

+ = Micro $ Silicon $ Tier1 $

• Frequency

− Test time

− EMC components

− Capital equipment

• Package

− Machinery

− Board size

− Capital equipment

− Tier 1 volume

• Frequency

• Package

• Die Test

• Tape & Reel

Page 111: Silicon manufacturing

TM

Page 112: Silicon manufacturing

114 TM

BMY (Below Minimum Yield)

• Screen wafers with lower than

statistical distribution of yield

0 3 6 9 12 15 18 21 24 27 30

outliers

Upper

limit

0

500

1000

1500

2000

2500

3000

3500

10 30 50 70 90 110 130 150 170 190 210 230 250 270 290 310 330 350 370

SBL (Statistical Bin Limits)

• Screen wafers with unusual bin

signatures

GDBC (Good Die Bad

Cluster)

• Screen die with failing

neighbors

Dynamic PAT

• Screen die with parametrics that are

outside the wafer distribution

Static PAT (Process

Average Testing)

• Screen die with

parametrics that are

outside statistical

distribution

Page 113: Silicon manufacturing

115 TM

0 3 6 9 12 15 18 21 24 27 30

Median 95th percentile

Outliers

Upper limit

SBL (Statistical Bin Limits)

• SBL contains outlier wafers in Freescale and

provides faster feedback on slight process/tool

anomalies causing higher bin fallout

Bin Limit Setting:

• Ensure all wafers with unusual bin signatures

are flagged and held for disposition

• K is set between 4 and 8 for critical bins:

• Bins with very low fallout are set at fixed level to

detect excursions

• Limits are reviewed every 3-6 months (Product

Volume Dependent

Calculation:

SBL = Median + K*Robust Sigma

Typical distribution

* * *

Typical product

Outliers

PAT Limit PAT Limit

Outliers

Specification window

* * * * *

* * * *

* *

* * * * *

*

* *

* *

* * * *

* * * * * *

* *

* *

Spec Limit Spec Limit

Static PAT

• Statistical test limits are set in the

probe program to screen outlier

die.

• Limits are reviewed every 3-6

months (Product Volume

dependent)

Page 114: Silicon manufacturing

116 TM

Before After

Page 115: Silicon manufacturing

117 TM

• Defect isolation in a semiconductor device uses the same troubleshooting methodology to isolate a failure in any large system.

− The problem description is first described at the system level (Die level failure description)

− Data is collected to isolate the failure to a sub system (Functional Blocks within a device)

− Analysis continues, eliminating all the possible causes until the source of the failure is identified

Freescale

test

diagnostic

Device level failure Fail mode isolation Specific circuit

element fault

Electrical

Failure

Analysis

Process

Defect

causing

circuit fault

5-30M

Transistors

500k

Transistors

1-2

Transistors

Page 116: Silicon manufacturing

118 TM

• Known Good Die (KGD) analysis samples are typically returned to Freescale still attached to the target application. The die must be removed and packaged for analysis and protection of the die. Due to the fragile nature of the die, a visual inspection is performed at each step to ensure device integrity.

Milling/Die extraction

As received state

PBGA Package

• Step 1: Die Removal − Wirebonds pulled

− Die encapsulation in epoxy

− Customer’s board is milled down from the backside until the die is freed from the target application

− Die removed from epoxy encapsulant

• Step 2: Die Packaging − Epoxy is removed from the die

− The die is glued to a PBGA (Plastic Ball Grid Array) substrate.

− Device is wirebonded to the correct pin-out configuration

− Plastic mold compound is used to encapsulate the device

− Solder spheres are attached to bottom of the package substrate.

• The die extraction and re-packaging process typically takes 4-7 days, dependent on the condition of the device upon receipt.

Page 117: Silicon manufacturing

119 TM

Production test ATE

• Step 1: Device level testing

− Device is evaluated on the bench using an EVB (Evaluation board) using standard evaluation tool to assess basic functionality.

− Device tested to the production test program on Automatic Test Equipment (ATE) across temperature and voltage.

− Test results are compared to the customer reported failure description for correlation.

• Step 2: Historical Database search

− Freescale data base is reviewed to determine any potential lot issues

− Test results compared to the return history to determine if the test results correlate with a known failure mechanism (Signature Failure Analysis)

Page 118: Silicon manufacturing

120 TM

• After completion of the electrical verification, the device is submitted to the Product Analysis Lab

• Verification/Failure Mode Characterization (1-2 Days)

− Duplicate reported Failure Mode found in physical analysis lab compared to correlation device.

− Decapsulation (removal of top of plastic package to expose die surface) / Visual Inspection

− Optical inspection of area associated with observed Failure Mode

− Confirm failure mode is unchanged after decapsulation

− Data is collected on the failing device as well as a known

− good samples.

Full Die

Isolated

Resistance

change

Microprobe Station

Electrical Isolation (1 day – 4 weeks)

• Step 1: “Non-destructive” isolation

− Light Emission microscopy –Infrared light camera is used to capture anomalous IR emission sites.

− Liquid Crystal – a temp. sensitive solution that changes color with temp.

− OBIRCH (Optical Beam Induced Resistance Change) – a laser is scanned across the die surface to identify anomalous resistance points on the die. (See figure on the right)

• Step 2: Microprobe

− In-circuit measurement technique that uses very fine wires to collect parametric data (signals Voltages, Resistances,)

− Similar to using a multi-meter to troubleshoot an electrical system.

− Requires microscopic holes to be drilled in the silicon order to access nodes of interest.

Page 119: Silicon manufacturing

121 TM

Page 120: Silicon manufacturing

122 TM

• In order to collect data via microprobe, the Analyst needs to be able to access various circuit nodes within the die.

• A FIB (Focused Ion Beam) is used to drill sub-micron holes and .

− Conceptually can be thought of as an electric knife or a microscopic Mill/Drill. Removal rate is measured on the order of molecules.

Die Cross-section

Node to measure

Platinum

Plug

FIB beam drilling

through the layers

Hole drilled to contact Hole Filled with Platinum

Completed

probe pad

Micro probing is an iterative process, and can

require multiples FIB edits to collect the data

needed to isolate the failing circuit element. Each

FIB edit can take ~ 1 day to complete.

FIB can also be used to cut metal interconnects in

order to isolate circuit elements.

Page 121: Silicon manufacturing

123 TM

Once the analyst has isolated the failure to a single circuit element, physical analysis begins. There is no turning back once physical analysis begins as the device will no longer be functional.

There are 2 primary physical analysis techniques;

1. Layer by layer deprocessing

2. Cross – section.

HV NMOS HV PMOS Array

H V N W el lH V P W e ll

H V P W el l

( Isol a te d )

3. 4u m E PI

P+ + S u b strate

D e e p N W e ll

I L D0

M etal 1

M etal 2

M eta l3

P as si va tio n

D 19

L I_ S O UR CE B A R

LV NMOS

LV N M OS

LV PMOS

P+ + S u b stra te

L V P W E L L

L in k I I

L V N W E L L

I L D1

I L D0

M eta l 1

I L D2

M etal 2

Pa ss iva tio nM etal3

L I_ SQ UA RE

D 06

A 29

L V P W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P o ly 1 a c c es s

L I_B A R

HV NMOS HV PMOS Array

H V N W e l lH V P W e ll

H V P W el l

( Isol a te d )

3. 4u m E PI

P+ + S u b strate

D e e p N W e ll

I L D0

M etal 1

M etal 2

M eta l3

D 19

L I_ S O UR CE B A R

LV NMOS

LV N M OS

LV PMOS

P+ + S u b stra te

L V P W E L L

L in k I I

L V N W E L L

I L D1

I L D0

M eta l 1

I L D2

M etal 2

M etal3

L I_ SQ UA RE

D 06

A 29

L V P W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P o ly 1 a c c e s s

L I_B A R

HV NMOS HV PMOS Array

H V N W e l lH V P W e ll

H V P W el l

( Isol a te d )

3. 4u m E PI

P+ + S u b strate

D e e p N W e ll

I L D0

M etal 1

M etal 2

D 19

L I_ S O UR CE B A R

LV NMOS

LV N M OS

LV PMOS

P+ + S u b stra te

L V P W E L L

L in k I I

L V N W E L L

I L D1

I L D0

M eta l 1

M etal 2

L I_ SQ UA RE

D 06

L V P W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P o ly 1 a c c e s s

L I_B A R

HV NMOS HV PMOS Array

H V N W el lH V P W e ll

H V P W el l

( Isol a te d )

3. 4u m E PI

P+ + S u b strate

D e e p N W e ll

I L D0

M etal 1

L I_ S O UR CE B A R

LV NMOS

LV N M OS

LV PMOS

P+ + S u b stra te

L V P W E L L

L in k I I

L V N W E L L

I L D0

M eta l 1

L I_ SQ UA RE

D 06

L V P W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P o ly 1 a c c es s

L I_B A R

De-process layer by layer. Each layer at the failing

location is inspected with a Scanning Electron Microscope (SEM)

HV NMOS HV PMOS Array

H V N W el lH V P W e ll

H V P W el l

( Isol a te d )

3. 4u m E PI

P+ + S u b strate

D e e p N W e ll

I L D0

L I_ S O UR CE B A R

LV NMOS

LV N M OS

LV PMOS

P+ + S u b stra te

L V P W E L L

L in k I I

L V N W E L L

I L D0

L I_ SQ UA RE

L V P W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P o ly 1 a c c es s

L I_B A R

HV NMOS HV PMOS Array

H V N W ellH V P W e ll

H V P W e l l

( I sol a te d )

3. 4u m E PI

P ++ S u bs trate

D e e p N W e ll

LV NMOS LV PMOS

P++ S u b stra te

L V P W E L L

L in k I I

L V N W E L L L V P W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P ol y 1 a c c es s

HV NMOS HV PMOS Array

H V N W ellH V P W e ll

H V P W e l l

( Isol a te d )

3. 4u m E PI

P ++ S u bs trate

D ee p N W e ll

LV NMOS LV PMOS

P++ S u b stra te

L V P W E L L

L in k I I

L V N W E L L

L in k I I

Z - d e v ice

A rr a y W e ll

A r ra y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P ol y 1 a c c es s

L V P W E L L

HV NMOS HV PMOS Array

H V N W ellH V P W e ll

H V P W e l l

( I sol a te d )

3. 4u m E PI

P ++ S u bs trate

D e e p N W e ll

LV NMOS LV PMOS

P++ S u b stra te

L V P W E L L

L in k I I

L V N W E L L

L in k I I

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

H V N W E L L

HV Z-DEVICE

P ol y 1 a c c es s

L V P W E L L

HV NMOS HV PMOS Array

H V P W e l l

( I sol a te d )

3. 4u m E PI

P ++ S u bs trate

LV NMOS LV PMOS

P++ S u b stra te

Z - d e v ic e

A r r a y W e ll

A r r a y l in k I m p la n t

HV Z-DEVICEHV NMOS HV PMOS Array

3. 4u m E PI

P ++ S u bs trate

LV NMOS LV PMOS

P++ S u b stra te

Z - d e v ic e

HV Z-DEVICE

Deprocessing Complete

Typically 1-5 days to

complete

Microprobe complete and

failure isolated.

Deprocessing is deemed

the best method

Page 122: Silicon manufacturing

124 TM

• The FA analyst can also perform a cross section of a suspect circuit element.

• A very thin sample is cut out of the die using the FIB tool.

• Transmission Electron Microscopy (TEM) is used to look “through” the sample to identify a defect.

• Sample Prep and TEM completion can take 3-5 days.

TEM TEM

Top side of die layout

Rectangular sample is cut out

of the die

The sample is prepped and

submitted for TEM Completed cross-section

Page 123: Silicon manufacturing

TM

Page 124: Silicon manufacturing

126 TM

Flexible Sourcing

Exit 150mm

200mm Internal/External

300mm External

Invest in Differentiating Technologies eNMV

Sensors

SmartMOS/Analog

RF

Flexible Sourcing Auto, Industrial, Networking Int/External

Consumer External

Burn-in External

Invest in Differentiating Technologies Power Analog

High Performance Networking assembly and test

Automotive sensor test

Back-End

Manufacturing

Front-End

Manufacturing

Page 125: Silicon manufacturing

127 TM

Freescale Tianjin

Final Manufacturing

Tianjin, China

Freescale Kuala

Lumpur Final

Manufacturing-Kuala

Lumpur, Malaysia

Freescale Chandler Fab – 8”

Chandler, AZ

Freescale Oak Hill Fab – 8”

Austin, TX

Freescale Austin Technology

& Manufacturing Fab – 8”

Austin, TX

AMERICAS ASIA PACIFIC/

JAPAN

Page 126: Silicon manufacturing

128 TM

KES

StatsChipPac,

Carsem

Tower

TSMC

Fab11

EMEA

ASIA PACIFIC/

JAPAN

AMERICAS

Dalsa

IBM TSMC, UMC,

ASE, Amkor,

Global Test

Amkor, ASE,

StatsChipPac

Amkor

Global Foundries,UMC,

StatsChipPac Die Manufacturing

Probe, Assembly & Test

Page 127: Silicon manufacturing

129 TM

• Continue Our Strategy

− Flexible Manufacturing: The

Right Model

• Key priorities

− Customer Success

− Quality

− Best Cost

− Asset Utilization

− Flexibility & Assurance of

Supply

Maximize

Efficiency

Cross

Qualifications

Partner

Aggressively

Page 128: Silicon manufacturing

130 TM

Technology Sourcing

Internal External

0.25um Embedded Flash

0.25um Analog/Hi Voltage

0.18um Embedded Flash

0.13um Embedded Flash

0.13um High Performance

90nm High Performance

65nm High Performance

45nm High Performance

0.13um CMOS

90nm CMOS

65nm CMOS

45nm CMOS

Page 129: Silicon manufacturing

131 TM

External Foundries Locations Key Technologies

Taiwan, U.S. Logic CMOS, Native & e-Flash

Singapore, Dresden CMOS, Mixed Signal/Analog,

Sensors, e-Flash & High Performance

Taiwan, Singapore Logic CMOS & Mixed Signal Analog

U.S. High Performance

Canada Sensors, Analog

Malaysia Standard Linear, MOS Capacitors

Taiwan GaAs

Page 130: Silicon manufacturing

132 TM

External Foundries Locations Key Technologies

Taiwan, Korea,

Philippines SOIC, MAPBGA, Sensors, FCPBGA

Korea, Philippines QFP, QFN, MAPBGA, TSSOP, SOIC

Malaysia, Korea,

Singapore MAPBGA, PBGA

China LQFP, TSSOP, SOIC

Malaysia QFN

China, Malaysia Final Test and Burn-in

NFME

KES

Page 131: Silicon manufacturing

133 TM

Page 132: Silicon manufacturing

TM