vhdl

Upload: hung-vu

Post on 10-Jul-2015

147 views

Category:

Documents


0 download

TRANSCRIPT

1: Thit k v m phng b m BCD c chc nng la chn m tin or m li v hin th kt qu m trn led 7 thanh. 2: Thit k v m phng b m BCD v hin th kt qu m trn led 7 thanh theo phng php my trng thi 3: Thit k v m phng b m 9 c chc nng la chn m tin hoc m li v hin th kt qu ln led 7 thanh. 4:Thit k v m phng b m 9 v hin th kt qu m trn led 7 thanh theo phng php my trng thi 5: Thit k v m phng thanh ghi dch vi s bt c th thay i c v c th la chn chc nng thc hin vo ni tip ra ni tip, vo song song ra ni tip. 6: Thit k v m phng b m t 0->99 c chc nng la chn m tin hoc m li v hin th kt qu m trn led 7 thanh 7: Thit k b m t 0->4 sau t 4->0 v hin th kt qu ln led 7 thanh. 9: Thit k b m 10 thi gian thc s dng b to dao ng tn s 10MHz v hin th kt qu ln led 7 thanh. 10: Thit k b m 10 thi gian thc s dng b to dao ng tn s 100MHz v hin th kt qu ln led 7 thanh. Bai` 9 10 nhu nhau library IEEE; use IEEE.STD_LOGIC_1164.all; entity chuyendoi is port( clk : in STD_LOGIC; rst : in STD_LOGIC; d1 : out STD_LOGIC_VECTOR(6 downto 0) ); end chuyendoi; --}} End of automatically maintained section

architecture chuyendoi of chuyendoi is begin process(clk,rst) variable temp1: integer range 0 to 10 ; begin if(rst='1') then temp1:=0; elsif (clk'event and clk='1') then temp1:=temp1+1; if (temp1=10)then temp1:=0; end if; end if; case temp1 is when 0 => d1 d1 d1 d1d1 d1 d1 d1 d1d1 null; end case; end process; -- enter your statements here -end chuyendoi;Nh cc bn bit lch thi ca chng ta l 13h ngy 24/6 v c th hnh thc thi nh sau : Phuong phap' thi VHDL nhu sau: y l nguyn vn nhng g thy yu cu!!! Chao cac em, Cau truc de thi TK HTS bao gom:

1. Phan ma nguon chuong trinh VHDL (La de cua em khi thi VHDL) gom: - ma nguon voi it nhat 2 cach lam khac nhau, su dung nhieu process hoac su dung may trang thai hoac su dung cac cau truc lap trinh dac biet, cac cach lap trinh nang cao kha nang ty bin v tnh linh hot ca chng trnh 2. Phn L thuyt v ASIC: - S lc FPGA v ASIC - Cch lp trnh vo FPGA - Cc cng c cn thit 3. In quyn bo co trc nh km m ngun 4. Bo v ti phng my CAc ban chu y lam 1 bai trong hom thi lan truoc bang nhieu cach(nang cao hon,da dang hon)chon 1 bai lam bat ki tuy y'.Phan 2 lam ve ly thuyet nhu tren.Tat ca lam thanh ban bao cao in bia cung' hom thi mang len bao ve.